JP2004193618A - 半導体処理リソグラフィ装置 - Google Patents

半導体処理リソグラフィ装置 Download PDF

Info

Publication number
JP2004193618A
JP2004193618A JP2003411658A JP2003411658A JP2004193618A JP 2004193618 A JP2004193618 A JP 2004193618A JP 2003411658 A JP2003411658 A JP 2003411658A JP 2003411658 A JP2003411658 A JP 2003411658A JP 2004193618 A JP2004193618 A JP 2004193618A
Authority
JP
Japan
Prior art keywords
film
substrate
cell
exposure
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003411658A
Other languages
English (en)
Other versions
JP3816483B2 (ja
Inventor
Glenn J Leedy
リーディ,グレン・ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JP2004193618A publication Critical patent/JP2004193618A/ja
Application granted granted Critical
Publication of JP3816483B2 publication Critical patent/JP3816483B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70658Electrical testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/006Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation at wafer scale level, i.e. wafer scale integration [WSI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5387Flexible insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13452Conductors connecting driver circuitry and terminals of panels
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/136277Active matrix addressed cells formed on a semiconductor substrate, e.g. of silicon
    • G02F1/136281Active matrix addressed cells formed on a semiconductor substrate, e.g. of silicon having a transmissive semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76289Lateral isolation by air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0102Calcium [Ca]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01057Lanthanum [La]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/15165Monolayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15312Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a pin array, e.g. PGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/135Removal of substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/967Semiconductor on specified insulator
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/977Thinning or removal of substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49128Assembling formed circuit to base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49162Manufacturing circuit on or in base by using wire as conductive path
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49169Assembling electrical component directly to terminal or elongated conductor
    • Y10T29/49171Assembling electrical component directly to terminal or elongated conductor with encapsulating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49204Contact or terminal manufacturing
    • Y10T29/49208Contact or terminal manufacturing by assembling plural parts
    • Y10T29/4921Contact or terminal manufacturing by assembling plural parts with bonding

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Pressure Sensors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】 MDIプロセス技法を使用してマスクレス・パターン生成用の半導体処理リソグラフィ装置を提供する。
【解決手段】 本実施の形態に係るマスクレス・パターン生成用の半導体処理リソグラフィ装置は、支持フレーム426に保持された可撓性絶縁膜上に形成され、行列配置された放射源セル(RSC)のソース積分光弁(SLV)アレイ420と、前記セルを制御するために膜上に配置された制御論理機構424とを備え、各セルが、放射源と、X線を生成するために放射線が入射するターゲットと、ターゲットから露光されるべき表面上へX線を放射するためのアパーチャ(REA)とを備えている。
【選択図】 図24

Description

本発明は、マスクレス・パターン生成用の半導体処理リソグラフィ装置に関する。
厚さが2μmよりも薄い、機械耐久性および熱耐久性の高い自立誘電体膜および半導体膜が開示されている(共通に発明された米国特許第4,924,589号と、現在の米国特許第5,103,557号である1990年2月16日に出願された米国特許出願第07/482,135号とを参照されたい。これらはともに引用によって本明細書に編入する)。本開示は、これらの技法と、厚さが通常8μmの膜としてICを形成するその他の集積回路(IC)技法を組み合わせたものである。このIC製造手法は、絶縁層分離(DI)として知られている業界で確立された汎用範疇に属し、シリコン・オン・インシュレータ(SOI)やシリコン・オン・サファイア(SOS)などの主題領域を含む。誘電体膜および半導体膜で形成されたICは、現在完全なICデバイス絶縁を行うために使用されている処理ステップの数および複雑度を大幅に低減する。完全なICから成る個別の回路デバイスのすべての表面上で絶縁層分離を行う絶縁層分離技法は今までのところ、大規模IC製造で広く使用されてはいない。集積回路は、SSIレベル、MSIレベル、LSIレベル、VLSIレベル、ULSIレベルなどの回路複雑度を参照するときに今日一般的に理解されているものとして定義される。
米国特許第4,924,589号明細書 米国特許第5,103,557号明細書
本発明は、集積回路および相互接続金属被膜を誘電体材料および半導体材料の膜で製造する一般的な方法に関する。本発明による製造技法を本明細書では膜絶縁層分離(MDI)と呼び、これによって製造される回路を回路膜と呼ぶ。材料および処理技法の新しい使用法によって、高温で機械耐久性の高い大面積自立膜(面積が1平方cmより大きい)を低応力誘電体膜ないし半導体膜で製造することができる。この膜によって、回路デバイスおよび相互接続金属被膜を製造するための確立された集積処理法の大部分を応用する(引き続き使用する)ことができる。
本発明によれば、集積回路は、回路デバイスが形成される1つの半導体材料層または部分層と、いくつかの誘電体層および相互接続金属被膜層とから成る低引張り応力誘電体膜上に形成される。本発明による構造は、複数の低引張り応力誘電体金属被膜相互接続層が半導体膜のどちらかの面上にある回路デバイスが形成された半導体材料の伸長膜である。
この膜構造は、新しくかつより費用有効的な集積回路の製造を可能にするための処理構造または製造構造である。これは、集積回路またはその一部を膜または薄膜の形で製造する目的に追加されるものである。
本発明によって製造できる回路膜の一般的範疇は、
1.半導体基板または非半導体基板上にまたは前記基板で形成された大規模絶縁層分離集積回路と、
2.半導体基板または非半導体基板上にまたは前記基板で形成された多層相互接続金属被膜回路である。
本明細書に開示したMDI製造技法の主な目的は、誘電体材料によって、各回路デバイス(たとえば、ダイオード、トランジスタなど)が最初に製造された共通基板からそのような各回路デバイスを完全に絶縁し、したがって、回路デバイスを相互に完全に絶縁することによって個別の回路デバイスの動作に対する電気的な悪影響をなくし、あるいは低減する高性能高密度集積回路を費用有効的に製造することと、集積回路を応用するためのより使い勝手がよくかつ効率的な物理形状因子を提供することである。MDI IC製造プロセスの利点には、隣接する回路デバイス間の基板電流漏れ、容量結合、および寄生トランジスタ効果がなくなり、あるいは低減されることなどがある。MDI IC製造プロセスの利点は、IC絶縁処理ステップを減らすことによるIC処理費用の低減、確立されたIC処理技法を使用してMDIIC回路膜の両側に相互接続金属被膜を製造する機能によるICトランジスタ密度の増大、新しいトランジスタ構造によるIC性能の向上など、他のいくつかのIC製造範疇に拡張される。
MDIプロセスの利点は主として、以下の2つの領域から得られる。
(1)通常、基板フレームまたは基板リング、あるいはボンディングされたフレームまたはリングによって、エッジで枠付け、あるいは懸垂、あるいは拘束される大面積可とう性薄膜自立誘導体膜を製造する能力。この膜は、性能上の顕著な欠陥なしで広範囲のIC処理技法および処理温度(少なくとも400℃)に耐えることができる。これらの要件を満たす本発明の誘電材料は、たとえばNovellus Systems社によって供給されている装置上で特定の低応力膜堆積仕様で製作するときは二酸化ケイ素膜および窒化ケイ素膜である。炭化ケイ素、窒化ホウ素、窒化ホウ素カーボン、酸化アルミニウム、窒化アルミニウム、五二酸化タンタル、窒化ゲルマニウム、フッ化カルシウム、ダイヤモンドなど、CVDプロセス法によって作製された誘電体自立膜が製作されており、適当なレベルの表面応力で堆積させると、場合によっては、MDI回路膜中の誘電体材料の1つとして使用することができる。低応力誘電体膜を製作する技術の進歩によって、本明細書で説明したように使用できる追加自立膜が生産される可能性が高い。
(2)半導体デバイスの一次基板または半導体デバイスをエピタキシ成長させることができるキャリア基板として一様な薄膜単結晶半導体基板を形成する能力。これを目的とするいくつかの方法が本明細書で開示され、その修正である他の技法が存在する。さらに、ある種の応用例では、単結晶材料の代わりにポリシリコンなどの多結晶半導体膜を使用することができる。
MDI IC製造プロセスの利点の大部分を提供するのは、適当な処理品質をもつ低応力自立誘電体膜の使用と、膜または薄膜単結晶、多結晶、または無定形半導体基板の形成との組合せである。本開示には以下の方法が包含される。
1.ICから成る各半導体デバイスを封止する低応力自立(薄膜)誘電体膜を製造する方法。
2.低応力誘電体材料と組み合わせて使用するための一様な厚さの半導体膜(薄膜)基板を形成する方法。
3.回路膜から成る誘電体膜内および前記膜上に半導体デバイスを形成する方法。
4.回路膜から成る誘電体膜内および前記膜上に相互接続金属被膜構造を形成する方法。
一実施例でのMDI回路製造プロセスは、半導体ウェハ基板から始まり、IC中の各トランジスタまたは半導体デバイス(SD)が相互に完全に絶縁層分離される回路膜の形のICが最終的に得られる。半導体デバイス間で電気的連続性を提供するのは、半導体デバイスの特定の電極コンタクト部位にある相互接続だけである。MDIプロセスの一次特徴は、半導体デバイスが最初に形成された介在するすべての半導体基板からICのすべての半導体デバイスを絶縁することと、既存の大規模IC処理手法よりも低いコストおよびプロセス複雑度でそれが行なわれることである。MDIプロセスの他の特徴は、垂直電極コンタクト(背部相互接続金属被膜)と、拘束横方向選択的エピタキシアル成長と、非対称ドーパント断面と、リソグラフィ処理用の共形マスクまたは投影マスクとして働くようにMDI回路膜を使用することである。MDI処理を開始する最初の基板が最も一般的に使用される半導体シリコンである場合でも、その結果得られるICは、シリコン・ベースのデバイスで構成する必要がなく、GaAs、InP、HgCdTe、InSb、エピタキシアル手段によってシリコン基板上で成長したシリコンやGaAsなどの技法の組合せなど、どんな半導体デバイス材料であってもよい。シリコンは、現在確立されている他の大部分の半導体材料と比べて優れた機械的取扱い特性をもつ廉価でかつよく理解されている半導体基板材料である。MDIプロセスはシリコン基板から始まることに限らず、MDIのプロセスの定義は、シリコンの使用に依存しない。しかし、開始半導体基板としてシリコンを使用することには現在明らかな利点があり、本明細書で開示したMDIの主な実施例は、シリコンの開始半導体基板材料を使用する。
MDIプロセスによってICを製造する利点は従来技術の方法と比べて顕著である。これらの利点のいくつかを以下に示す。
1.半導体デバイスの完全な電気絶縁
2.垂直半導体デバイス構造
3.処理複雑度の低減またはデバイス絶縁処理ステップの減少による処理コストの低減
4.膜基板による共形マスク・リソグラフィ
5.基板の厚さの制御によるリソグラフィ露光中の焦点の深さの制御
6.ICの両面への相互接続金属被膜の塗布
7.膜(基板)通過相互接続金属被膜経路指定
8.回路膜IC層のボンディングによる三次元IC構造
9.回路膜のIC構成要素の効率的な伝導冷却または放射冷却
10.平行に位置決めされた膜IC間の直接光(レーザ)ベースの通信
11.より高性能のIC
12.垂直半導体デバイス構造の形成
13.新しい選択的なエピタキシアル・デバイスの形成
多結晶TFT(薄膜トランジスタ)または無定形TFTを使用するある種の応用例など、半導体技法によっては、各トランジスタや半導体デバイス間を完全に絶縁させることは必要とされない。これはMDIプロセスに対する制限ではない。なぜなら、半導体デバイス側壁絶縁がMDIプロセスのオプションだからである。新しいことは、誘電体材料および半導体材料の薄膜または膜を自立ICまたは回路膜として形成するための一般的な方法をMDIプロセスが提供することである。
MDIプロセスとは、自立誘電体回路膜ないし半導体回路膜として相互接続金属被膜回路を形成することである。IC回路膜を備えた各半導体デバイスは、隣接する半導体デバイスから任意選択で絶縁された半導体デバイスであり、各半導体デバイスは、厚さが通常8μmよりも薄い半導体材料の膜上または前記膜中に形成される。回路膜の全体的な厚さは、通常50μmよりも薄く、8μmよりも薄いことが好ましい。誘電体膜は非常に高温のIC処理技法と互換性がある。
MDI製造プロセス
いくつかのプロセス変形例を使用して、MDIプロセスで使用するための半導体材料の薄膜または膜を形成することができる。半導体膜を形成する追加関連手法が存在することができ、あるいは存在させることができ、それらはMDI技法に含まれる。
シリコン単結晶薄膜を形成するために使用できるいくつかの方法の例には以下のものがある。
1.任意選択のエピタキシアルSiGe(Geは20%よりも少ない)アンチ・オートドーピング・オーバレイヤ層および任意選択のエピタシアル層を含む、ホウ素を大量にドーピングされた(通常1018atom/cm)エッチング・ストップ層(拡散、注入、またはエピタキシで形成する)
2.O(酸化物)N(窒化物)注入エッチング・ストップ・バリア層。注入濃度は通常、エッチング・ストップ・バリアを形成する場合、現在標準厚さのシリコン基板で行われているように埋込み酸化誘電体絶縁層または窒化誘電体絶縁層を形成するのに必要な濃度よりも10倍ないし100倍少ない。
3.多孔性シリコン層で形成された埋込み酸化エッチング・ストップ・バリア層
4.高精度両面研磨基板および背面のマスクによる定時化学エッチング・バック
5.電気化学エッチング・ストップ
6.陽極ウェハ・ボンディングまたは熱ウェハ・ボンディングと厳密基板研磨および化学エッチングとの組合せによる埋込みエッチング・ストップ層の形成
薄い半導体基板または膜を形成するための確立された多数の方法がある。MDIプロセスでは、半導体膜形成プロセス(薄膜化プロセス)が通常厚さが2μmよりも薄い非常に一様な膜を生成し、半導体膜の表面張力が低引張り応力となる必要がある。膜が引張り応力ではなく圧縮応力を生じる場合、表面の平坦さおよび膜の構造的一体性は多くの場合、その後のデバイス製造ステップまたは十分耐久性の自立膜を形成する能力に不適切なものとなる。
拡散手段、注入手段、またはエピタキシアル手段によって形成された基板の表面上または前記表面付近に大量にドーピングされた層を使用することは、バリア・エッチング・ストップ層を形成するための確立された方法である。大量にドーピングされたホウ素層は、基板の残りの部分よりも10倍ないし100倍低速にエッチングされる。しかし、有効で一様な膜表面を形成しなければならない場合、下部基板および上部デバイス層へのオートドーピングを防止し、あるいは最小限に抑えなければならない。これは、1つの方法では、バリア・エッチング・ストップのどちらかの側で4,000Å(1Å=10−10m)より薄く、かつGeが25%よりも少ないSiGe層をエピタキシアル成長させることによって行われる。SiGe層およびバリア・エッチング・ストップ層は続いて、デバイス絶縁層分離を完了するために、膜が形成された後に除去される。
誘電体膜を形成するMDIプロセスでは、誘電体材料を正味表面引張り応力で堆積させ、引張り表面応力レベルを誘電体の破壊強度よりも2倍ないし100倍小さくする必要がある。膜の外因性正味表面応力を最小限に抑えるために、使用中の半導体材料および様々な誘電体材料の熱膨張係数も考慮する。熱によって形成された二酸化ケイ素は強力な圧縮膜として形成され、現在使用されている最も堆積された誘電体は通常、圧縮表面応力によって形成される。破壊強度よりも100倍小さな引張表面応力をもつ高温二酸化ケイ素および窒化ケイ素誘電体堆積膜は、MDIプロセスの要件を満たす大面積自立膜として実証されている。
回路膜の製造で半導体材料を使用する場合に半導体材料が自立膜を形成できることはMDIプロセスの要件ではない。任意選択で回路膜中のあらゆる半導体デバイスを絶縁するために使用される誘電体材料は、以下に提示する一般的な製造方法に含まるように、その結果得られる回路膜の一次構造手段を提供することができる。
集積回路および相互接続構造の製造用の基板の構成要素または層として、半導体材料と誘電体材料との大型耐久性温度耐性低引張り応力膜を形成する能力は、MDIプロセスに固有のものである。MDIプロセスの大型自立半導体膜基板および誘電体膜基板は、回路製造のコストおよび複雑度を低減し、回路動作の性能を向上する固有の構造上の利点を提供する。
MDIプロセスは、半導体基板薄膜を形成する方法としてどれを選択するかに応じて、おおざっぱに2つの方法として説明することができる。以下に提示した2プロセス法のステップのシーケンスは、処理効率に応じて異なる順序で使用することができる。開始時に半導体基板を使用せずにポリシリコンまたはa−Si(無定形シリコン)回路デバイスを誘電体膜上に形成することを以下で開示するが、方法として範疇付けるわけではない。
方法#1
1.シリコン基板中または基板上にエッチング・バリア層を注入し、拡散させ、あるいはエピタキシ成長させる。
2.任意選択で所望のエピタキシアル・デバイス層を成長させる。
3.任意選択で半導体デバイス領域をトレンチ絶縁させる。
4.低応力誘電体膜の堆積を含むすべての所望のIC処理ステップを完了する。
5.基板の背面をバリア層または制御された基板残留物まで選択的にエッチングすることによって、誘電体・半導体基板膜を形成する。
6.基板の背面および基板の残りの頂面に対してIC処理ステップを完了し、ステップ3で半導体デバイスをトレンチ分離していない場合に任意選択でそれを行う。
方法#1は、低応力誘電体膜を堆積させ、基板の背面をエッチングすることによって膜構造14を剥離する前に標準厚さの半導体基板10上に所望のIC半導体デバイスを製造するものである(第1a図は、背面エッチングの後の基盤10の断面図を示す)。このような半導体デバイスは通常、各半導体デバイスの能動領域層を介して、あるいは前記層の下でトレンチ分離される(以下参照)。
半導体デバイスは通常、蒸気相エピタキアル堆積によって製造される。SEG(選択的エピタシアル成長)、ELO(エピタキシアル・ラテラル・オーバグロース)、MOCVD(金属有機化学蒸着)、MBE(分子線エピタキシ)など周知のエピタキシアル製造方法を使用することができる。
第1a図中の実施例で、エッチング・ストップ・バリア層12はシリコン基板10の表面のすぐ下に注入される。これを第1b図に示す。第1b図は、背面エッチング・ステップの前の基板10の一部の拡大図である。基板10の一部11は除去される予定である。このバリア・エッチング・ストップ層12は、周知のSIMOX技法に類似の方法で製作された酸化層でも、注入された窒化ケイ素層でもよいが、MDI処理に必要とされる埋込み酸化層または窒化層は、そのような注入技法のための一般的な応用であるシリコン基板用のデバイス絶縁層分離を行うのに必要とされるものよりもずっと少ない(SIMOX(注入された酸素による分離)の語は、酸素の注入によってバルク・シリコン基板中に埋込みSiO層を形成する絶縁層分離プロセスを全般的に指すものである)。層12の目的は、続く半導体デバイス処理を低下させる基板10の結晶表面への損傷を最小限に抑えて、同時に、基板表面のすぐ下に一様基板(シリコン)優先背面エッチング・ストップを提供することである。バリア・エッチング・ストップ層12は、十分制御された厚さに製作することができ、低応力誘電体回路膜および(任意選択で)半導体回路膜を形成する際の処理の一部としてシリコン基板10を背面14(第1a図参照)から選択的にエッチングするときに終了点として働く。第1b図に示したバリア・エッチング・ストップ12は、基板のエッジまでは延びていない。バリア・エッチング・ストップ12は、基板(ウェハ)のエッジまで延びてもかまわないが、基板10の膜構造の形成時に除去される部分11を越えて延びなければならない。
MDI回路膜が形成される最初の基板は、基板の背面がエッチングされた後にMDI回路膜のための保持フレーム(またはリング)18として働く。基板の背面エッチングによって、基板のフレーム18は、その結果得られる回路膜を保持したままになる。フレーム18の幅は、回路膜の表面力によってフレームに亀裂が生じないようにするのに十分なものである。通常400ミル(1cm)より短い幅で十分である。これを行うには、熱酸素物を成長させ、通常窒化ケイ素の膜(厚さ約5,000Åないし7,500Å)を基板の背面14上に堆積させ、次いで所望の回路膜の寸法および形状の窓または開口部を誘電体層にエッチングする。次いで、誘電体窓または誘電体マスクを介して基板をエッチングする。背面窓開口部(または誘電体マスク)の形状は通常矩形である。ただし、形状は変更することができる。
次いで、バリア・エッチング・ストップ層12を選択的にエッチングして、シリコン基板の最初の表面10層だけを残す。バリア・エッチング・ストップ層が、続くエピタキシアル処理に必要とされる十分な結晶構造、すなわち、表面応力(通常10dyn./cmより小さい引張り)を保存するものであり、バリア・エッチング・ストップ層と比べて選択的なシリコン基板用エッチング手順があり、必要に応じて、塗布されたバリア・エッチング・ストップ層を後で除去することができる場合、バリア・エッチング・ストップ層をシリコン基板の表面上に形成することもできる。TMAH(水酸化テトラメチル・アンモニア)またはその他の適当な選択的シリコン・エッチング液(以下参照)によって背面14をエッチングする。第1c図は、埋込みエッチング・ストップまでの背面基板エッチング、誘電体堆積、およびバリア・エッチング・ストップの選択的除去の後に半導体基板中に半導体デバイス24、26、28を形成することを示す。やはり、隣接する半導体デバイス24、26、28間の絶縁誘電体として働く低応力誘電体膜20を堆積させる前に基板10にトレンチ25、27、29を設ける。
回路膜のトランジスタ・デバイスを横方向に絶縁するために方法#1のステップ3または6によって示唆されるトレンチ絶縁の代替技法は、周知のLOCOS(シリコンの局所酸化)絶縁法を使用することである。LOCOSは第1dおよび1e図に示したようにステップ6(以下の方法#2ではプロセス・ステップ4または5)の処理の一部として適用することができる。第1d図は、半導体層20bと、相互接続金属被膜20cと、低応力誘電体膜20dとを含み、頂面デバイス処理が完了しており、背面に堆積した低応力窒化ケイ素マスク13がトランジスタ間の開口部15a、15bでパターン化された回路膜20のいくつかのトランジスタ11a、11b、11cの断面図を示す。第1e図に示した、窒化マスク13の開口部の下側の薄いシリコン・デバイス基板層の続く熱酸化17a、17bによって、トランジスタ11a、11b、11cが横方向に絶縁され、回路膜トランジスタ11a、11b、11cの絶縁層分離が完了する。
LOCOS法によって行われる熱酸化物絶縁では、半導体(基板)膜層の正味引張り表面応力を変化させることができる。LOCOS処理の前に半導体層のどちらかの面上に低応力誘電体膜を堆積させると、酸化物形成の最も圧縮的な効果が補償される。LOCOSプロセスによるデバイス絶縁は、半導体基板層の深さが浅いので、MDI回路膜に適用されたとき、現行のバルク処理よりも効果的である。これによって、最小限のデバイス絶縁分離に対して回路デバイスの密度を最適に近いものにすることができる。MDI処理手法にLOCOSを容易に組み込めることも、MDIプロセスと既存のIC製造技法との一般的な互換性を示すものである。
次いで、(任意選択で)従来の陽極技法、融解(熱)技法、またはエポキシ・ボンディング技法によって残りの基板10(第1f図参照)のエッジをボンディング・フレームまたはリング19(通常、ガラス、水晶、または金属製であり、厚さが約25ミルないし100ミルである)にボンディングする。この場合、基板フレーム18を除去することができる(第1g図参照)。ボンディング・フレームまたリング19はMDI処理では必要とされない。最初に、(背面エッチングされた)最初の基板10がこの機能を実行するからである。
バリア・エッチング・ストップ層を形成することの代替方法は、基板を背面から選択的にタイム・エッチングするときに、誘電体膜20に到達する前にエッチングを停止して、それによって能動デバイス基板に受け入れられるほど一様な厚さが残るような厚さ一様性仕様までシリコン・ウェハ10の両面を研磨することである。この方法は、一様な厚さを達成するためにウェハの両面を厳密に研磨する必要がある点で機械的に難しい。
相互接続金属被膜誘電体の一部、および第3aおよび3b図に示した両面相互接続金属被膜35上の層として誘電体膜20、36を形成する(第3b図は、「3b」として参照される第3a図の部分の拡大図である)。誘電体膜20、36の厚さは、相互接続金属被膜層351つ当たり2μmよりも小さい値から15μmを超える値まで変化させることができる。基板を覆うすべてのブランケット誘電体材料は低応力表面張力を有し、引張応力を生じることが好ましい。
相互接続誘電体として使用される低応力誘電体材料36(第3b図参照)を含む両面相互接続金属被膜35を塗布し、あるいは、従来の一面相互接続構造用の低応力誘電体で回路膜の背面を不動態化することができる。回路膜は、さらにSiOやSi××など様々な低応力誘電体層を堆積させ、かつ半導体デバイス電極コンタクトと相互接続金属被膜の間の確実な低抵抗接合を達成するのに必要とされる、400℃を超える処理温度に耐えることができる。誘電体膜材料および基板膜材料の指定の組成および厚さに応じて、注入活性化熱処理またはエピタキシアル処理で必要とされるようなより高い温度の処理ステップを実行することができる。
基板の背面でのリソグラフィ・ツールのアライメントは、第4図に示したように、透明な誘電体材料を介して実行することができる。リソグラフィ・アライメント・マーク40がより容易に見えるように、アライメント・マーク40付近の半導体基板材料10a(厚さが2μmより薄いところではスペクトルの可視部分でほぼ透明である)を誘電体膜20からエッチングすることができる。背面アライメントには赤外線アライメント機構も利用可能である。シリコンは赤外線の波長では透明である。赤外線アライメント用のリソグラフィ・マーク40は、赤外線の波長が長いため、可視スペクトルで使用されるものほど小さくすることはできず、通常6,000Åを超える。
方法#2
1.自立低応力半導体基板膜を形成する。
2.任意選択で所望のエピタキシアル・デバイス層を成長させる。
3.低応力誘電体膜の堆積を含むすべての所望の頂面IC処理ステップを完了する。
4.任意選択で半導体デバイス領域を背面からトレンチ絶縁させる。
5.基板の背面および基板の残りの頂面に対してIC処理ステップを完了する。
半導体膜基板の頂面および背面で使用されるIC処理ステップは周知のものであり、半導体基板膜への適用に固有のものではない。ほぼどんな半導体処理技法でも適用することができる。
方法#2は、低応力誘電体膜を堆積させ、半導体デバイスを製造する前に、第2図に示したもののような薄い低応力半導体基板膜20を製造するものである。第2図に示したこの基板膜は、確立された選択的電気化学エッチング技法、またはウェハ・ボンディング技法、研削技法、および選択的基板エッチング技法の組合せによって形成することができる。膜は引張り応力が約10dyn./cmであることが好ましい(これは、1つの方法では、n型ドーパント濃度が1016atom/cmないし1019atom/cmである層を形成し、電気化学エッチング技法を適用することによって行われる)。半導体基板膜20を製造した後(第3a図参照)、低応力誘電体材料を使用して半導体デバイス24、26、28、、、30を基板20上で製造して相互接続する。半導体基板上に形成された低応力誘電体膜は(相互接続金属被膜と共に)、膜の半導体基板部分が独立の半導体デバイスとしてエッチングされ、あるいはトレンチされた後で唯一の構造回路膜構成要素となる。不動態化のためと、結果として得られる膜20の厚さを増して特定の所望のレベルの耐久性を達成するために、相互接続金属被膜上の追加低応力誘電体層(図示せず)を塗布することができる。
半導体基板14(第2図参照)の背面エッチングによって、半導体デバイス24...30の下面にアクセスできるようになり、半導体デバイスの追加処理を実行できるようになる。半導体デバイス24...30の下面に対する処理オプションは方法#1の場合のものと同じである。しかし、半導体デバイスのトレンチ絶縁(第1c図参照)は今までのところ実行されていない。トレンチ絶縁とは、半導体デバイス24、26、28のすべての面上での前記半導体デバイス間の分離空間25、27、29(通常幅が2μmよりも狭い)のエッチングであり、確立されたIC処理技法である。次いで、空間またはトレンチ25、27、29を誘電体で充填する。本明細書で適用されるトレンチ絶縁は新しいものである。なぜなら、この技法は誘電体膜によって支持される半導体膜に適用されているからである。トレンチ絶縁が所望の場合、確立されたマスキング技法およびエッチング技法を適用してトレンチを形成することができる。
半導体基板の厚さの一様性はICの半導体デバイスの動作特性の一様性とリソグラフィ処理ステップに重要である。上述の実施例は、半導体基板材料を選択的にエッチングする際にエッチング・ストップ技法を使用して所望の基板膜厚さを形成する。
相互接続回路膜もガラスまたは水晶製基板23(通常、厚さは50ミルよりも薄い)上に形成することができる。この場合、第1h図に示したように、基板23の両面にポリシリコンの伸長膜25(LE. TrimbleおよびG. E. Celler著の“Evaluation of polycrystalline silicon
membranes on fused silica"(J. Vac. Sci. Technology B7(6)、1989年11月/12月)で教示された)を堆積させる。次いで、第1i図に示したように、ポリシリコン膜25上にMDI相互接続回路膜27を形成する。第1j図に示したように、基板23の背面上のポリシリコン25の開口部29を設け、基板23の背面を選択的にエッチングして、自立伸長ポリシリコン膜27が残りの基板のフレーム23bに保持されたままにする。やはり第1j図に示したように、回路膜27のすぐ下のポリシリコン25を任意選択で除去することができる。回路膜を基板エッチング液から保護するために、基板の背面にエッチングする前に金属膜(図示せず)またはその他の保護層を回路膜上に堆積させる。MDI相互接続回路膜の製造で非半導体基板を使用するために検討すべきことは、基板材料の費用要件または適用要件である。この方法を膜製造に適用した例には、高分解能共形コンタクト・リソグラフィック印刷用のマスクおよびMCM(マルチチップ・モジュール)相互接続回路がある。
低応力二酸化ケイ素・窒化ケイ素堆積仕様
低応力膜は、低応力高温誘電体膜のMDI要件を満たすように製造されている。このような膜はNovellus
Systems, Inc.(San Jose, CA)のConcept One誘電体堆積装置上で製作されたが、そのような装置に限定されない。低応力は、Nouvellus装置によって行われる二酸化ケイ素および窒化ケイ素の堆積に関して、張力が8×10dyn./cmよりも低い(好ましくは1×10dyn./cm)ものとして定義される。様々な装置上で製作される異なる誘電体の受け入れられる表面応力レベルはそれぞれ大きく異なる。
以下のものは、MDIプロセスによって必要とされる二酸化ケイ素または窒化ケイ素の誘電体膜を製作するために使用される2つの典型的な仕様である。これらの仕様の変形例を使用して、堆積させる誘電体膜の特定の特性を強調することができる。これらの仕様は、Nouvellus社製装置上でMDIプロセスの要件を満たすための唯一の仕様でも、MDIプロセスに対する制限でもない。仕様のパラメータをわずかに変更すれば、材料の構造、エッチング速度、屈折率、表面応力、または堆積させる誘電体材料のその他の特性の変化をもたらすことができる。
仕様#1 仕様#2
二酸化ケイ素 窒化ケイ素
温度
400℃
400℃
圧力
1.8Torr 2.3Torr
HFRF電力 640W 220W
LFRF電力
100Ω、160W
180W
SiH
260sccm 0.23slm
NH

2.00slm
1150sccm 0.60slm

6000sccm −
構造的に拡張された低応力誘電体回路膜
第5図は、構造的に拡張されたMDI回路膜構造を示す。MDI回路膜の構造的拡張は、圧力感知やICウェハ分類試験などでのコンタクト試験測定など、通常の動作の一部として膜に応力が印加される様々な応用例に必要であることが分かる。厚さが1μmを超えて、通常10μmないし25μmになるように、誘電体膜の一部44をSD層24a上に堆積させる。誘電体膜のこの堆積した厚い層44をマスクでパターン化し、ドライ・エッチングで蜂の巣状パターンのリセス46a、46b、46cを得る。これらのリセス46a、46b、46Cの深さは、それらがエッチングされた低応力誘電体44の厚さの約75%である。任意選択の電気コンタクト47は、回路電極の一例として提供され示されている。リセス46a、46b、46cの開口部の寸法は通常、深さ寸法の2倍または3倍である。任意選択で、1,000Åの低応力CVD窒化ケイ素の堆積を適用して不動態化シールを形成することができる。
MDIエア・トンネル相互接続構造
半導体デバイスとコンデンサや抵抗器などの受動回路要素との間の相互接続金属被膜を構成する金属導体(トレース)のインピーダンスは、100MHzを超える動作周波数で慎重に設計しなければならない。誘電(絶縁)体材料の誘電定数は、この材料の使用を検討するときの一次決定因子である。相互接続構造の製作で従来使用されているポリイミド材料は通常、2ないし3.5の範囲の誘電定数を有する。CVD二酸化ケイ素および窒化ケイ素の誘電定数は通常、3.5以上である。理想的な誘電体は、誘電定数がほぼ1である真空、ガス、または空気である。真空誘電体またはガス状誘電体で導体の表面積の大部分を絶縁する相互接続構造を製造すると、その構造の高速動作に関する最適に近い条件が得られる。周期的にのみICの表面に接触する「エア・ブリッジ」と呼ばれるトレース構造または導体構造をICの表面上に製造する。この周期的接触によって、絶縁の正味誘電定数が低い機械的支持ないし電気的接触が提供される。エア・ブリッジは従来、マイクロ波回路の製造で使用されている。そのような回路は、GHzの範囲の動作周波数を有する。
第6a、6b、および6c図は、誘電層52、52aの内部にある導体構造50の断面図を示す。しかし、導体構造50は、従来のエア・ブリッジと同様に、周期的にのみ機械的接触するガス状一次表面誘電体コンタクト50−a、50−b、50−cを有する。導体50は、周りのまたは密閉する固体材料構造に接触せずにガス状誘電体に懸垂する。第6d図は、コラム支持体(ないしバイア・コンタクト)50−a、50−b、50−cおよび誘電体支持コラム52−a、52−bを示す導体またはトレースの一部の平面図である。この相互接続構造を本明細書では「エア・トンネル」と呼び、その製造方法は、上述のMDI回路膜(誘電体膜および半導体膜)製造で使用された方法を直接拡張したものである。第6a、6b、および6c図にも、誘電体膜56、半導体膜58、誘電体支持コラム52a、接地平面金属被膜60、a−Si(無定型ケイ素)のエッチング除去によって形成されたキャビティ54、およびすべてのa−Siのエッチング除去のための開口部62が示されている。第6b図は、第6a図の代替構造のエンドオン図である。第6b図は、支持(懸垂)導体50への誘電プラグ・コンタクト68を示す。第6c図は、誘電体70およびトレース72の第2の層を含む第6a図の構造の拡張を示す。
エア・トンネル構造は、MDI回路膜の他にどんな半導体基板上にでも製造することができる。使用される構造誘電体材料は、上述のMDI回路膜の製造で使用されたものと同じ低応力誘電体グループから選択される。エア・トンネルの製造方法は、回路膜中の半導体デバイスおよび受動回路要素のガス状誘電絶縁に拡張することもできる。
一実施例のエア・トンネル構造は、CVD処理技術によって製造される。ECR(電子サイクロトロン共鳴)プラズマCVD処理もまもなく、代替堆積法を提供することができる。導体デバイスまたは半導体デバイスのガス状誘電体分離は、他のMDI回路膜材料層と比べて、CVD手段によって堆積させることができ、選択的にエッチングできる、a−Si、ポリシリコン、または代替材料(通常、誘電体材料)の犠牲CVD膜を形成することによって行われる。回路膜の製造でのエア・トンネル相互接続構造の使用を予期して、各デバイスをトレンチ絶縁させ、露出させたデバイス表面上に薄い酸化物層または窒化物層(通常、厚さは2,000Åよりも薄い)を堆積させ、次いで、a−Si膜を堆積させることによって半導体デバイスを絶縁させる(不動態化する)。a−Si膜の厚さおよび絶縁トレンチの幅は、トレンチが均一に詰め込まれ、あるいは充填され、プラグ上の表面が比較的平坦になるように選択される。このプラグ技法は、すべての相互作用する表面上に共形的に膜を堆積させるCVD処理技法によって容易になる。続いて、エア・トンネル相互接続構造を完成し、シリコン選択的エッチング液によってa−Si層を除去する。以下で説明するように、エッチング液はエッチング・バイアを介してa−Siにアクセスする。
エア・トンネル相互接続部製造法は基礎基板とは独立している。基礎基板は第6d図に示したMDI回路膜(その製造については上記で開示した)、従来の半導体デバイスIC基板、MCM回路基板、MDIテスタ表面膜などでよい。
エア・トンネル製造プロセスは、相互接続すべき電極を含む基板56上にa−Si膜76を堆積させることから始まる(第6f図参照)。トレンチおよびコンタクト・バイアでa−Si膜76をパターン化する。通常タングステン(W)であるCVD処理された金属膜50をa−Si上に堆積させる。a−Si層中のa−Siトレンチおよびバイアの寸法は平坦なプラグの形成と一貫している。a−Si76中のトレンチは、金属膜50を詰められると、導体の支持コラム50−a、50−b、50−cになる。第6aおよび6d図を参照されたい。バイアに導体を堆積させると、機械的(コラム)支持および電気的接触が提供される。金属膜50をパターン化し、CVD処理された第2のa−Si膜78を金属膜50上に堆積させる。(金属膜50のパターン化の前に)AuやCuなど極めて導電性の金属の薄い層(第6a図には図示せず)をCVD金属上にスパッタ堆積させて、導体の導電性を強化することができる。第6f図に示したように、a−Si膜78をパターン化し、金属導体50からa−Si膜78を除去して導体50のエッジに沿ってトレンチ80を形成する。第6g図に示したように、第3のCDVa−Si層82をこのトレンチに詰め込む。金属導体50上での第2のa−Si層78のパターン化に厳密なアライメントは必要とされない。第2のa−Si層78の厚さの+50%のアライメント公差で十分である。
この結果得られる3枚のa−Si膜76、78、82は比較的平坦であり、導体50が真下にあるエッジに沿って微細表面形状がある。この表面微細形状は、a−Si表面を浅く熱酸化し、次に酸化物を剥離することによって減らすことができる(図示せず)。
a−Siおよび金属膜を平坦化するために使用できる代替方法は、第2のa−Si膜81を塗布した後に、基板75、低応力誘電体77、第1のa−Si層79、および第2のa−Si層81上に厚いポリマーをスピン・コートすることである(このa−Si膜81は、前記の第2のa−Si膜と第3のa−Si膜を組み合わせたものに等しい厚さに堆積させる)。使用されるポリマー85は、a−Si膜とほとんど同じRIE率をもつように選択される。ポリマー85を完全に除去し、所望に応じてa−Siのエッチングを継続する。第6hおよび6i図を参照されたい。
次いで、a−Si膜のスタックをパターン化して、低応力誘電体の上層の支持コラム50−a、50−b、50−cになるトレンチを形成する。これらの支持コラムは通常、(第6d図に示したように)導体50に沿って連続的に並べ、導体のない開放領域51を介して周期的に並べる。支持コラム(エッチング・バイア)を周期的に重ねて並べることによって、堆積したすべてのa−Si材料を除去するa−Si選択的エッチング液の能力が向上する。任意選択で、低応力誘電体膜を堆積させる前に、通常厚さが5,000Åよりも薄い金属膜60(第6a図参照)を、パターン化されたa−Si上に堆積させて、接地平面および導体50用のEM(電磁)シールドとして働かせることができる(この金属膜は、a−Siを除去した後も上部の低応力誘電膜に接触したままである)。通常厚さが1μmないし2μmの低応力誘電体膜52、52aを、パターン化されたa−Si膜上に堆積させる。この低応力誘電体層52、52aをa−Si膜へのトレンチ開口部62でパターン化する。これらのトレンチ開口部は、エッチング・バイアと呼ばれ、層の数にかかわらずに各相互接続層からすべてのa−Si膜内部層を除去するためにTMAHやエチレン・ジアミンなどa−Si選択的エッチング液用のアクセスを提供する。
第6cおよび6d図に示したように、誘電体中のエッチング・バイア62を誘電体支持コラムの近くに並べる。あらゆる相互接続層上に、エッチング液がエア・トンネル相互接続構造のすべての下部層に到達できるようにするのに十分な1層当たり頻度になるようにエッチング・バイア62を並べる。エッチング・バイア62を形成した後に、以下に概要を述べるプロセス・ステップのシーケンスを繰り返すことによって追加相互接続層72、80(第6c図参照)を形成することができる。エア・トンネル相互接続構造を半導体膜上で使用して回路膜を形成する(MDIプロセス)とき、半導体膜の両面へのエア・トンネルの応用は自然な拡張であり、異なる処理シーケンスを必要とする特殊ケース応用例ではないことは明らかであろう。
エッチング・バイア62は、有効な回路誘導体が回路膜に進入できるようにするガス状材料(Nや空気など)用のアクセス・ポートとして働く。エッチング・バイア62は、内部相互接続構造と回路の表面の外部環境との間で等圧を維持するようにも働く。
エア・トンネルを製造する処理ステップの以下のシーケンスでは、処理中の基板が、ステップを開始するための既存の低応力誘電体膜層を有すると仮定されている。
1.金属コンタクト・プラグ・バイアおよびエッチング・バイア用の誘電体をパターン化する。
2.a−Siの膜を堆積させる。この膜の厚さは、下部の低応力誘電体からのトンネル導体の下部分離距離を決定する。
3.導体支持コラム用のa−Si膜をパターン化する(下部の誘電体へのバイア)。
4.金属導体膜を堆積させる。
5a.金属導体膜をパターン化する。
5b.任意選択で、導電膜をパターン化する前に高導電性金属膜を堆積させる。
6a.ほぼ金属膜の厚さのa−Si膜を堆積させる。
7a.導体表面を露出させるようにa−Si膜をパターン化し、側面導体に沿ってトレンチ・エッチングする。
8a.表面が平坦化するようにa−Si膜を堆積させ、上部の誘電体膜から導体を分離する。
9.誘電体支持コラム用のa−Si膜をパターン化する(誘電体へのバイア)。
10.任意選択で、接地/シールド金属膜を堆積させる。
11.低応力誘電体膜を堆積させる。
12.誘電体をエッチング・バイアでパターン化し、あるいは上記の第1のステップから繰り返す。
上記のステップ6ないし8は、平坦化された導体層を形成するための以下の代替ステップと交換することができる。
6b.厚さが導体の厚さに等しくなり、導体上に所望の分離がもたらされるようにa−Si膜を堆積させる。
7b.第6h図に示したように、a−Si膜のエッチング速度に非常に類似するエッチング速度で平坦化ポリマーを堆積させる。
8b.第6i図に示したように、すべてのポリマー材料が除去されるまでRIEする。
エア・トンネルの主な属性を以下に示す。
1.低平均誘電定数(1に近い)。
2.自動平坦化製造方法
3.一体的な接地またはEM遮蔽平面
4.回路膜の一面または両面への適用
5.MDI回路膜または標準ウェハ基板への適用
一例として、エア・トンネルによって接続されたMOSFETデバイスの断面図を第6e図に示す。第6e図のトランジスタは、半導体膜で形成され、金属コンタクトによって電極に懸垂され、すべての残りのデバイス表面上でガス状誘電体によって分離されている。第6e図には、半導体膜の能動部分84(MOSFET)および未使用部分86、薄い窒化物絶縁膜88、機械的導体支持体94、導体トレース92、および追加エア・トンネル相互接続構造92、94、96が示されている。
MDI回路膜の利点
回路膜を製造することによって、以下のように新しい方法で集積回路を製造し使用することができる。
1.背面相互接続金属被膜、背面SD電極コンタクト、および誘電体通過膜相互接続金属被膜信号経路指定(第3b図参照)。
2.回路膜のどちらかの面上の光学送受信半導体デバイスによる、MDI回路膜150a、150b、150cの垂直アレイ・スタックでの光通信の使用(第7図参照)。ICのエッジに接続部を形成する(これは現行の慣習である)代わりにICの表面上の任意の点からいくつかのMDI回路膜150a、150b、150cのスタックを介してデータをバス接続すると、回路の構造が簡単になる。外部通信光学トランシーバ152が提供される。MDI回路膜150bは、送信機(レーザ・ダイオード・アレイ)156からの光通信が光学受信機SD158に伝わる際に通過する透明な窓154を含む。MDI回路膜のスタックは、支持体160によってまとめて保持される。金属導体ではなく光学手段を使用してIC間で情報を送信する能力によって、現在の金属接続方法と比べて、通信経路の長さが短くなり、通信経路の速度および帯域幅が増し、消費電力が減少する。
MDI回路膜の光学受信機は通常、厚さが5,000Åよりも薄い。この厚さの受信機はそれに当たる光学信号フルーエンスの一部だけを吸収し、残りのフルーエンスは受信機の対向側へ通過する。これによって、第2および第3の受信機を同じ信号を受信するように光学送信機の経路に位置決めすることができる。1つの送信機に関連する受信機の数を決定する制限条件は、光学送信機の出力フルーエンスと、トランシーバ基板の厚さである。いくつかの受信機に同時に光学信号を送信するこの能力は、(あらゆる回路膜界面でのトランシーバ構造に対する)回路の複雑度の低減と性能(トランシーバ(リピータ)伝搬遅延なし)の利点を有する。
3.第8図に示したように、2つ以上の回路膜を垂直ボンディングして三次元回路構造を形成する。回路膜表面電極168a、168b、168c、168d(パッド)の圧縮ボンディングによってSD162、164、166を含む回路膜160a、160b、160cを相互接続する。MDI回路膜間のボンディング170は、2つのMDI回路膜160b、160cの表面でボンド・パッド168c、168dを整列させ、機械的圧力源またはガス圧力源を使用してボンド・パッド168c、168d(通常は直径4μmから25μm)を押し合わせることによって行われる。パッド168c、168dがはんだである場合、はんだの融点(通常350℃よりも低い)まで加熱して、パッドを相互に溶接することができる。パッドがインジウム、錫、またはそのような金属の合金である場合、約100p.s.iの圧力を加え、選択された金属または合金に応じて、50℃ないし400℃の温度を加えることによって、圧力金属パッド168c、168d間にボンド170を形成する。
4.MDIナノメートル幅MOSFETデバイス製造方法。ゲート領域長が0.5μm(500nm)よりも短いMOSFETデバイスを製造できるようにするには、現在の所、現行の大容量光学ステップ・ツールよりも高い分解能をもつリソグラフ・ツールが必要である。本明細書では、ゲート長が500nmよりも短く、かつ25nmよりも短いゲート長が可能なMOSFETを製造するいくつかの方法を提示する。これらの方法は、MDIプロセスを活用して、リソグラフィック手段なしで500nm(0.5μm)よりも短いトランジスタ・ゲート領域を形成するものであり、前記方法について以下で説明する。
5.従来の剛性の基板上に製造された集積回路と共に形成されるセンサ・ダイアフラム。
6.回路膜の半導体層の両面での電気絶縁された半導体デバイスの製造。
V溝トランジスタ領域ゲート形成方法
第9aないし9e図は、対向するゲート電極を含み、ゲート幅が25nm(250Å)よりも短いpチャネルまたはnチャネル(npn)トランジスタを形成するステップのシーケンスを示す。このプロセス・ステップ(第9a図参照)では、幅が約0.5μmないし1.5μmの絶縁金属ゲート電極174がトランジスタの背面(対向側)上に製造されたMDI回路膜の開始基板を仮定している。このMDI回路膜は、厚さが通常2μmよりも薄い軽くドーピングされた<100>結晶シリコン膜層176と、1μmないし2μmの低応力誘電体層178とから成る。
一実施例用のプロセス・ステップのシーケンスを以下に示す。
1.軽くドーピングされたpウエルおよびnウエルを形成する。第9b図は、1つのそのようなウエル180を示す。これは、MDI回路膜のシリコン層176上に窒化物層182を堆積させ、ウエル180をパターン化し、所望のドーパントを注入することによって行われる。
2.誘電体184(第9c図参照)を堆積させ、0.75μmないし1.25μmで知られている幅を有する開口部を対向するゲート領域上にパターン化する。
3.シリコンの<111>結晶平面に沿って異方性エッチングを施して、知られている深さでサイド・アングルが54.7°のV溝186を形成する。これは、定時エッチングで行う。
4.0.5μmないし1.5μmの知られている厚さのタングステンなどの金属層190をCVD装置によって堆積させ、V溝186を閉鎖する。
5.知られている厚さの金属プラグがV溝186中に残るまで金属層190のエッチングを繰り返す。その結果得られるトランジスタ・ゲート長の幅は、V溝中の残りの金属の寸法によって決定される。
6.第9c図中の誘電体マスク184を剥離する。
7.ゲート174に対向する金属プラグ190を露出させるようにシリコン180表面をエッチングする。
8.誘電体層を堆積させ、トランジスタ・ソース領域およびドレーン領域の大量注入ドーピング192のためのパターン化を施す。これは、nドーピングおよびpドーピングを別々に行って、軽くドーピングされたゲート・チャネル領域194を残すことによって行われる。
9.第9e図中の誘電体マスクを剥離して、シリコン180表面が金属プラグ190のレベルよりも低くなって除去されるまで前記表面をエッチングする(このステップは任意選択であり、金属プラグは選択的にエッチング除去することができる)。
10.トランジスタを絶縁するために、下部低応力誘電体層178へのトレンチ196を形成する。
11.活性トランジスタ・インプラント192を熱処理する。
12.ソース・コンタクトおよびドレーン・コンタクト198を形成する。
13.ソース・コンタクトおよびドレーン・コンタクト198を熱処理する。
ソース・コンタクトとドレーン・コンタクトとを含むトランジスタ上に、あるいはトランジスタのゲート領域を形成した後に、ゲート幅の実際の長さよりもずっと広い対向するゲート電極が製造できたことは明らかであろう。
知られている長さのゲート領域194を確実に製造できるかどうかは、エッチング速度制御に依存する。現在のエッチング速度技法は、25nmよりも短い長さのゲート領域194を形成する能力をサポートする。金属プラグ90の代わりにCVD誘電体もゲート注入マスクとして使用することができる。このゲート製造法はバルク・シリコンでも行うことができ、MDIプロセスに限らない。サブミクロン分解能が可能なリソグラフィック手段なしでゲート領域のサブミクロン分解能が達成されたことに留意されたい。
第9f、g、h、i、j図は、基板撮像非リソグラフィックMOSトランジスタ・ゲート領域またはバイポーラ・エミッタ領域を形成するプロセス・シーケンスを示す。このプロセスは、膜基板のどちらかの面でのプロセス・ステップに適応するMDI構造の新しい能力を活用する。このプロセスは、100nmよりも小さな最小形状寸法を形成することができ、異方性エッチング・エピタキシアル・プロセスを使用することによってそれを行う。
第9f図は、上記で提示した方法のうちの1つによって形成された半導体189および低応力誘電体191のMDI基板を示す。一面上の誘電体層191はパターン化され、半導体層189は対向する面上の誘電体層193まで異方性エッチングされている。半導体層189の厚さは2μmよりも薄くなるように選択され、誘電体層191、193はそれぞれ厚さが1μmよりも薄い。ただし、これらの寸法はトランジスタ寸法を達成するようにスケーリングすることができる。誘電体のパターン化された開口部195の寸法は、誘電体の下部表面上にあるエッチングされた開口部197が100nmよりも短い所望の幅になるように選択される。どんな寸法の幅でも作製できるが、100nmよりも短い幅がこのプロセスの一次目的である。この目的は、光学手段で満たすことはできない。パターン化された誘電体191は、続くRIEプロセス・ステップ中に、対向する誘電体層と比べて高い選択性を提供するように1,000Åないし2,000Åの低応力窒化ケイ素層199を有する。
第9g図は、パターン化された層191に対向する誘電体層193を介して形成された開口部197を示す。対向側の誘電体の下側にある開口部197を含む異方性エッチングされた半導体層189を基板撮像マスクとして使用し、誘電体層193をRIE(ドライ)処理によってエッチングした。この基板撮像開口部197は直径が100nmよりも短くてよく、MOSトランジスタのゲート領域またはバイポーラ・トランジスタのエミッタ領域になる。第9h図は、選択的エピタキシアル成長201によって閉鎖された基板撮像領域197を示す。エピタキシアル成長201は、ショート・チャネル効果を低下させるように傾斜ドーパント構造で形成することができる。第9i図は、自動撮像開口部197、CVDまたは熱によって形成されたゲート酸化物の平坦化ステップが実行され、電極が形成された後のゲート酸化物203および電極205の形成を示す。ゲート電極205が形成される誘電体層193がゲート領域に自動位置合わせされることに留意されたい。
第9j図は、完成したMOSトランジスタを示す。ゲート電極205に対向する面は誘電体が剥離され、平坦化され、2,500Åよりも薄い厚さまでエッチングされている。次いで、ソース/ドレーン分離のためにトレンチ207を製作して、低応力誘電体層209を堆積させ、ソース/ドレーン電極211、213を形成した。
当業者には、いくぶん類似する製造ステップでバイポーラ・トランジスタを形成できることが明らかであろう。
MDI横方向エピタキシアル成長トランジスタ・ゲート領域製造
第10a、b、c、d図は、サブミクロンCD分解能機能をもつリソグラフィ・ツールの必要なしに、サブミクロン寸法のトランジスタ・ゲート領域長をもつMOSFETトランジスタを形成する方法を示す。この方法は、上記で説明したMDIプロセス技法の拡張である。
第10a図で、開始基板構造は、膜202を形成する組合せシリコン・誘電体204膜である。この膜202は、上記で開示した方法のうちの1つによって形成される。続いて、n+トランジスタ・チャネルおよびp+トランジスタ・チャネルのドーピングのために膜202をパターン化する。第10a図は、窒化物マスク212を含むMOSトランジスタ・チャネル(ソース210領域、ゲート208領域、およびドレーン206領域)の断面図を示す。マスク212によってトランジスタのゲート領域が製作される位置で、ゲート208のトレンチを異方性エッチングで下部の誘電体膜204までエッチングする。露出したシリコン側壁214は薄熱酸化およびエッチング剥離または化学技法によって研磨することができる。第10b図は、第10a図の構造の平面図であり、トランジスタ・チャネル210、208、206が、誘電体を充填されたトレンチ216によって周りのトランジスタ・デバイスから絶縁されている。ゲート領域トレンチ208をエッチングする前にこれらのトレンチ216を製造する。次いで、シリコン220を横方向選択エピタキシアル成長させることによって、両方のシリコン側壁から、隣接する領域210、206と同じまたは類似のドーピング濃度になるように、ゲート領域トレンチ208を充填する(第10c図参照)。ゲート領域をエピタキシアル成長させる前にソース・ドレーン注入ドーピングをドーピング手段として使用することもできる(CMOSデバイスの場合、n+トランジスタ・チャネルおよびp+トランジスタ・チャネルは、2つの別々な処理ステップ・シーケンスで処理される)。
接近するシリコン側壁220間の残りの分離距離「d」が所望のゲート領域長に等しくなったときにゲート領域トレンチ208でのエピタキシアル成長プロセスを停止する。実際のゲート領域222を形成するためにドーピング濃度を変更し、第10cおよび10d図に示したように、ゲート領域トレンチが閉鎖されるまでエピタキシアル成長を継続する。
最初のゲート領域トレンチ208の幅およびエピタキシアル成長速度は、5nmよりも少ないエラーで制御することができる。これにより、ゲート領域トレンチ208を形成できる精度、横方向エピタキシアル成長220の堆積速度制御、および所望のドーピング遷移をもたらす能力によって、ゲート領域の長さdを決定することができる。この方法の結果は、25nm以下のゲート領域長さdを形成できることを意味する。これは、現在、この10年の終わりに生産能力が120nmに達すると予期されている現行の光学リソグラフィック法をはるかに超えている。
第10d図は、ソース電極およびドレーン電極226、224と、対向するゲート電極230とを含む完成されたトランジスタを示す。エピタシアル成長したゲート領域222の表面は平滑ではないが、下部低応力誘電体膜204に接触して形成されているためゲート領域222の背面は平滑である。ゲート電極230は、下部誘電体膜204を背面からパターン化し、それによって、対向するゲート電極230を形成することによって、より容易にかつ確実に形成される。開口部が、エピタキシアル成長したゲート領域222の長さdよりもずっと大きくなるように、誘電体がなくなるようにゲート領域222の背面をエッチングする。リソグラフィック・ツールの制限のためにソース210領域およびドレーン206領域もゲート領域と共に露出させる。ゲート酸化物絶縁232を必要な厚さまで熱成長させ、あるいは堆積させ、金属電極またはケイ化物電極を形成する230。
トランジスタ・チャネルのソース210領域およびドレーン206領域にゲート電極230を重ねると、都合の悪いことに、性能を制限するキャパシタンスがもたらされる。重なったゲート電極構造からのキャパシタンスの低減は、拡張されたゲート領域を形成するための、ゲート領域上のゲート領域成長との界面でのソース領域およびドレーン領域のエピタキシアル傾斜ドーピング、または電極のソース側エッジがトランジスタ・チャネルのソース/ゲート界面とほぼ整列するようなゲート電極のオフセットによって行うことができる。これらの方法を以下で説明する。上記で説明したMOSFETトランジスタ構造は、やはり以下で説明する、第12g図に示したバイポーラ・トランジスタ構造に変換することができる。
MDI回路膜構造は、半導体デバイスの電極コンタクトを前記デバイスの背面(または、対向する面)に位置決めする能力を提供する。これによって、デバイス絶縁を達成する処理ステップの減少における顕著な節約と、新しいトランジスタ構造とが提供される。
第11a図は、npnトランジスタ構造またはpnpトランジスタ構造244を得るために追加半導体層がエピタキシアル形成されたMDI回路膜(誘電体240膜および半導体242膜)の断面図を示す。ゲート領域に対応するエピタキシアル層244−aは厚さが25nmよりも薄くなるように形成することができる。第11b図は、ソース246、ドレーン248、およびゲート電極250−a、250−bが取り付けられた誘電体膜240上で絶縁されたトランジスタ・チャネル244を形成する続く周知の半導体処理ステップの後の誘導体240および半導体247、244、245膜を示す。ソース領域245およびドレーン領域247も示されている。ゲート酸化物絶縁251を熱成長させ、あるいは堆積させて、トランジスタ・チャネルの一面またはすべての面にゲート・コンタクト250−a、250−bを形成することができる。別のコンタクト250−bをゲート電極250−aの対向側に形成し、トランジスタ・バイアス・コンタクトとして使用することもできる。トランジスタ・ドレーン領域247の下部の誘電体膜240中にバイアをエッチングすることによって、対向するドレーン・コンタクト248を形成する。
第11c図は、周知の方法によって、低応力誘導体膜254上に、横方向導電経路(通常、埋込み層と呼ぶ)を形成する必要なしに形成されたバイポーラ・トランジスタを示す。半導体膜256にエミッタ258領域、ベース260領域、およびコレクタ262領域を形成する。コレクタ・コンタクト264はエミッタ258の真下に形成する。GaAsヘテロ接合バイポーラ・トランジスタ(HBT)やバリスティック・トランジスタなど、第11c図に示したように背面コンタクトと共に再構成できる非シリコン半導体トランジスタ構造があることが明らかであろう。
第11b図のMOSFETトランジスタ構造の製造においてゲート電極250−aをゲート領域を超えて拡張すると、トランジスタの寄生キャパシタンスが増加し、したがって、トランジスタの性能に悪影響を及ぼす。このキャパシタンスは、傾斜ゲート・ドーピング(GGD)、エピタキシアル拡張ゲート(EEG)、およびゲート電極オフセット(GEO)と呼ばれるいくつかの方法を別々にまたは組み合わせて使用することによって低減することができる。
傾斜ゲート・ドーピングを第11b図に示す。この方法によって、ソース領域245およびドレーン領域247のドーピング・レベルは前記領域のゲート領域とのそれぞれの界面で変化する。これによって、ゲート電極が重なるソース245領域およびドレーン247領域からゲート電極を分離する誘電体絶縁251の下にある半導体の有効誘電定数が直接減少する。
ゲート酸化物251およびゲート電極250−aが製造されるトランジスタの表面上でゲート領域244−bを選択エピタキシアル成長させることによってエピタキシアル拡張ゲート244−b(第11d参照)を形成する。この方法は、ゲート電極がソース245領域およびドレーン247領域が重なるゲート電極250−aの真下の半導体領域のキャパシタンスを、第11b図のGGD法よりも直接的に減少する。ゲート電極250−a上に印加される電位の極性に対するドーパント・タイプおよびドーパント濃度のために、ソース245領域およびドレーン領域247との重なりからゲート電極250−aによって与えられるトランジスタ容量充電効果はこれらの方法によって低減される。EEG手法のエピタキシアル層の厚さは、トランジスタの所望の動作特性に基づいて決定されるが、通常、ゲート領域長の寸法よりも大きい。
エピタシアル拡張ゲート法によって形成される第11d図の拡張されたゲート領域244−bは傾斜ドーピング領域であってもよい。拡張されたゲート領域244−bが傾斜している場合、ドーパント濃度が減少し、ゲート領域から離れる(ゲート電極250−aに向かって流れる)。
ゲート電極オフセット(GEO)構造を第11f図に示す。ソース領域269、ゲート領域271、ドレーン領域273、半導体層275、低応力誘電体層277、ゲート酸化物279、およびオフセット・ゲート電極281が示されている。ゲート電極281のドレーン側エッジをトランジスタ・チャネルのドレーン/ゲート273−271界面に整列させる。この整列は、ソース/ゲート269−271界面に対して行うこともでき、ある種のデバイス設計要件の下で好ましい構造である。電極281エッジの配置の精度は、使用するリソグラフィ・ツールの能力によって限定される。ドレーン領域273とゲート電極281の間にギャップができ、リソグラフィ整列が行われないために、ゲート領域271の一部がゲート電極281に覆われないままになることがある。これは、露出したゲート領域の注入ドーピングを介してドレーン領域273を拡張することによって補正することができる。製造時のこのギャップの寸法は、使用中のリソグラフィ・ツールのアライメント・レジストレーション・エラーの大きさ以下になると予期して差し支えない。
バイポーラ・トランジスタとして使用される第11e図のトランジスタ構造は、薄いベース領域のよく制御された製造を達成するために重要である。第11e図中のバイポーラ・トランジスタのベース領域272とのコンタクト270は、ベース領域をエピタシアル成長272−aさせることによって得られる。EEG法などのこの方法は、ベース領域272を含むトランジスタの露出した領域の選択的エピタキシアル成長である。第11e図の他の要素は、コレクタ領域274、コレクタ・コンタクト275、誘電体膜276、エミッタ領域277、およびエミッタ・コンタクト278である。
拘束された横方向ドーピング・エピタキシに基づくMDIトランジスタ製造
拘束された量の高品質エピタキシアル膜の選択的成長が、ある論文(“Confined
Lateral Selective Epitaxial Growth of Silicon for Device Fabrication"
Peter J. Schubert、Gerald W.
Neudeck、IEEE Electron Device
Letters、第11巻、第5号、1990年5月、181ないし183ページ)で報告された。“CLSEG"(制限された横方向選択的エピタキシアル成長)法は、結晶シリコンの絶縁層分離を行うために開発された。
第12a、b、c図は、MDI回路膜を使用して結晶シリコンのエピタキシアル成長のための拘束キャビティを製作することを示す。MDIプロセス自体が絶縁層分離プロセスなので、絶縁層分離された半導体基板を製造するCLSEGの初期目的は、ここでは目的ではない。ここで教示されるものは、MDIプロセスにおいて拘束キャビティ中でエピタキシアル膜を成長させる能力を適用することと、拘束された横方向エピタキシアル成長を使用して、リソグラフィック手段を使用せずに、任意の短さのゲート領域長をもつMOSFETトランジスタを製造する処理方法とである。
MDI拘束横方向ドーピング・エピタキシアルは、(堆積した半導体膜厚さとしての)高さと、幅(絶縁トレンチの配置)と、ソース領域、ゲート領域、およびドレーン領域(エピタシアル堆積厚さ)の3つのすべての寸法でトランジスタ形状を厳密に制御する。これによって、製造複雑度が低減することによってデバイス動作特性がより予測可能なものになる。
MDI拘束横方向エピタキシ手法は、1枚の半導体(シリコン)膜280と1枚の低応力誘電体膜282の2枚の膜から開始する。上記で開示した方法のうちの1つでこの膜280、282を製作する。半導体膜中のトランジスタ・チャネルの側面となるものを形成するように誘電体充填トレンチ284(第12a図の頂部である第12b図参照)を製造する。次いで、第12aおよび12b図に示したように、1μm以下の低応力誘導体層290を堆積させ、誘導体層282上で止まる窓286を、半導体膜280を介して異方性エッチングして、絶縁トレンチ284間に位置決めする。好ましい実施例では、以下で説明するように、拘束キャビティ中の絶縁トレンチ壁284、290、282に対して垂直な第12c図のシード結晶壁292−a、292−bを製作するために、窓286の向きを半導体膜280の結晶の向きにほぼ整列させる。トランジスタの深さ(厚さ)は、半導体膜280の厚さによってうまく制御される。さらに、半導体膜280の厚さは、エピタシアル手段またはエッチング手段、あるいはその両方によって厳密に決定することができる。
次いで、第12c図に示したように、露出した半導体膜280を、誘導体上層290の下の部分と、絶縁トレンチ284に沿った部分で2つの方向に異方性エッチングする。これによって、平滑なシード結晶292−a、292−bがキャビティ292の端部にある非常に平滑な壁の拘束キャビティ292が形成される。拘束キャビティ中に形成すべき抵抗器の数に関する設計要件を満たす横方向深さでエッチングを停止する。拘束キャビティ292の深さ“C"は、約2μmから15μmを超える値までの範囲でよい。
続くエピタキシアル成長では、平滑で汚れのないシード結晶壁292−a、292−bが必要とされる。半導体膜の結晶の向きに応じた角度で結晶シード壁292−a、292−bを形成する。(第12c図に示したように)<100>シリコン膜は、水平から測定したときに約54.74゜のシード壁角度を形成し、<110>シリコン膜は、90゜の角度でシード壁292−a、292−bを形成する。GaAsとInPは類似の結晶特性を有し、シリコンの代わりに半導体膜として使用することができる。
結晶半導体の選択的エピタキシアル成長は、シード結晶壁292−a、292−bから進行する。所望の設計厚さまで現場ドーピングすることによってシリコンMOSFETトランジスタのnpn領域またはpnp領域をエピタキシアル成長させる(第12d図参照)。これにより、現在行われているリソグラフィック・プロセスではなくエピタキシアル成長プロセスによってトランジスタのゲート領域の長さを決定することができる。これによって、トランジスタのベース領域またはゲート領域の長さを任意に短くすることもできる。現行のリソグラフィ法でこれを行うことはできない。第12d図は、npn構造294およびpnp構造296のCMOSトランジスタ対を充填された拘束キャビティ292を示す。トランジスタの各領域は、長さおよびドーピング濃度レベルに固有に調整することができる。また、トランジスタ・チャネルがエピタキシアル成長するので、トランジスタ294、296領域を上述の傾斜セグメントへ延ばすことができる。さらに、ソース領域とドレーン領域の非対称ドーピングは、エピタキシアル成長中にドーパントを時間ごとに選択することによって容易に実施することができる。これは、ゲート領域を解像する際のリソグラフィの限界のためにバルク・ウェハ処理では容易に達成されない。
第12d図は、2つの拘束キャビティ中に相互のミラー・イメージとして形成された二対294、296のCMOSトランジスタを示す。これは設計上の選択であった。というのは、最初の拘束窓開口部の追加トレンチ絶縁層分離によって単一の拘束キャビティを形成することもできたからである。エピタキシアル成長の方法に応じて、25nmよりも短い領域“g"を製造することができる。これに対して、従来技術のMOSFETトランジスタ・ゲート領域は主として、光学リソグラフィック手段で形成され、現在の所、約0.5μm(500nm)の最小ゲート領域長に制限されている。
第12e図は、電極294−a、294−b、294−c、296−a、296−b、296−cを含むCMOSトランジスタ対294、296を示す。絶縁されたゲート電極300、302、304、306はそれぞれ、トランジスタのより短いゲート領域長全体に広がっている。ゲート電極は、標準リソグラフィック・プロセスで形成され、トランジスタのゲート領域長よりも数倍長いものであってよい。たとえば、リソグラフィで形成された0.5μmのゲート電極と、25μmのトランジスタ・ゲート領域長が可能である。ソース領域およびドレーン領域とのゲート領域の重なりによるゲート電極キャパシタンスは、上述のようにGGD法、EEG法、およびGEO法によって低減することができる。第12f図は、これらすべての方法の実施例を示す。ゲート電極308に対向する電極312は、任意選択で基板バイアスとして提供されている。第12f図には、ソース領域294−1、任意選択で傾斜されたドーピングされたゲート領域294−2、エピタキシアル拡張されたゲート領域294−4、オフセット・ゲート電極308、ゲート絶縁310、および任意選択でエピタキシアル拡張された基板312−aも示されている。
MDI拘束横方向エピタキシ法は、MOSFETトランジスタや半導体材料としてのシリコンに限らない。MDI拘束横方向エピタキシ法を使用して、シリコン・バイポーラ・トランジスタを製造することも、あるいはエピタキシアル形成できるGaAsやInpなどの他の半導体に適用することもできる。エミッタ領域314、ベース領域316、エピタキシアル拡張されたべースおよび電極318、コレクタ315、および任意選択でエピタキシアル拡張されたベースおよび電極319を含むバイポーラ・トランジスタの一例を第12g図に示す。
MDI回路膜の半導体層は、回路デバイスを密にパックし、半導体タイプを混合し、あるいは製造ステップを簡単にする様々な方法を提供する。第12h、i、j図はそのような方法の例を示す。第12h図は、エピタキシアル誘電体291およびそれに続くエピタキシアル半導体層293が形成されたシリコン膜289を示す。この膜の総厚さは通常、4μmよりも薄い。誘電体層291はシリコン289の結晶格子寸法および構造に厳密に一致すべきである。類似の結晶格子をもつそのような誘電体の一例には、ある種のデバイス設計パラメータの下でのサファイアまたはドーピングされていないシリコンがある。このMDI半導体層構造によって、半導体層289のどちらかの面上に半導体装置を形成し、電気的に絶縁したままにしておくことができる。この半導体構造または膜構造を明らかに応用したのは、p型デバイスが一方の面に形成され、n型デバイスが他方の面に形成されたCMOS集積回路である。低応力誘導体を使用して、個別の半導体デバイスをトレンチ絶縁し、方法#2によって提示されたMDIプロセスを完了する。さらに、第2のエピタキシアル成長半導体層293はGaAsやInPなどシリコン以外の材料でよい。
第12i図は、シリコン層295と低応力誘電体層297から成るMDI回路膜を示す。誘電体層297は、選択的エピタキシアル成長によって半導体材料が堆積した開放領域299を形成するようにパターン化されている。選択的に堆積した半導体299は、シリコン以外の半導体でも、シリコンでもよい。半導体デバイスは、MDI半導体層の背面上のエピタキシアル・アイランド299に製造することができる。
第12j図は、シリコン層295と低応力誘電体層297とから成るMDI回路膜を示す。誘電体層297がパターン化され、第12i図に関して説明したように、選択的エピタキシアル成長を介してシリコン・アイランド299が形成されている。続いて、誘電体層中でエピタキシアル成長した各アイランド299に対向するシリコン層の部分を除去し、次いで、低応力誘電体を充填して、シリコン層295をパターン化した。半導体アイランド299に形成された回路デバイスは絶縁層分離される。この膜構造は、第12h図に関して提示したものと同じ応用例を有する。
第12h、i、j図の構造は、垂直相互接続を使用することによって回路デバイスをさらにコンパクトに相互接続できるようにする2レベル・デバイス構造を形成できることを示す。また、これらの構造は、相互接続複雑度を増すことなく半導体膜のどちらの面にでもバイポーラ・デバイスおよびMOSFETデバイスを製造できるようにすることによって、BiCMOSなどの混合デバイス製造技法を簡単にするものである。これらのMDI構造を使用すると通常、相互接続金属被膜経路指定に利用できる総表面積が2倍になる。処理上の明白な利点は、1面当たり相互接続金属被膜層の数の減少または使用される相互接続金属被膜ピッチの緩和、あるいはその両方であろう。これらの利点は通常、共に、回路歩留まりの増加をもたらす。
センサまたは剛性基板としての誘電体膜
Novellus社製装置で製作された窒化物自立膜および酸化物自立膜の機械的(物理的)特性および熱特性は、集積センサを含むICの製作で現在使用されているシリコン膜に類似している。そのようなシリコン膜またはダイアフラム・ベースのセンサの例には、加速度、圧力、または温度を感知するために製作されるものがあるが、これらの分野に限らない。そのような酸化物誘電体および窒化物誘電体の一般的応用分野への利用は、本開示によって新たに行われるものである。
そのような自立誘電体膜を使用すると、シリコンまたは半導体製造環境で非導電特性をもつ膜を製作する複雑度が大幅に低減する。低応力誘電体膜は、シリコンの存在下で誘電体を独立に処理できるようにする選択的エッチング液を有する。窒化ケイ素の知られている不動態化能力および不活性化学性質と、シリコン、二酸化ケイ素、および窒化ケイ素の熱膨張係数が比較的類似していることによって、有機誘電体と比べてセンサの動作環境範囲が増大する。
剛性の基板(通常、半導体)上に製作された低応力誘電体膜のセンサ・ダイアフラムは、大部分の場合、シリコン膜に直接置き換わり、あるいは剛性の(従来の)半導体基板上に形成されたシリコン膜と共に使用することができる低応力MDIプロセス誘導体をそのようなセンサICに組み込むために新しい製造法は必要でない。
MDI回路膜の応用
以下のことは、MDIプロセス、MDI回路膜の形、およびMDI ICプロセスの拡張の追加応用例を開示する。たとえば、能動回路デバイスをほとんど、あるいはまったく含まないMDI回路膜をさらに具体的に相互接続回路膜と呼ぶ。相互接続回路膜を使用して従来のICをダイの形に相互接続するとき、相互接続回路膜は、下記で論じる多重チップ・モジュール(MCM)相互接続回路膜になる。これによって、能動回路デバイスおよび受動回路バイスを含むMDI回路膜のMCMの製造への応用が制限されることはない。
MDIマルチチップ・モジュール相互接続回路膜
多重チップ・モジュール(MCM)と呼ばれる十分確立されたパッケージング技法でのように様々な個別のダイス(IC)のボンド(信号)パッド間に電気的相互接続を提供するように、主として、1つまたはいくつかの内部相互接続レベルの相互接続金属被膜から成るMDI回路膜を基板上に製造することができる。この種の応用例用に製作されたMDI回路膜をマルチチップ・モジュール相互接続回路膜(ICM)と呼ぶ。
マルチチップ・モジュール相互接続回路膜はMDIプロセスおよび従来の半導体処理技法を使用して形成される。この相互接続回路膜は、数千のダイ金属ボンド・パッド・コンタクトまたはボンディング・ポイントを有することができる。相互接続回路膜表面上でのこれらの金属コンタクトまたはボンディング・ポイントの位置は任意でよい。
マルチチップ・モジュール相互接続回路膜は、平坦度仕様の要件を満たし、適用される低応力誘導体の処理温度に耐え、低応力誘電体に対して選択的にエッチングして回路膜を形成できるどんな材料上にでも形成することができる。第13a図は、MDI法によって上記で説明したように製作されたマルチチップ・モジュール相互接続回路の一実施例を示す。第13a図は、いくつかの内部金属被膜トレース層(図示せず)を含む相互接続回路膜320、IC(ダイス)322a、322b、322cと、相互接続回路膜基板フレーム324と、ICボンディング・コンタクト326とを含む。第13b図は、関連構造を示し、取り付けられたIC336a、336b上に形成されたプローブ点330によってICを試験するための機能テスタの断面図である。
相互接続回路膜は、KBrなどの剥離剤342を塗布された水晶基板340上でMDI法を使用して形成することもできる(第14図参照)。ここでは、相互接続回路膜320での様々な種類の能動回路デバイス(SD)の製造には単結晶タイプの膜や基板340は必要とされないと仮定している。したがって、この構造は、最小限として、相互接続回路膜、または多結晶半導体または無定形半導体で製作された受動回路デバイスおよびトランジスタしか必要としないMCMのように、単結晶SDなしで、上述のように製作される。第15図は、第14図の剥離剤法によって製作され、水晶基板のショルダ部を引っ掻き、それによって、相互接続膜320を切断し、剥離剤用の適当な溶剤を加えることによって剥離剤を活性化する直前に相互接続回路膜320にボンディングされた別に形成されたフレーム350によって保持される、第13a図の多重チップ・モジュール相互接続回路膜320を示す。次いで、(以下で説明するように)ダイ(IC)のアレイ322a、322b、322cを直接相互接続回路膜320にボンディングし、あるいは、ダイ(IC)のアレイ322a、322b、322cの表面のパッドに相互接続回路膜320を整列させて機械的に保持して、電気的接触を得ることができる。上記で開示し、第1j図に示したようにポリシリコンを使用する方法で製作された回路膜を相互接続回路膜として使用して、第13a、13b、15図に示したものと類似の結果を達成することができる。
回路膜中の相互接続金属被膜トレースは、ICの1つまたは複数のコンタクト・パッドから他のICの1つまたは複数のコンタクト・パッドへの電気的接続を形成する。これらのパッドの直径は、0.5ミル(0.001”または25μm)よりも小さい値から数ミルまでの範囲でよい。ICコンタクト・パッドの寸法の制限因子は、製造で使用されるリソグラフィ手法と組立て手法である。そのような方法のコストは、3ミルよりも小さなパッド直径を使用すると増加する。
いくつかの技法のうちのどれでも回路膜にダイをボンディングすることができる。インジウムや金(またはそれぞれの合金)などの金属による圧縮金属間ボンディング、赤外線熱ボンディング、レーザ・ボンディング、3M
Corporatioから入手可能なZAFなどの垂直導電接着膜などの技法がその例である。第16a図は、ICキャリア・リッド352、それに取り付けられた圧縮可能材料(シリコーンなど)354、MCMパッケージのキャリア基板355、およびキャリア信号ピン357へのコンタクト356を含む、第13a図に示した構造のバージョンのパッケージングを示す。気密ガスケット358が、加圧された容積359を密閉する、代替パッケージを第16b図に示す。
低応力二酸化ケイ素や窒化ケイ素など光学的に透明な誘電体で相互接続回路膜360(第17a図参照)を製作した場合、これによって、背面からあるいはダイ362の面に対向する相互接続回路膜360の面から、従来のダイ(IC)362を相互接続回路膜360上に視覚的に整列させることができる。次いで、相互接続回路膜360の背面から、局所に方向付けされた赤外線またはその他の熱源(図示せず)を印加することができる。対向面(頂面)に、はんだ壁364で囲まれたMDIパッド365を形成し、相互接続回路膜パッド365とダイ・ボンド・パッド366との間にはんだ溶接ボンドを形成することができる。ダイ・ボンド・パッド上に、厚さが通常約5μmないし25μmのはんだバンプ367を形成する。ダイ362を上下逆に相互接続回路膜360に取り付ける。
高強度ランプ、レーザ、はんだを融点まで加熱できる金属器具などの熱源(図示せず)を使用することによってはんだ溶接ボンドを形成する。熱源は、ダイが相互接続回路膜360に接触している間に相互接続回路膜360を介して加熱することによって、ダイ・パッド366の直接下にあるはんだ367(第17b図参照)を融解する。誘電体材料の高温公差、固有の弾性、および薄い構成により、熱源からの熱がすばやくはんだ367に達して融解し、厚さが3μmないし10μmのボンドを形成することができる。融解されたはんだ367はダイ・パッド366と相互接続回路膜パッド365の両方を濡らし、冷却されると、収縮してダイ・パッド366を相互接続回路膜パッド365にしっかりと押し付けて保持する。はんだボンドは、ダイ362が相互接続回路膜360に接触させられ、前記膜に押し込まれ、前記膜を25μmよりも短い距離だけたわませるときに生じる圧力と同じ圧力で形成される。はんだ367が融解されると、相互接続回路膜360に、ダイ362の表面に対する一様で平坦なコンタクトができあがる。これによって、各パッド上のはんだをまったく等しい高さに形成する要件も不要になる。
また、代わりに、相互接続回路膜ボンド・パッド365上にはんだ367(第17c図参照)を電気めっきしておく。はんだ367は5μmないし25μmの高さに電気めっきする。はんだバンプ367の最初の高さは、相互接続回路膜360のパッド365が位置決めされた相互接続回路膜360のはんだ壁364の深さよりも大きい。第17b図は、はんだ付けまたはボンド形成後の第17c図の構造を示す。ダイを除去した場合、相互接続回路膜360のパッド365上にある程度の余分のはんだ367が残る。同じ相互接続回路膜パッドに交換ダイをボンディングすると、余分のはんだがはんだ壁364内に流れ、あるいは押し込まれ、ダイ362の表面と相互接続回路膜360表面が相互に一様でしっかりした接触を達成することを妨げられることはなくなる。ダイ362の表面と相互接続回路膜360の間に密封シールを形成することができる。これは、ダイのすべてのエッジに沿って金属ボンドを形成することによって行われる(図示せず)。このボンドははんだ付けすることができ、以下で説明するように形成される。
相互接続回路膜とダイの間に強い接触圧力を加えずにダイはんだボンディングを実行できるようにする相互接続回路膜の高温公差の利点は、ダイの回路構造(図示せず)の真上にダイ・ボンド・パッド370−1,370−2..,370−k..,370−nを置けることである(ダイ上のボンド・パッドの平面図を示す第18図参照)。これによって、機械的ワイヤ・ボンディング装置を使用してダイをそのキャリアにワイヤ・ボンディングするときのように半導体デバイスが損傷されることを心配せずに、ボンド・パッド370−kの下に半導体デバイスを置くことができる。現在の所、現行のダイ・ワイヤ・ボンディング技法では損傷なしのボンディングは可能ではない。というのは、そのようなボンドは、ダイの表面上の任意の場所に配置すべきダイの基板にダイ・ボンド・パッドを押し込んで擦り合わせる機械的アームで圧縮によって形成されるからである。MDI法によって、ICの設計が容易になり、ダイ上の予約パッド領域が不要になり(ダイの表面積の5ないし10%が不要となる)、パッドの位置が制限されなくなり、パッドの下の領域がもはやパッド専用に予約されなくなるのでパッドを大きくできるようになる。
密封シール・ボンド・パッド372はダイの表面の周囲に沿って連続的に延びる。回路膜の表面を含むダイの表面の密封は、ダイのエッジに沿って密閉はんだボンド(パッド・ボンドの形成に関して上記で説明したはんだウエルから成る)を形成することによって行うことができる。密封シールはまた通常、ダイの接地コンタクトでもある。
多重チップ・モジュール相互接続回路膜へのダイの赤外線はんだボンディングは、相互接続回路膜を損傷せずにダイを容易に除去して交換できるようにもする簡単なプロセスである。第19a図に示したように、IC372は真空ツール373によって保持され、MCM相互接続回路膜374のすぐ近くに整列している。相互接続回路膜374は、整列させられた後、流体圧力376によって数ミルの距離だけそっと前進させられ、ダイ372は、接触が確立されるまで相互接続回路膜374に向かって移動される。赤外線熱源377を印加して、ICダイ372上のはんだパッド(図示せず)が融解して相互接続回路膜374を濡らすまで、前記パッドの局所加熱を行う。
ダイ372は、はんだボンドが融解して、IC372を相互接続回路膜374から引き出せるようになるまで、ICの熱源377からの局所加熱を加えながら、同じ真空ツール373を使用してIC372をそっと引くことによって除去することができる。この際、相互接続回路膜374には流体圧力を加えない。
マルチチップ・モジュール相互接続回路膜は、抵抗器、コンデンサ、ポリシリコンまたはa−Si(無定形シリコン)TFT(薄膜トランジスタ)などの受動デバイスを含むように拡張することができる。誘電体膜が400℃を超える処理温度に耐えることができるため、そのような回路要素を膜内または膜上に製造することができる。このような回路要素は、相互接続回路膜構造の内部に様々な特定の層の一部として製造することも、あるいは相互接続回路膜の外側に集合的に製造することもできる。相互接続回路膜が最初に形成された基板から前記膜を解放した後、前記膜は、後の半導体処理ステップに耐えるほど丈夫になる。
マルチチップ・モジュール相互接続回路膜はさらに、どんな程度の能動単結晶半導体デバイスでも含むように拡張することができる。これは、2枚以上のMDI膜をボンディングして三次元ICを形成する能力についての前記の議論と一貫している。ただし、この場合、従来のダイス(IC)は、やはり相互接続回路膜の目的を果たすMDI回路膜の内部にある回路のボンド・パッドにボンディングされる。
マルチチップ・モジュール相互接続回路膜は、その低体積構造のために、回路の冷却に関する新しい利点を提供する。ICの熱エネルギー生成は、ICの表面からのものである。回路膜に対してICを上下逆に取り付けることによって、ICの熱エネルギーを放射し、回路膜を介してその対向側にある液体または固体ヒート・シンク手段へ伝導させる。回路膜はICから放熱手段への非常に短い熱経路を提供する。このようにICを直接冷却できることは、MDI相互接続回路膜構造の固有の特徴である。MDIプロセスによって回路膜として製造されるICで達成できる冷却効率は、半導体基板の熱質量抵抗が明らかに低減することによって、標準厚さの基板と比べて大幅に向上する。
第13d図は、2つのダイ331、333がそれらの信号パッド335a、335b、335c、335dで上下逆にボンディングされた、低応力誘電体および相互接続層392aおよび半導体層329bを含むMCM MDI相互接続回路膜329の一部の断面図を示す。MDI回路膜329には、通常ダイ(IC)上に組み込まれるパッド・ドライバ337a、337b、337c、337dが組み込まれている。ICパッド・ドライバをMCMに組み込むことの主な利点は、回路の性能、混合されたデバイス技法(バイポーラおよびCMOS)の使用が簡単なこと、冷却、およびダイの寸法(ICリアル・エステート)である。
ICパッド・ドライバは通常、性能との折合いになることが多い広範囲の回路設計条件を満たすように設計される。MCMパッド・ドライバの設計者は、パッド・ドライバの動作要件をよく理解しており、したがって、通常パッド・ドライバ設計をより高性能向けに最適化することができる。MCM中のパッド・ドライバは、バイポーラ・トランジスタで形成できるが、ICはCMOSでよい。これによって、製造が複雑にならずにBiCMOSの利点がもたらされる。ICのパッド・ドライバは通常、特に高動作速度でICの熱エネルギーの半分を超える熱エネルギーを生成する。パッド・ドライバをMCMに入れると、ICの一次熱構成要素を放熱手段と直接接触させるための手段が提供される。ICパッド・ドライバは通常、ICを構成する最大のトランジスタ構造である。ICのパッド・ドライバをMCM内に移動すると、場合によっては、IC全体の寸法を5%ないし10%小さくすることができる。
低複雑度のICをMCM MDI回路膜に組み込むと、MCM組立てコストおよび部品コストを減らすことができる。バス・ドライバまたは組合せ論理などよく使用される回路をMCMに組み込んで、MCMの製造コストを増加することなく、部品コストおよび組立てコストを減らすことができる(MCM MDI回路膜中にそのような回路を含める決定が下された後、1,000個のトランジスタを含めても、10,000個のトランジスタを含めても、コストの差はあまりなくなる)。MCM回路膜中の回路の歩留まりは、所望の回路デバイスの冗長な製造によって対処することができる。MCM回路膜の回路デバイスは、通常10%に満たない、総表面積のほんの一部しか構成していない。MCM回路膜の回路デバイスは欠陥に関して試験することができる。本明細書で開示したMDI機能テスタ膜を使用して、この試験を実行することができ、必要に応じて同じステップで、アンチヒューズを飛ばして、欠陥のない回路をイネーブルし、あるいはヒューズを飛ばして、欠陥のある回路をディスエーブルすることができる。
従来技術のPCB(プリント回路ボード)などのマルチチップ・モジュール相互接続回路膜は、ICが取り付けられた対向側からICのコンタクト・パッドにアクセスできるようにする。このアクセスによって、ボンディングされたICの回路内試験を、そのような機能試験を実行するように製造された別の相互接続回路膜によって実行することができ、マルチチップ・モジュール相互接続回路膜中に製造されたトレースの電気連続性試験を、やはりその目的で製造された相互接続回路膜試験表面によって実行することもできる。第20図は、相互接続回路膜表面ボンド・パッド380と、圧縮ボンディングまたははんだボンディングによって第20図の相互接続モジュールの背面上に据え付けられるダイス386−1、386−2、386−3(第21図)のボンド・パッドからの相互接続回路膜フィードスルー・コンタクト382とを示す相互接続モジュールの平面図である。また、第21図には、エッチングされたシリコン基板の背面388と、ダイス386−1、386−2、386−3と相互接続するトレース392−1、392−2、392−3を含む相互接続回路膜表面190も示されている。
マルチチップ・モジュール相互接続回路膜法を使用して、ウェハまたはダイの形のときのICを試験する(すなわち、ウェハまたはダイの分類試験)ための多数のプローブ点(数千を超える)を含む機能ICテスタ表面を製作することもでき、ALU(論理演算装置)、FPU(浮動小数点装置)、キャッシュ・セグメントなどICのサブセクションを分類することもできる。
MDIプロセスによって製作された機能回路膜テスタ表面は、過度の材料膨張による悪影響のない連続高温動作(100℃よりも高い)やEM相互接続トレース結合なしのアット・スピードIC試験など、現在の所、ポリマで製作された現在のオン・ウェハIC膜テスタでは得られない利点を提供する。
ICまたはICサブセクションの多数(1,000を超える)のパッドまたはトレースを接触させることができる。IC(ダイ)の接触部位は任意の位置であってよく、すべての部位を一度に接触させることができる。接触部位(パッドまたはトレース)は、直径が50μm(2ミル)よりも小さくてよく、あるいは場合によっては2μmよりも小さくてよい。機能テスタ表面のプローブ点は、直径が50μmよりも小さくてよく、あるいは場合によっては1μmよりも小さくてよく、プローブ点の直径の2倍よりも短い中心間距離で並べることができる(機能プローブ点は、直径が12μmになるように形成して、24μmよりも短い中心間距離で離間することができる)。現在業界で利用可能なものよりも小さな直径をもつ多数のパッドまたはトレースを形成して接触させることができるのは、MDI ICプロセスによって、確立された半導体製造手段で複数の相互接続層を形成し、その結果可とう性で弾性の膜構造を得ることができることによる。複数の相互接続層によってより密な接触試験部位が提供され、薄い膜構造によってほとんど力を必要とせずに(通常、10psiよりも少ない)接触整合が行われ、誘電体材料と標準IC製造技法との互換性によって、接触部位寸法が2μmよりも小さな接触が可能になる。
第13c図に示したように、IC機能テスタ表面として使用されるMDI回路膜332は流体圧力“P"の下で延び、試験すべきウェハまたは単一のダイ339に接触する。MDI回路膜332は、それが形成され、その直径に応じて40ミル(0.1cm)を超える長さだけ延ばされる基板の寸法とほとんど同じ直径に形成することができる。テスタ表面上のプローブ点330の寸法は制限されず、直径は4ミル(0.01cm)よりも大きな値から2μmよりも小さな値までの範囲でよい。プローブ点330の数は、制限なしに100よりも少ない数から数千までの範囲でよく、プローブ点の配置は任意でよい。機能テスタ表面のこれらの機能は主として、使用される材料の構成と、MDIプロセスの製造法によるものである。MDI機能テスタ表面は、ウェハまたはダイ339保持機構を前後運動で横方向に数ミクロン(通常、10μmよりも短い)だけ移動することによって、試験中のダイ339のボンディング・パッドの自然酸化アルミニウム層と擦れ合うことができる。これは、回路膜に耐久性があり、膜の表面が平坦であり、プローブ点高さが一様であり、すべてのプローブ点が基板に接触するように膜表面を延ばすのに必要とされる圧力が低いことによって顕著に促進される。
ダイのサブセクション試験の場合、パッケージングの前に欠陥のあるサブセクションが検出されることによって、いくつかの代替製品構成のうちの1つとしてICを選択できるようになり、それによって通常なら廃棄されるICを再使用できるようになる。
第13b図で、マルチチップ・モジュール相互接続回路膜は、ダイスのアタッチメント336a、336bに対向する面に形成された単一のパッド・プローブ点330を有する。マルチチップ・モジュールのダイス336a、336bは、プローブ点330に機能試験信号を提供する。多重チップ・モジュールによって実行される試験は、ダイ全体またはICのサブセクションの機能試験でよい。第13c図に示したように、プローブ点330はウェハ上の試験すべきダイ(図示せず)上に整列し、相互接続回路膜332は流体圧力によって数ミル(公称では15ミルないし30ミル)だけ延ばされる。ウェハが上昇してプローブ点330に接触し、機能試験が実行され、ウェハが下降して次のIC(ウェハ上のダイ位置)に位置決めされ、ウェハ上のすべてのICが試験されるまで機能試験が繰り返される。このようなダイの試験は、すでにウェハから切り取られたダイに対して実行することもできる。これを行うには、個別のダイをプローブ点に接触するように整列させて保持し、機能試験を実行する。機能試験を実行している間に相互接続回路膜332あるいはウェハまたは個別のダイに圧電水平振動を加えて自然酸化金属をダイ・パッドに擦り合わせることができる。
試験すべきICに最初にテスタ表面を接触させるときにウェハまたはダイを横方向に数ミクロン移動することを繰り返すことによって、ダイのパッド上の自然酸化金属(厚さは約30Å)を機能テスタ表面のプローブ点と擦り合わせることができる。大部分のウェハ処理装置またはダイ処理装置で現在利用可能な機械制御はこの擦合せ動作を行うのに十分である。
相互接続回路膜の厚さは、2μmよりも小さな値から25μmよりも大きな値までの範囲でよい。1相互接続層(誘電体および金属トレース)当たりの典型的な厚さは1μmないし4μmである。数ミクロンの厚さの誘電体層を平坦化技法として使用して、2つよりも多い相互接続層を使用したときに生じる可能性がある金属トレース・ステップの高さの差分を低減することができる。金属トレースの平坦化は、金属トレースを含む厚さまで誘電体を堆積させることによって行うこともできる。少なくとも金属トレースの所望の厚さと同じ深さのチャネルを誘電体にパターン化する。次いで、確立されたリフトオフ技法を使用してチャネルに金属を充填する。
第22aないし22c図はこのプロセスを示す。第22a図で、相互接続回路膜誘電体400は、パターン化された上部のレジスト層402と共に示されている。次いで、異方性エッチングによって誘電体400にリセス406を形成し、エッチングされたリセス406の深さにほぼ等しいアンダカット部分404を形成する。第22b図では、金属層408が堆積されている。第22c図では、レジスト202のリフトオフ剥離が実行されて金属トレース408だけが残り、次の誘電体層410が堆積している。
厚い平坦化ポリマー層をMDI誘電体膜上に塗布することによって、相互接続構造を平坦化することができる。ポリマーはMDI誘電体膜のエッチング速度に類似のエッチング速度をもたなければならない。次いで、ドライ・エッチング手段によってポリマーを完全に除去し、同じプロセスで、ポリマー層内へ延びている誘電体表面微細形状を除去する。この平坦化プロセスは、エア・トンネルに関するプロセス・ステップで開示した任意選択の平坦化プロセスと同じである。唯一の違いは、平坦化中の材料がMDI誘電体であり、a−Siではないことである。
ダイのボンド・パッド上で信頼できる接触を達成する相互接続回路膜の能力(第13b図参照)は、試験すべきダイを接触させるためのプローブ点330を含む相互接続回路膜332の厚さによって強く影響を受ける。相互接続回路膜332は、たとえば相互接続トレースの送信線設計要件によって必要とされるように25μm以上の厚さでよい。プローブ点での相互接続膜の厚さは8μmよりも薄いことが好ましい。第13b図の構造は、第23aおよび23b図に示したように製造することができる。
第23a図で、エッチング・ストップ層412(通常、金属)は、誘電体層および金属被膜層を厚さが8μmないし25μmよりも大きな値になるまで繰り返し塗布することによって相互接続回路膜332を製造するときに、相互接続回路膜332のある厚さの所で堆積される。相互接続回路膜332の相互接続層の製造が完了した後、プローブ点330上の領域をマスクし、エッチング・ストップ層412までエッチングする(第23b図参照)。次いで、エッチング・ストップ層412をエッチング除去する。相互接続金属被膜の製造の一部として各層自体をパターン化するときに、プローブ点330上の領域414を上部の相互接続層がなくなるようにエッチングすることもできる。次いで、ダイス336a、336bを相互接続回路膜332に取り付ける。
MDIソース積分光弁(SLV)直接描画リソグラフィ・ツール
MDIプロセス技法を使用して、適度な感度のレジストの膜にリソグラフィック・パターンを形成するために使用できるX線、DUV(濃紫外線)、またはEビームの活性放射源のn×mセル・アレイで回路膜を製造することができる。本開示の好ましい実施例はX線源を使用する。このソース積分光弁実施例の構造全体内で放射源セルの電極、ガス内容物、および構造を変更することができる。X線源実施例を採択したのは、より小さな微細形状寸法をパターン化できるからである。
膜領域中の放射源セルはコンピュータの制御下にあり、そのため、この種のMDI膜は、ICを製造するためにレチクルまたはマスクの機能と光源または放射源を組み合わせる。このMDI回路膜の放射源セルは、パターン化すべき基板の領域上で、基板から一様な距離に、あるいは基板に接触させて位置決めされる(整列する)。そうすると、各セルは、必要に応じて、その真下にある基板の様々な部分を照明(露光)する。このように機能するMDI回路膜は、本明細書ではソース積分光弁(SLV)と呼ばれ、一般に直接描画(マスクレス)リソグラフィ・ツールとして範疇分けすることができる。
SLVのパターン化構造を構成する放射源セル(RSC)のアレイは、コリメートされた放射源を放出する。このコリメートされた放射源の露光微細形状寸法は、RSCがSLVの表面上で占める面積よりもずっと小さい。放出され、コリメートされた放射源を放射露光アパーチャ(REA)と呼ぶ。SLVは、パターン化すべき基板上で走査X−Y方向に移動される。走査運動の寸法によって、RSCの各REAは、面積が通常RSCの寸法に等しい基板の部分上を通過する。RSCは平行に動作し、各RSCの下にある基板上のパターン化領域は相互に隣接し、集合的にずっと大きな全体パターンを基板上に形成する。このパターンは下部の基板と同じ大きさでよい。RSCの走査運動は、周知のコンピュータ制御機械モータ駆動段または圧電駆動段によって生成される。SLVまたは基板を運動段に取り付けることができる。SLVを使用するとX−Y運動が短くなり、1μmよりもずっと小さく、場合によっては50nmよりも小さい寸法のREAを含むRSCを走査する必要があるため、圧電運動段が好ましい実施例である。SLV回路膜のREAは、基板上で走査されるとき、所望の露光パターンを基板表面上に作成するために各RSCに関連するコンピュータ制御論理機構によってオン・オフを切り替えられる。一例として、面積が25μm×25μmのRSCと、直径が0.1μmのREAが挙げられる。REAにRSCの寸法の面積を露光させるには、25μm×0.1μmの走査運動をX軸方向に250回行い、各走査後にY軸方向に0.1μm並進する。
第24図は、アレイ寸法が4,096×4,096個のRSCであり、全体的な寸法が約5インチ×5インチであるプロトタイプのSLV420の平面図を示す。RSCのSLVアレイ420は、パターン・データを各RSCにロードするための関連する制御論理機構424を有する。SLV420(制御論理機構424を含む)は、確立された半導体プロセスによって製作され、SLV420が製造された最初の基板から製作された剛性のフレーム426、またはSLV(MDI回路膜)が製造された基板の選択的エッチング除去前にSLVにボンディングされたフレームに保持された、厚さが通常8μmないし50μmのMDI回路膜である。電磁結合アライメント構造430(以下で説明する)も示されている。
第25図は、SLV440中の2つのRSC434−1、434−2の断面図を示す。好ましい実施例のSLV440は、RSC434−1、434−2の行および列を有する。ここで、各行および各列は1,000を超えるRSCを含むことができ、その結果SLV中のRSCの総数は数百万を超える。第25図は、厚さが8μmないし50μmのMDI回路膜440、データ・バス相互接続金属被膜442−1、442−2、制御論理機構424−1、424−2、X線源446−1、446−2、およびREA434−1a、434−2aを示す。
第26および27図は、X線RSC434の2つの異なる可能な実施態様の断面図である。第26図は、隣接する立方体の形の加工された表面452を含む金属陰極450を使用している。各立方体の伸長された部分の各隅は、電界456の下にある高電圧X線放出ターゲット458によって生成される電界456内に電子を放出する冷電子ポイント・エミッタである。RSC434の寸法は約25μm×25μmである。RSC434の厚さ(高さ)は約8μmないし50μmである。SLV(およびRSC)を製造するために使用される材料は、上述のようにMDI回路膜を製造するために使用されるもの、すなわち、金属459、低応力誘電体460、および単結晶半導体膜基板472である。処理ステップは様々なものでよいが、従来の半導体およびマイクロマシン製造で使用されるものである。
加工された陰極450は通常、金属立方体の行および列の正方形アレイの形をしており、各立方体は正方形陰極層全体の寸法よりもずっと小さな寸法を有する。一例を挙げると、陰極450の面積が4μmである場合、各立方体の寸法は一辺が0.1μmないし0.5μmになる。加工された陰極立方体は、確立されたリソグラフィ技法(EビームまたはDUV光学リソグラフィ)および異方性RIE処理によって製作される。この陰極450構成は、冷電子エミッタの密度が高く、陽極458上への電子フルーエンスが高いので、SLVの新しい部分である。陰極450は電子を放出し、前記電子は、ターゲットまたは陽極458の電位によって生成される電界456によって加速される。電子がターゲット458に到達すると、ターゲット458からX線が放出される。タングステンや金などのX線アブソーバ材料466を、SLV膜の製造済み構造の一部として層として堆積させて、REA470を形成する手段を提供し、かつ反射層461中に堆積させて、反射されたX線がSLV中の他のRSCとの相互接続部473を含む制御論理デバイス472に到達するのを妨げる。このRSCは、周知のX線真空チューブ設計に関係する。陰極とターゲットを分離する空間474は部分真空である。この分離距離は、1μmよりも小さな値から40μmまでの範囲でよい。
第27図は、レーザ・ダイオード480を使用してターゲット458上に照射する代替RSC434を示す。ターゲット458はさらに、X線を放出する。レーザ・ダイオード480とターゲット458は、任意選択で部分真空であるキャビティ482中で1ミクロン以上の距離“d"だけ分離される。ターゲット458からのX線の放出を誘発させる技法を除き、第26および27図に示したRSC434は機能的に同じである。RSCは、DUV放射源の場合と同様にCd−HgやXe−Hgなどのガスを使用し、RSCのキャビティ中の電極の構造は変更され、X線アブソーバ層は必要とされない。レジスト・パターン化用の自由電子を放出するRSCでは、REA470が開口部である必要があり、あるいは加速された電子ビームがREA470を通過できるほど薄い材料でREA470を閉鎖する必要がある。REA470がRSCの電極キャビティ482からの開口部である場合、Eビーム・リソグラフィ・ツールの場合のように、SLVは真空で動作する必要がある。Hampshire Corporation(Marlborough,MA)は現在の所、レーザ・ダイオードで刺激するX線放射源を使用するX線リソグラフィ・ツールを製造している。
約6,000Åよりも短い波長の放射線を放出するレーザ・ダイオードは現在の所存在しないが、より短い波長のレーザ・ダイオードの開発が進行中であり、SLV用の放射線生成装置として構想されている。コンパクト・ディスクなどの消費者およびコンピュータ周辺製品で使用するためのより短い波長をもつダイオードに対する需要は高い。そのようなデバイスは近い将来出現し、そのようなレーザ・ダイオードはおそらく、RSCの放射線源生成装置としてSLVに組み込むことができるであろう。したがって、レーザ・ダイオードの使用が構想される。
SLV(適当な放射機能のRSCを含む)の追加応用例は、放射誘発CVD(化学蒸着)である。SLVをこのように使用すると、マスクおよびエッチング処理ステップが不要になる。金属であれ、誘電体であれ、堆積すべき所望の材料は、パターン化された形で堆積させられる。これによって、マスク、レジスト、エッチングの不要なプロセスが提供され、コストおよび微粒子汚染上の顕著な利点がもたらされる。SLVがこのように動作すると、ガス状化合物の混合物が存在する基板の表面で放射線が供給される。このプロセス・ステップは、上述のように基板上でレジスト層を露出させることと機械的に同じである。RSCからの放射によって、化合物が基板の表面で反応し、基板の表面の照射された部分上に材料が選択的に堆積する。この放射誘導選択的CVD法はエキシマ・レーザを使用することによって実証された。75nmないし250nmのエキシマ周波数帯域幅で放射線を放出できるレーザ・ダイオードは現在の所存在しない。
MDI外部ソース粒子弁(SPV)直接描画リソグラフィ・ツール
MDIプロセス技法を使用して、個別に制御される静電弁またはシャッタ、あるいは電磁弁またはシャッタのn×mセル・アレイを含む回路膜を製造することができる。これらの弁を使用して、適度な感度のレジストの膜に露光パターンを作成するように荷電粒子が回路膜を通過するのを制御することができる。MDI回路膜上の弁のアレイは、実際的な目的のために、第24図に示したSLV構造と同じ構造である。主な違いは、SLVリソグラフィ・マスクの場合のような組み込まれたパターン化源を含むセルではなく、SPV回路膜の一面を照明する外部粒子源をパターン化するための粒子弁セルを使用することである。
コリメートされたイオン粒子源と、レジストの薄い膜を塗布された基板との間に、前記基板から一様な距離に、あるいは前記基板と接触させて、このMDI回路膜の弁セルのアレイを置く。アレイは、パターン化すべき基板の領域上に位置決め(整列)され、個別のコンピュータの制御下で、イオン(荷電)粒子が、アレイの下にあるレジストを塗布された基板の領域を露光するようにすることも、あるいは露光しないようにすることもできる。本明細書では、このように機能するMDI回路膜を外部ソース粒子弁(SPV)と呼ぶ。
粒子弁が通過させるコリメートされた粒子ビームは、粒子露光アパーチャ(PEA)と呼ばれる一定の露光微細形状寸法を有する。SPVは、パターン化すべき基板上で走査X−Y方向に移動される。走査運動の寸法によって、粒子弁の各PEAは、面積が通常、弁の寸法に等しい、基板の部分上を通過する。弁は平行に(同時に)動作し、各弁の下にある基板上のパターン化領域は、相互に隣接し、集合的にずっと大きな全体パターンを基板上に形成している。弁の走査動作は、周知のコンピュータ制御機械モータ駆動段または圧電駆動段によって生成される。SPVまたは基板を運動段に取り付けることができる。SPVを使用するとX−Y運動が短くなり、1μmよりもずっと小さく、場合によっては50nmよりも小さい寸法のPEAを含む弁を走査する必要があるため、圧電運動段が好ましい実施例である。回路膜の弁は、基板上で走査されるとき、所望の露光パターンを基板表面上作成するために各弁に関連するコンピュータ制御論理機構によってオン・オフを切り替えられる。一例として、面積が25μm×25μmの弁と、直径が0.1μmのPEAが挙げられる。弁に弁の寸法の面積を露光させるには、25μm×0.1μmの走査運動をX軸方向に250回行い、各走査後にY軸方向に0.1μm並進する。
第24図(やはり、SLVの平面図)は、4,096×4,096個のイオン弁または荷電粒子弁のアレイを含み、全体的な寸法が約5インチ×5インチであるプロトタイプのSPV420の平面図を示す。SPV弁アレイ420は、パターン・データを各弁にロードするための関連する制御論理機構424を有する。SPV420(制御論理機構424を含む)は、確立された半導体プロセスによって製作され、SPV420が製造された最初の基板から製作された剛性フレーム426に保持された、厚さが公称で4μmないし8μmのMDI回路膜である。電磁結合アライメント構造430(以下で説明する)も示されている。
第29a図は、SPV506中の2つの弁502、504の断面図を示す。好ましい実施例のSPVは、弁502、504の行および列を有する。ここで、各行および各列は1,000を超える弁を含むことができ、その結果SPV中の弁の総数は数百万を超える。第29a図は、厚さが4μmないし8μmのMDI回路膜508、データ・バス510a、510b、制御論理機構512a、512b、および粒子を通過させるためのPEA(アパーチャ)514a、514bを示す。第29b図は、第29a図の弁502、504の平面図を示す。
SPVの弁は、同じ極性の電界または磁界を使用してPEAから接近してくる粒子を偏向させることによって、イオンまたは荷電粒子の通過を妨げる。PEA514a、514bは通常、SPV回路膜508の正方形の開口部である。PEA514a、514bは、電位を印加することによってPEA上に局所静電界を生成する金属膜520a、520b、または局所ドーナツ形電磁界を生成する(第29a図に示した)金属ワイヤ540(コイル)で囲まれている。SPVが、コリメートされた荷電粒子源を降り注がれると、PEA514a、514bに当たる粒子はSPV506を通過し、SPV506の真下にあるパターン化すべきレジスト層(図示せず)に当たる。十分な強度と、イオン粒子と同じ極性をもつ静電界または電磁界が存在する場合、イオン粒子はPEAから偏向し、SPV表面の他の何らかの部分に当たる。PEAは、面積が、生成される総電界量と比べて小さく、したがって、接近してくる荷電粒子がPEAから外れてSPVの表面に当たるようにするには前記粒子が少し偏向するだけでよい。
SPV506は通常、真空下のエンクロージャ(図示せず)中で動作する。荷電イオンまたは粒子は、うまく加速でき、あるいはSPVの表面に一様に当てることがきるどんな材料のものでもよい。レジスト膜をパターン化するためのソースとして使用されている荷電粒子の例には、電子(負)、陽子(正)、およびガリウム+(正)がある。SPVは、パターン化すべき基板のすぐ近くに置くことも、あるいは前記基板に接触させることも、あるいはSPV回路膜を通過させることによって形成される粒子ビームの焦点を拡張し、またはSPVによって生成される像を縮小するために使用できるリソグラフィ装置の結像レンズ要素の前に位置決めすることもできる。
第29cおよび29d図は、SPVの静電シャッタまたは弁を実施する異なる方法を示す断面図である。第29c図は、単結晶半導体膜524を異方性エッチングすることによって形成されたPEA514aを示す。壁角度が約54゜の<100>単結晶半導体ウェット・エッチングまたはドライ・エッチング(RIE)法を使用して丸いまたは四角いホールをエッチングして、SPV回路膜の低応力誘電体526a、526bまたは半導体層524にPEA514aを形成することもできる。半導体膜の背面にある低応力誘電体膜526bのバイアをPEA514aのウェット・エッチングの後に形成した。通常2,000Åよりも薄い金属膜528をスパッタリング手段またはCVD手段によって堆積させる。金属膜528はPEA514aを囲み、直径が通常4μm以下であり、PEAは、直径が通常500nmよりも小さい。第29d図は、半導体膜534上に堆積した平坦な金属膜532にバイアをエッチすることによって形成されたPEA530を示す。この例でPEAを形成する金属膜532は通常、厚さが2,000Åよりも薄く、直径が約4μmである。低応力誘電体層536a、536bも示されている。
第29eおよび29f図は、SPVの電磁シャッタまたは弁を実施する方法を示す。第29e図は、第29f図の構造の平面図である。半導体膜層542および低応力誘電体層544が示されている。PEA514aの周りに電流ループを生成できるように、MDI回路膜508上に金属コイル540を形成する。MDI回路膜508の誘電体部分または半導体部分でのウェット異方性エッチングまたはドライ異方性エッチングによってPEA514aを形成する。金属線540は通常、幅が2μmよりも狭く、厚さが1μmよりも薄く、直径が通常4μmよりも小さい領域を囲む。PEA514aは、金属線540のループの内径“a"よりも小さく、通常500nmよりも小さい。
制御論理機構は、SPVの各弁に関連しており、必要に応じて、PEAを囲む金属構造に電圧または電流を供給する。第29cおよび29d図で、弁制御論理機構は、それぞれPEAを囲む金属膜528、532に電位を印加する。印加された電位が、SPVの表面に当たる荷電粒子と同じ極性である場合、粒子はPEAを通過しないように偏向する。これは弁閉鎖条件である。印加された電位が逆の電位またはゼロである場合、粒子はPEAを通過する。第29eおよび29f図で、弁制御論理機構は、PEA514aを囲む金属ワイヤ540を介して電流を印加する。ワイヤ540中の電流の方向に応じて(PEAの周りに時計回りまたは逆時計回り)、極性をもつ磁界が生成される。界極性が、接近してくる荷電粒子と同じである場合、粒子は偏向し、界極性が逆またはゼロである場合、粒子はPEAを通過する。
SPVの所望の機能を達成するための静電弁または電磁弁の設計は様々なものであってよい。本明細書に提示した例は、SPVで使用するためのそのような弁の設計構造の範囲を限定するものではない。
MDI機械光弁(MLV)直接描画リソグラフィ・ツール
第29gないし29k図は、フォトニック露光源または粒子露光源をパターン化するための繰返しマイクロ加工機械静電シャッタ・セル550−kを使用する直接描画リソグラフィ・ツールの各部分を示す。このリソグラフィ・ツールの全般的な構造および機能は、上述のSLVツールおよびSPVツールに類似している。このツールは、それぞれ約25μm×25μmであるシャッタ・セル550−kと呼ばれパターン形成要素の行および列から成る。第29g図は、いくつかのそのようなセル550−1、550−2、...、550−k、...、550−nの平面図である。第24図は、リソグラフィ・ツール全体の概略平面図である。このツールは、平面図ではSLVツールおよびSPVツールと同じに見える。
MDI回路膜上に製作されたシャッタ・セル550−kのアレイは、コリメートされたフォトニック源またはイオン粒子源とパターン化すべき基板の間に置かれ、投影リソグラフィ法または接触リソグラフィ法で使用して、レジストの薄い膜を塗布されたそのような基板をパターン化することができる。アレイは、パターン化すべき基板の領域上に位置決め(整列)され、それぞれ、シャッタ開口部またはREA(放射露光アパーチャ)を回路膜を介して調整する個別のコンピュータ制御の下で、アレイの真下にあり、あるいはアレイに対応する基板の領域を露光する。本明細書では、このように機能するMDI回路膜を機械光弁(MLV)と呼ぶ。
シャッタ・セル550−kが通過させる、REAでコリメートされた露光像の寸法は可変である。さらに、REAはフォトニック源用のMDI回路膜を通過する物理開口部である必要はなく、入射フォトニック放射線に対して透過的であればよい。MLVは、パターン化すべき基板上で(X−Y軸554で示した)走査X−Y方向に移動される。走査運動の寸法によって、シャッタ・セルの各REAは、面積が通常シャッタ・セル550−kの寸法に等しい基板の部分上を通過する。シャッタ・セル550−kは平行(同時)に動作する。各シャッタ・セルの下にある基板上のパターン化領域は相互に隣接し、集合的にずっと大きな全体パターンを基板上に形成する。シャッタ・セルの走査運動は、周知のコンピュータ制御機械モータ駆動段または圧電駆動段によって生成される。MLVまたは基板を運動段に取り付けることができる。MLVを使用するとX−Y運動が短くなり、1μmよりもずっと小さく、場合によっては50nmよりも小さい寸法のREAを含むシャッタ・セルを走査する必要があるため、圧電運動段が好ましい実施例である。
回路膜のシャッタ・セルは、基板上で走査されるとき、所望の露光パターンを基板表面上に作成するために各シャッタ・セル550−hに関連するコンピュータ制御論理機構556−kによって開閉される。一例として、面積が25μm×25μmのシャッタ・セルと、直径が0.1μmのREA設定が挙げられる。REAにシャッタ・セルの寸法の面積を露光させるには、25μm×0.1μmの走査運動をX軸方向に250回行い、各走査後にY軸方向に0.1μm並進する。REAの寸法設定は通常、所与の基板走査の間固定され、バイア・パターン層の場合のように露光を必要としないすべてのシャッタ・セルに共通の基板の領域があるとき、REAのベクトル運動を使用して露光性能を向上することができる。
シャッタ・セルの動作は、露光源のフルーエンス(陽子または粒子)が通過できるようにする開口部の開放/閉鎖状況を調整し、同じ動作で、リソグラフィ・ツール用の露光CDを動的に決定する。MLVの最小露光CDまたはREAを変更する能力は、一定露光アパーチャを有するSLVおよびSPVと比べて、このツールに固有のものである。REAの寸法を動的に変更できることによって、ICのパターンまたは形状破壊データベースをICの1パターン層当たりのCD固有形状セットとして区画することができる。これによって、最小のCDをもつ露光像の部分を、より大きなCDから成る露光像の他の部分と別にパターン化することによってリソグラフィ・ツールの性能を最適化することができる(処理速度はREAの寸法によるものであり、したがって、REAが小さければ小さいほど、REA露光の回数が増える)。
第29hおよび29i図は、シャッタ・セル550−kの2つの異なるシャッタ・アーム構造の平面図を示す。第29i図は、シャッタ・アーム560、566のばね懸垂構造を示す。シャッタ・アーム560、566は、金属または金属と低応力誘導体の組合せで形成され、シャッタ566の両側にある2つの電気コンタクト562、564から基板の表面上で懸垂される。シャッタ・アーム560、566は静電電位をスイッチで印加することによって(570−Yで示した方向に)移動することができる。シャッタ・セルのREA572は、シャッタ・アームの非透過材料を通過する四角い開口部574とシャッタ566の中央領域の真下にある金属電極膜を通過する類似の開口部の交差部によって形成され、基板の表面に固定されている。この開口部574は通常、直径が2μmよりも小さく、シャッタ・アーム560の長い軸に対して90゜回転して位置決めされる。第29h図は、静電電位を印加することによってもたらされるシャッタ・アーム560、566の代替位置としてアウトラン578を点線で示す。REAの寸法は、シャッタの中央領域566の開口部と中央領域の下にある固定金属膜の開口部との突き出た交差部によって決定される。シャッタ572のREAは、シャッタ・アーム566の中央領域を四角い開口部574の斜め長よりも長い距離だけ移動することによって閉鎖される。
シャッタ・アーム560、566は、静電電位によって位置決めまたは移動される。シャッタ・アーム560、566は電位を備えている。シャッタ・アーム566の中央領域の最大走行変位の両端に位置決めされた電極580a、580bは、シャッタ・アームを所望の変位だけ引き付け、あるいは反発させるのに十分な逆の電位に選択的に設定される。シャッタ・アームは、下部基板電極(図示せず)に逆の電位を印加することによって、前記アームの下にある電極膜と物理的に接触して保持される。
第29j図は、第29h図の線j−jを通るシャッタ・セルの部分の断面図である。第29k図は、第29j図の線k−kに沿った断面図である。
第29j図に示したように、シャッタ・セルは確立された半導体処理法によって製造される。MDI回路膜604上にアレイ・シャッタ・セル制御論理機構を製造する。シャッタ・アームの中央領域566の運動に適応するのに十分な寸法のトレンチ592を回路膜の下部誘電体層590まで形成する。シャッタ・アームの中央領域566の下の電極594を形成し、シャッタ・アレイの領域上にa−Si(無定形シリコン)の共形犠牲層(図示せず)を堆積させる。a−Si層の厚さは、シャッタ・アームが回路膜上に懸垂される分離距離を決定する。シャッタ・アーム560の電極コンタクトおよびシャッタ・アーム位置決め電極580a、580bの電極コンタクトへのバイア開口部562、564(第29hおよびi図)をa−Si層内にパターン化する。シャッタ・アーム560、566および位置決め電極580a、580bを形成するように、任意選択で誘電体層602と組み合わされた金属層600を堆積させパターン化する。REAを形成するために使用される開口部574も、RIEプロセスを介してパターン化してエッチングする。この開口部574は少なくとも、シャッタ・アームと、REA572である断面積を形成する際に使用されるシャッタ・アームの中央領域の下にある電極594とを通過する。開口部574は、露光源の透過要件に応じて、MDI回路膜590を完全に通過することも、あるいは誘電体層上で止まることもできる。次いで、シャッタ・アーム560が自立し、2つの電極コンタクト部位562、564(第29hおよびi図)でのみ回路膜に接続されたままになるように、a−Siを選択的に除去する。シャッタ・アーム560、566は、シャッタ・アーム560、566位置決め電極580a、580bおよびシャッタ・アームの中央部分の下にある基板電極594に印加された電位に基づいて2つの方向570−Y、570−Zに自由に移動する。
固定自立膜リソグラフィ・マスク
MDIプロセスを使用して、固定パターンをもつリソグラフィ・マスクとして使用される自立膜を形成することができる。MDIプロセスを使用して、光学マスク、X線マスク、およびステンシル・マスク(イオン・マスクまたは荷電粒子マスク)を形成することができる。マスク基板は、低応力誘電体および任意選択で半導体材料で製作される。好ましい実施例では、マスクは、Novellus社製装置上で、上記で提示した構成と一貫するように製作された酸化物窒化物低応力誘電体で製作され、あるいは他の酸化物窒化物製造装置上で形成されたそのような低応力膜の変形例として製作される。パターン化材料は、シリコンなどの半導体、他の誘電体材料、またはフォトニック露光源に対して非透過的な金属である。露光源がイオン・ビームまたは荷電粒子ビームである場合、パターン化はボイド(膜を通過する開口部)、材料の除去、またはステンシルによって行われる。
第29lおよび29m図は、固定フォトニック・マスクの形成を示す断面図である。最初に誘電体層622、624およびシリコン626(パターン化材料はパターンで示されている)で形成された膜基板620を上述のように形成する。膜の誘電体層622、624の厚さは通常2μmないし3μmであり、シリコンの所望の側壁角度またはCDアスペクト比(層の厚さとパターンのCD開口度の比)に応じてシリコン626の厚さを変更することができる。シリコン層626は、Eビーム・エッチング処理や選択的シリコン・エッチング処理など、確立されたレジスト・スピンオン膜パターン生成リソグラフィ・ツールで直接パターン化することができる。
第29l図は、まずタングステンなどの金属630のオーバレイをパターン化し、次いで、誘電体層622をシリコン層626まで選択的にエッチングすることによって形成されたパターン化シリコン層626の断面図を示す。シリコン層を完全に除去し、金属膜を堆積させ、次いで、前記膜をパターン化し、あるいは第29l図のパターン化シリコン層を選択的にアンダカットして金などの貴金属によるリフトオフ・プロセスを使用することによって、金属パターンを形成することもできる。
第29m図は、低応力誘電体膜層632をパターン上に堆積させ、パターンの下にある誘電体層622、624を除去した後の第29l図の構造の断面図を示す。低応力誘電体膜層632をパターン上に堆積させ、最初の低応力誘電体構造層622、624を除去できるように構造膜層を形成する。
X線マスクの場合、良好なX線アブソーバであるために金がパターン化膜として使用されることが多い。金および一般的な貴金属は、ウェット処理技法を使用するか、それとも電気めっき技法と組み合わせないかぎりパターン化できない。第29l図のシリコン・パターン626は、大きなアスペクト比を得られるようにドライ・エッチング(RIE)することができる。RIE処理の前にシリコン層626に大量の金をドーピングする場合、ウェット・エッチング処理の微細形状寸法やアスペクト比の制限なしに、このマスク形成法をX線マスクとして使用することができる。
第29nおよび29p図は、MDIシリコン642の誘電体層640および誘電体640膜をRIEプロセスでパターン化することによって形成されたステンシル・マスクを示す。次いで、第29p図中の誘電体膜644a、644b、644cの開口部によって示したステンシル・パターンが残るように、シリコン層640を選択的にウェット・エッチング除去する。壊れやすいステンシル・パターンが形成される前に、RIE処理の副産物として形成される誘電体640上の側壁パッシベーションを除去できるので、この処理シーケンスは新しいものである。側壁パッシベーション除去には、自立ステンシル・パターンを破壊する激しい撹拌が必要である。残りのシリコン層642はシリコンを選択的に軽くウェット・エッチングすることによって除去することができる。
ステップ・コンタクト・プリンタ(SCP)
MDI回路膜で製作されたパターン生成ツールを使用して、基板上に堆積させた適度な感度のレジスト膜をパターン化することができる。MDIパターン生成ツールとは、SLVリソグラフィック・ツール、SPVリソグラフィック・ツール、MLVリソグラフィック・ツールなどのMDI回路膜応用例と、適度な光学透過特性(上記参照)をもつ低応力誘電体膜上に製作されたより従来型の固定パターン・マスクを指す。MDI固定パターン・マスクとは、上記で提示したMDI製造法のうちの1つによってMDI誘電体膜上に形成された単層金属被膜パターンである。電磁結合による基板上の既存の像に対するアライメント手法を以下で提示する。この方法は、MDI回路膜固定パターン生成ツールにも組み込まれる。
接触印刷法は廉価であり、ほとんど無限のリソグラフィック微細形状寸法結像機能を提供する。しかし、最良の像を得るには、基板上のレジストへの像転写用の厚いマスク・プレートをレジストに接触しなければならない。この接触は、剛性のマスク・プレートを湾曲させて基板に共形接触させる強力な真空力を加えることによって行われる。そのような強力な真空力を加えると、レジストの小さな粒子が剛性のマスクに堆積し、マスクの清掃が必要になり、あるいは、基板への非共形接触または結像欠陥が検出されない場合、それによって後の露光時に正しい像の転写が妨げられることが多い。近接印刷は、マスクが接触させられず、近接ギャップと呼ばれる基板から数マイクロメートル上に保持されることを除いて、接触印刷に類似している。近接印刷で微細形状寸法像が生成される効果は、近接ギャップの寸法に正比例する。さらに、接触印刷は、従来のステップ投影リソグラフィ装置のように基板の小さな部分ではなく、完全な基板を1回の露光で印刷することに限られている。接触印刷プロセス時に真空を生成するには時間がかかり、したがって、不要な物質が混じったマスクの清掃の問題、接触時に不均一なまたは重なったリッジ・マスクおよび基板プレートに真空を与える機械的複雑度を考慮しないかぎり、単一の基板上で数回の接触印刷露光を行うことは、時間の点で採用できない。
第30図は、周知の接触リソグラフィ法または近接リソグラフィ法をステップ機械運動ならびに本明細書に提示したMDI回路膜のパターン生成ツールおよびアライメント手段と組み合わせるステップ接触リソグラフィック露光装置の断面図を示す。基板(工作物)662は、従来の真空チャンク(図示せず)によって保持され、従来の機械圧電運動制御機構(図示せず)によって位置決めされている。MDIパターン生成ツール660は基板662から25μmよりも短い距離“d”だけ上に保持され、基板662の一部上の大ざっぱなアライメントは従来の光学手段によって行われる。その場合、1平方cm当たり100gよりも少ない小さな流体圧力Pを加えて、点線668で示した所望の近接距離位置へ回路膜を下降させ、あるいは基板662に共形接触させることによって、MDIパターン生成ツール660の中心を拡張する。パターン生成ツール660の基板との最終的な厳密なアライメントは後述の電磁結合(電磁)手段によって行われる。MDI回路膜の低質量および弾性によって、パターン生成ツールを迅速に基板に接触させ、かつ迅速に基板から引き離すことができる。露光が完了した後、パターン生成ツールを基板に接触させ、コンピュータの制御下で、次に露光すべき基板の領域へステップ(移動)させる。パターン生成ツール660、672を保持するための回路膜フレーム672およびリソグラフィ装置フィクスチャ676も示されている。
電磁リソグラフィック・アライメント法
SLVマスク、SPVマスク、MLVマスク、および固定マスクとして本明細書で開示したリソグラフィック・パターン生成ツールは、微小寸法(CD)または50nmよりも小さな最小パターン微細形状寸法でパターン生成を行うことができる。一般にマスクと呼ばれる固定パターン生成ツールは、露光源の波長に正比例するパターン生成CDが可能なMDI回路膜プロセスで(上記で開示したように)製作することができる。可能な露光源は、UV、DUW、Eビーム、および粒子線である(MDIプロセスで製作される固定マスクは、厚さが通常4μmよりも薄く、従来のリソグラフィック・マスクなどで使用すべき単一のパターン化材料層を含む回路膜である。MDI回路膜はステンシル・パターンでもよく、この場合、生成すべきパターンは、完全に膜を通過するトレンチとして回路膜中に表される。Eビーム・リソグラフィック・プロセスまたは粒子線リソグラフィック・プロセスには固定パターン・ステンシル膜が必要であり、この場合、露光源は結像(パターン化)すべきマスクを物理的に通過しなければならない。Ion Microfabrication Systems社によってプロトタイプ粒子ビーム・ステンシル・マスクが開発されている)。
しかし、IC製造用のパターン生成ツールのCD生成機能の効果は、複数の重なり合うパターンを整列させ、あるいは重ね合わせる能力に依存する。現在半導体業界で使用されている受け入れられるパターン・アライメント公差は通常、1組の重なり合うパターンに対してCD値の±25%である。
約1μm以上のCDでのパターン生成のためのアライメントは、一致するアライメント・パターンを基板上に重ね、パターン生成ツールの表面上に対応するパターンを重ねることによって光学顕微鏡で行うことができる。パターン生成ツールは通常、透過的な誘電体材料で構成されているので、顕微鏡によって前記ツールを透過的に見ることができる。
SLV、SPV、およびMLVの好ましい実施例は、100nmよりも小さな微小寸法を有するパターンを生成できるようにするものである。そのようなパターンでは、±25nmよりも小さなパターン・レジストレーションが可能なアライメント手法が必要である。現在利用可能な光学アライメント法では、そのような微小寸法を達成することはできない。MDIパターン生成ツールは、電磁近接感知を使用することによって100nmよりも小さな微小寸法のアライメントを行う。第28a図は、PGTアライメント・コイル494の一例を示す。この金属コイル494は、パターン化中の基板の表面(すなわち、ウェハ上)に薄い金属膜(通常、厚さが1μmよりも薄い)をパターン化することによって製造される。コイル・パターン494の基板上での配置は通常、露光またはパターン化すべき領域の隣接する2つの面に沿って行われる。コイル・パターンは、パターン化すべき基板の領域内に置くこともでき、パターン領域のエッジや側面に限らない。コイル・パターンは通常800μm×100μmである。コイル領域494の電極コンタクト・パッド496、498は通常、面積が50μm×50μmである。第24図中の構造430に対応する類似のパターン494が、パターン生成ツールの回路膜の表面から下向きに延びる2つの電極プローブ点と共に、パターン生成ツール膜(図示せず)の表面上にある。これらのパターン生成ツール・プローブ点は、基板コイル494に関連する電極パッドに接触し、基板コイル494中で電気信号が生成される。都合の悪いことではあるが、直接パターン生成ツールからではなく、基板のエッジからの接続部によって信号を基板に供給できることが明らかであろう。
基板コイルからの信号は、パターン化生成ツールの対応するアライメント・コイルによって感知される。次いで、パターン生成ツールと基板は、基板コイルから感知された信号を介して、事前に定義された電気パラメータ設定が達成されるまで、X−Y方向にかつ角度的に移動され。これは、基板とパターン生成ツールが近接していることと、パターン生成ツールの集積制御論理電子機器のために可能になっている。このように、10nmよりも小さなアライメント感知精度が達成される。基板コイルの電極パッドは通常、一辺が2ミルよりも小さく、パターン生成ツールから延びて基板コイル・パッドに接触するプローブ点電極は通常、直径および高さが12μmよりも小さい。パターン生成ツールの背面に加えられる流体圧力を使用して、アライメント・プロセス中、パターン生成ツールの表面を基板の表面にほぼ接触させ(近接)、あるいは完全に接触させることができる。
第28b図は、パターン生成ツールによってアライメント感知ができるように基板上に置くことができるアライメント・コイル495を示す。パターン生成ツール中の対応するコイルによって、前記コイルの一辺495aに信号が誘導される。次いで、信号は、パターン生成ツール中の第2のコイルによって前記コイルの他方の端部495bで感知される。アライメントは上記で論じたのと同様に行われる。
1Åよりも低い運動制御能力を実証する廉価な圧電運動制御装置がBurleigh Instruments, Inc.(ニューヨーク州、フィッシャー)から市販されている。そのような圧電厳密運動は、必要とされる運動制御が1Åよりも低いAFM(原子力顕微鏡)装置で実証されている。
MDI回路膜フラット・パネル・ディスプレイ
フラット・パネル・ディスプレイ製造コストの大部分は(歩留まり損失を考慮しない)、前記ディスプレイが製造される基板のコストである。基板は、リソグラフィ・ツールによって課される平坦度および様々な製造ステップによって課される高温の要件を満たさなければならない。
MDI回路膜フラット・パネル・ディスプレイは、石英ガラスなど剛性で光学的に平坦で再使用可能な基板上に形成される。他の基板を使用して、製造処理ステップによって課される要件を満たすことができる。(上述のように)KBrやKBOなどの剥離剤を基板上に堆積させ、次いで、誘電体層を堆積させて剥離剤が堆積した膜を密封する。剥離剤は、使用される様々な製造プロセス・ステップの最高温度よりも高い使用温度を有し、かつ完成されたディスプレイ装置に悪影響を及ぼさない溶剤に容易に溶解できる必要がある。一例を挙げると、KBrは融点が734℃であり、DI水に容易に溶解する。
フラット・パネル・ディスプレイは従来、ディスプレイのグレー・シェードまたはRGB(赤、緑、青)色を生成するピクセル要素の行および列で構成されている。ピクセルが動作すると、ディスプレイ上に画像が生成される。ディスプレイのピクセルは、従来のアクティブ・マトリックスLCD技術によって製作することができる。MDI回路膜ディスプレイの好ましい実施例は、各ピクセルにある冗長回路デバイスと、上記で引用した微粒子試験技法を使用して、回路製造上の欠陥を補正する。第2に、MDI回路膜ディスプレイは、従来のテレビおよび工業モニタで使用されている蛍光体に類似の電界発光蛍光体を利用する。この蛍光体は、ディスプレイの回路の製造が完了した後に適用される。次いで、最終製造プロセスでディスプレイ回路を使用して、RGB蛍光体をそのそれぞれのRGB電極に選択的に堆積させる。また、上記で開示した多重チップ・モジュール相互接続回路膜を使用して、ディスプレイ・パネルのエッジとパネルの背部の所望の位置にICをボンディングする。ICを取り付ける能力は、ディスプレイの設計および製造の複雑度を低減する上で非常に重要である。ディスプレイの背部にボンディングされたICは、蛍光体ベースのディスプレイの視覚動作を妨害することも、あいまいにすることもない。なぜなら、このディスプレイは、LCDディスプレイと同様に背面照明を使用せず、それ自体の照明を生成するからである。
第31a図は、介在する剥離剤703を含む再使用可能な石英製造基板702に取り付けられている間のMDI回路膜ディスプレイ700の断面図を示す。各RGBピクセル用の制御論理回路704−1、704−2、704−3はa−Siまたはポリシリコン薄膜層トランジスタ(TFT)で製造されており、電着めっき電極708−1、708−2、708−3(パッド)は、各RGBピクセル論理回路704上に最終金属被膜プロセス・ステップとして形成されている。ピクセルの各RGB蛍光体ごとに1つあるめっき電極708−1、708−2、708−3には選択的に対処することができ、特定のめっき電位を印加することができる。ピクセルの各行および列の端部にIC(ダイ)用のボンディング・パッド710がある。ICは、個別のピクセルのオン・オフを切り替えるための制御論理機構およびメモリ論理機構を提供し、したがってディスプレイ・イメージを生成する。個別のピクセル制御回路704−1、704−2、704−3とまったく同様に、制御論理機構またはメモリ論理機構、あるいはその両方712、713(第31b図参照)もMDI回路膜の一部として製造できることに留意されたい。これは、設計/製造オプションであり、ボンディングされたダイの大部分またはすべてを代替する。MDI回路膜のすべての製造処理ステップは確立された技法である。
第31b図は、支持フレーム718に取り付けられ、再使用可能な製造基板から剥離された、MDI回路膜ディスプレイ700の断面図を示す。陽極法を1つの技法として支持フレーム718をMDI回路膜700にボンディングし、次いで、製造基板702の面に沿ってMDI回路膜700に刻みを付ける。これによって、第31a図の剥離剤703が露出する。次いで、剥離剤703を活性化する溶剤中にディスプレイ・アセンブリを浸けて、再使用可能な製造基板702からディスプレイを剥離する。任意選択で、制御論理機構ダイおよびメモリ論理機構ダイ713をディスプレイの背面に取り付けることができる。行または列相互接続金属被膜に沿ってこれらのダイ713をピクセルに接続する。
第31c図は、個別のRGB蛍光体708−1、708−2、708−3の電着めっきの後のディスプレイを示す。特定の蛍光体のめっき溶液にディスプレイを浸すことによって各RGB蛍光体を別々にめっきし、次いで、ディスプレイの制御論理機構およびピクセル論理機構704−1、704−2、704−3を使用して、適当なピクセル要素を選択し(赤または緑または青)、所望のめっき電位を印加する。
フラット・スクリーン・ディスプレイを製造するために使用される基板は、半導体デバイス層を含むMDI膜でもよい。半導体ベースのMDI膜を基板として使用してフラット・スクリーン・ディスプレイを製造するとき、処理ステップの実質的な違いは必要とされないが、利用可能なシリコン・ウェハの寸法が限られていること(現在の所8インチ)と円形の形状のために、半導体ウェハを開始基板として使用すると、ディスプレイの最大寸法が大幅に制限される。
三次元IC構造
三次元(3D)IC構造をMDI回路膜で形成することができる。これはMDIIC膜の新しい機能である。二酸化ケイ素または窒化ケイ素の低応力誘電体を、400℃を越える使用温度に耐えるように形成することができる。この高使用温度機能によって、通常高温プロセスである陽極ボンディング手順または熱ボンディング手順(石英と石英またはシリコンと石英)を使用できるようになる。誘電体膜は光学的に透過的であり薄く、ボンディングの前に回路膜を非常に厳密に整列できるようにする。第32a図に示したように、第1のMDI回路膜732に埋め込まれた半導体デバイス730−1、730−2、730−3では、相互接続金属被膜736−1、736−2、736−3を膜732の両面に塗布して、すべての膜間相互接続を完了することができる。対向する半導体デバイス・ゲート電極742−1、742−2、742−3も示されている。第32a図は、ボンディングの前の2つのMDI回路膜またはIC732、746を示す。これによって、同様に半導体デバイス748−1、748−2、748−3と相互接続金属被膜750−1、750−2、750−3とを含む第2の膜746と回路膜732との陽極膜間ボンドまたは熱膜間ボンド752を形成するための必要に応じて回路膜を塗布することができる。第32b図に示したように2つの膜732、746をボンディングした後、垂直相互接続金属被膜754−1、754−2だけを使用して下部膜層746からの信号を経路指定することができる。
確立された光学アライメント・マーク技法を使用してMDI回路膜732、746を整列させ、相互に陽極ボンディングまたは熱ボンディングする752。陽極ボンディングまたは熱ボンディングされた回路膜732、746は、すべての必要な半導体デバイス処理ステップが完了しており、必要とされるのは垂直相互接続金属被膜754−1、754−2を完成することだけである。最後にボンディングすべき回路膜732がボンディングされた回路膜746上の電気コンタクトまで回路膜732を介してバイア756−1、756−2をエッチングすることによって最終相互接続金属被膜754−1、754−2を完成する。周知の金属堆積ステップおよびパターン化ステップを適用する。追加回路膜ICを3DIC構造にボンディングする予定の場合、後のボンディング・ステップの要件として、誘電体被覆を3D構造に塗布してあらゆる金属被膜を絶縁する。
陽極ボンディング752とはガラス間プロセスである。陽極ボンディング・プロセス・ステップの前に、1μm以上の厚さの二酸化ケイ素の最終堆積を各MDI回路膜732、746に施してボンド752を形成する。陽極処理は周知であり、従来のガラス・プレート製造で多数のそのような技法が使用されている。
石英またはガラス製基板へのシリコンの熱(融解)ボンディングは確立された技法である。これを陽極ボンディング法の代わりに使用してMDI回路膜をボンディングすることができる。
MDI回路膜732、746間の相互接続手段(金属化相互接続の代わりに)として光学データ送信を使用する能力は、3DIC構造を製造する方法の直接的な結果である。光学トランシーバ間の導波管(図示せず)は、回路膜上の様々な光学トランシーバ・デバイスに対応するバイア(開口部)をボンディングすることによってバイアから形成することができる。回路膜は通常透過性が高く、回路膜間の層間距離が短いので、集積光学半導体デバイスは、バイアを介して、あるいは直接ある種の誘電体材料を介して通信機能を提供することができる。
MDI回路膜上に形成された集積回路は、現在ICまたはダイがパッケージングのために剛性のウェハ基板から切り出されているのとまったく同じように、回路膜から切り出すことができる。これは、回路膜の正味応力が低いために可能になる。第32cおよびd図は、回路膜から切り出され、シリコン・ウェハ、石英、ガラス、金属など剛性の基板上にボンディングされたダイを示す。金属剛性基板の場合、膜IC用のより良好なボンディング表面を提供するように、あるいは金属基板のエッジにあるパッドにボンディングするためにIC上のボンド・パッドから相互接続を行えるように、誘電体層および相互接続層を追加することができる。金属基板を使用して膜ICを付加するのは、ICの熱冷却用の最適な手段がない場合、明かな利点がある。
現在の慣習のように回路膜基板またはウェハをダイとして切断した後、ピック・アンド・プレース・ボンディング・ツールによって、わずかな真空圧力でダイをつまみあげ、それがボンディグされる予定の基板上で整列させ、基板にボンディングすることができる。第32cおよびd図はそれぞれ、剛性基板にボンディングされた積み重ねられた膜ダイ764−a、764−b、764−cを示す。膜ダイ764−a、764−b、764−cは、圧縮技法、陽極技法、または融解(熱)技法によって、MCM相互接続回路膜などの回路膜(図示せず)にボンディングすることもできる。
第32c図は、圧縮技法によって剛性基板770にボンディングされた膜ダイ(IC)764−a、764−b、764−cの3D回路構造を示す。これを行うには、金属パッド772−1、772−2...772−k...772−yを剛性基板770上で、金属パッド776−1、776−2...776−wを膜ダイ764−a...764−c上で整列させ、次いで、(前述のように)温度および圧力を加える。ボンディング・アウト・パッド778−1、778−2も示されている。回路相互接続経路および放熱経路としても働く金属パッドによって表面どうしをボンディングする。
第32d図は、陽極技法または融解(熱)技法によって基板770にボンディングされた膜ダイ764−a、764−b、764−cの3D回路構造を示す。個別のダイ764−a、764−b、764−cの取扱いは、上記で説明したものに類似の方法で行うことができ、ボンディングおよび相互接続完了法も、回路膜どうしのボンディングに関して上記で説明したようなものである。
そのようなダイ・アセンブリ慣習の利点は、回路デバイスの密度が劇的に増すことと、熱質量が低く効率的な冷却が可能であることである。そのような回路アセンブリを製作する処理装置は現在利用可能である。
本開示は例示的なものであり、限定的なものではない。当業者には、本開示および添付の請求の範囲にかんがみてさらなる修正が明らかであろう。
第1a図は、誘電体・半導体膜基板の断面図である。 第1b図は、誘電体・半導体膜基板の断面図である。 第1c図は、誘電体・半導体膜基板の断面図である。 第1d図は、誘電体・半導体膜基板の断面図である。 第1e図は、誘電体・半導体膜基板の断面図である。 第1f図は、誘電体・半導体膜基板の断面図である。 第1g図は、誘電体・半導体膜基板の断面図である。 第1h図は、誘電体・半導体膜基板の断面図である。 第1i図は、誘電体・半導体膜基板の断面図である。 第1j図は、誘電体・半導体膜基板の断面図である。 第2図は、エッチングされたシリコン基板膜の断面図である。 第3a図は、半導体デバイスを含む誘電体膜を示す図である。 第3b図は、半導体デバイスを含む誘電体膜を示す図である。 第4図は、回路膜のアライメント・マークの断面図である。 第5図は、膜構造絶縁構造用の支持構造を示す図である。 第6a図は、回路膜エア・トンネル構造を示す図である。 第6b図は、回路膜エア・トンネル構造を示す図である。 第6c図は、回路膜エア・トンネル構造を示す図である。 第6d図は、回路膜エア・トンネル構造を示す図である。 第6e図は、回路膜エア・トンネル構造を示す図である。 第6f図は、回路膜エア・トンネル構造を示す図である。 第6g図は、回路膜エア・トンネル構造を示す図である。 第6h図は、回路膜エア・トンネル構造を示す図である。 第6i図は、回路膜エア・トンネル構造を示す図である。
第7図は、光学入出力をもつ積み重ねられた回路膜を示す図である。 第8図は、三次元回路膜を示す図である。 第9a図は、膜中でのMOSFETの製造を示す図である。 第9b図は、膜中でのMOSFETの製造を示す図である。 第9c図は、膜中でのMOSFETの製造を示す図である。 第9d図は、膜中でのMOSFETの製造を示す図である。 第9e図は、膜中でのMOSFETの製造を示す図である。 第9f図は、膜中でのMOSFETの製造を示す図である。 第9g図は、膜中でのMOSFETの製造を示す図である。 第9h図は、膜中でのMOSFETの製造を示す図である。 第9i図は、膜中でのMOSFETの製造を示す図である。 第9j図は、膜中でのMOSFETの製造を示す図である。 第10a図は、膜上での横方向エピタキシアル成長によるトランジスタの製造を示す図である。 第10b図は、膜上での横方向エピタキシアル成長によるトランジスタの製造を示す図である。 第10c図は、膜上での横方向エピタキシアル成長によるトランジスタの製造を示す図である。 第10d図は、膜上での横方向エピタキシアル成長によるトランジスタの製造を示す図である。
第11a図は、膜上に形成された垂直MOSFETおよびバイポーラ・トランジスタを示す図である。 第11b図は、膜上に形成された垂直MOSFETおよびバイポーラ・トランジスタを示す図である。 第11c図は、膜上に形成された垂直MOSFETおよびバイポーラ・トランジスタを示す図である。 第11d図は、膜上に形成された垂直MOSFETおよびバイポーラ・トランジスタを示す図である。 第11e図は、膜上に形成された垂直MOSFETおよびバイポーラ・トランジスタを示す図である。 第11f図は、膜上に形成された垂直MOSFETおよびバイポーラ・トランジスタを示す図である。 第12a図は、拘束され横方向にドーピングされたエピタキシを使用する膜上でのトランジスタ製造を示す図である。 第12b図は、拘束され横方向にドーピングされたエピタキシを使用する膜上でのトランジスタ製造を示す図である。 第12c図は、拘束され横方向にドーピングされたエピタキシを使用する膜上でのトランジスタ製造を示す図である。 第12d図は、拘束され横方向にドーピングされたエピタキシを使用する膜上でのトランジスタ製造を示す図である。 第12e図は、拘束され横方向にドーピングされたエピタキシを使用する膜上でのトランジスタ製造を示す図である。 第12f図は、拘束され横方向にドーピングされたエピタキシを使用する膜上でのトランジスタ製造を示す図である。 第12g図は、拘束され横方向にドーピングされたエピタキシを使用する膜上でのトランジスタ製造を示す図である。
第12h図は、膜上での選択的エピタキシアル成長の断面図である。 第12i図は、膜上での選択的エピタキシアル成長の断面図である。 第12j図は、膜上での選択的エピタキシアル成長の断面図である。 第13a図は、マルチチップ・モジュールの断面図である。 第13b図は、マルチチップ・モジュールの断面図である。 第13c図は、マルチチップ・モジュールの断面図である。 第13d図は、マルチチップ・モジュールの断面図である。 第14図は、再使用可能な基板上に形成された膜の断面図である。 第15図は、支持フレームが取り付けられた第14図の膜の断面図である。 第16a図は、パッケージ中のマルチチップ・モジュールを示す図である。 第16b図は、パッケージ中のマルチチップ・モジュールを示す図である。 第17a図は、回路膜のボンド・パッドのダイへのはんだ付けを示す図である。 第17b図は、回路膜のボンド・パッドのダイへのはんだ付けを示す図である。 第17c図は、回路膜のボンド・パッドのダイへのはんだ付けを示す図である。
第18図は、ダイ上のボンド・パッドを示す図である。 第19a図は、回路膜に対するダイのボンディングおよびデボンディングを示す図である。 第19b図は、回路膜に対するダイのボンディングおよびデボンディングを示す図である。 第20図は、回路膜の2つの面を示す図である。 第21図は、回路膜の2つの面を示す図である。 第22a図は、リフトオフ・プロセスによる回路膜中の金属トレースの形成を示す図である。 第22b図は、リフトオフ・プロセスによる回路膜中の金属トレースの形成を示す図である。 第22c図は、リフトオフ・プロセスによる回路膜中の金属トレースの形成を示す図である。 第23a図は、埋込みエッチング・ストップ層を使用して、より薄い内側部分を有する回路膜を形成することを示す図である。 第23b図は、埋込みエッチング・ストップ層を使用して、より薄い内側部分を有する回路膜を形成することを示す図である。 第24図は、直接描画リソグラフィ用のソース積分光弁を示す図である。 第25図は、直接描画リソグラフィ用のソース積分光弁を示す図である。 第26図は、第24、25図のデバイス用のX線ソースの断面図である。 第27図は、第24、25図のデバイス用のX線ソースの断面図である。
第28a図は、第24図のデバイス用のコイルを示す図である。 第28b図は、第24図のデバイス用のコイルを示す図である。 第29a図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29b図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29c図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29d図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29e図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29f図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29g図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29h図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29i図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29j図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29k図は、直接描画リソグラフィ・デバイス用のソース外部放射弁の一部を示す図である。 第29l図は、固定された自立膜リソグラフィ・マスクの使用を示す図である。 第29m図は、固定された自立膜リソグラフィ・マスクの使用を示す図である。 第29n図は、固定された自立膜リソグラフィ・マスクの使用を示す図である。 第29p図は、固定された自立膜リソグラフィ・マスクの使用を示す図である。
第30図は、リソグラフィック・ツールの断面図である。 第31a図は、膜上に形成されたディスプレイの断面図である。 第31b図は、膜上に形成されたディスプレイの断面図である。 第31c図は、膜上に形成されたディスプレイの断面図である。 第32a図は、三次元IC構造を示す。 第32b図は、三次元IC構造を示す。 第32c図は、三次元IC構造を示す。 第32d図は、三次元IC構造を示す。

Claims (89)

  1. マスクレス・パターン生成用の半導体処理リソグラフィ装置において、
    支持フレームに保持された可撓性絶縁膜上に形成され、行列配置された放射源セルのアレイと、
    前記セルを制御するために膜上に配置された制御論理機構とを備え、
    各セルが、
    放射源と、
    X線を生成するために放射線が入射するターゲットと、
    ターゲットから露光されるべき表面上へX線を放射するためのアパーチャとを備えたことを特徴とする装置。
  2. 各セルが、50μm×50μmよりも小さい領域を有することを特徴とする請求項1に記載の装置。
  3. アレイが少なくとも100万個のセルを含むことを特徴とする請求項1に記載の装置。
  4. リソグラフィ・パターン生成デバイスにおいて、
    各セルが、外部源からの荷電粒子を通過させられるように個別に制御される、支持フレーム中に保持された可撓性絶縁膜上に形成された、行列配置されたセルのアレイと、
    前記セルを制御するために膜上に配置された制御論理機構とを備え、
    各セルが、露光されるべき表面上へ粒子を放射するためのアパーチャとを備えたことを特徴とするデバイス。
  5. リソグラフィ・パターン生成デバイスにおいて、
    各セルが、源からの荷電粒子を通過させられるためのアパーチャを形成し、支持フレーム中に保持された可撓性絶縁膜上に形成された、行列配置されたセルのアレイと、
    前記通過を遮断するために各アパーチャを覆うための可動シャッタと、
    各シャッタの移動を制御するために膜上に配置された制御論理機構とを備えたことを特徴とするデバイス。
  6. 各放射源セルが、リソグラフィ・ツールの近傍に配置された基板の微小領域を選択的に照射するための、半導体基板上に形成された放射源セルのアレイと、
    放射源セルの各々を個別に制御するための制御回路とを備えたことを特徴とするリソグラフィ・ツール。
  7. 各放射源セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項1に記載の装置。
  8. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項7に記載の装置。
  9. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項7に記載の装置。
  10. 各セルが、50μm×50μmよりも小さい領域を有することを特徴とする請求項4に記載のデバイス。
  11. アレイが少なくとも100万個のセルを含むことを特徴とする請求項4に記載のデバイス。
  12. 各セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項4に記載のデバイス。
  13. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項12に記載のデバイス。
  14. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項12に記載のデバイス。
  15. 荷電粒子が、電子および陽子からなるグループから選択されることを特徴とする請求項4に記載のデバイス。
  16. 各セルが、50μm×50μmよりも小さい領域を有することを特徴とする請求項5に記載のデバイス。
  17. アレイが少なくとも100万個のセルを含むことを特徴とする請求項5に記載のデバイス。
  18. 各セルのアパーチャが、露光されるべき表面のした領域を露光することを特徴とする請求項5に記載のデバイス。
  19. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項18に記載のデバイス。
  20. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項18に記載のデバイス。
  21. 荷電粒子が、電子および陽子からなるグループから選択されることを特徴とする請求項5に記載のデバイス。
  22. 各セルが、50μm×50μmよりも小さい領域を有することを特徴とする請求項6に記載の装置。
  23. アレイが少なくとも100万個のセルを含むことを特徴とする請求項6に記載の装置。
  24. 各セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項6に記載の装置。
  25. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項24に記載の装置。
  26. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項24に記載の装置。
  27. 放射源セルからの放射線が、荷電粒子および電磁気からなるグループから選択されることを特徴とする請求項6に記載の装置。
  28. 電磁放射線が、光、UVおよびX線からなるグループから選択されることを特徴とする請求項27に記載の装置。
  29. 露光セルのアレイを使用して、マスクレスのリソグラフィ・パターンを生成する方法であって、
    各露光セルが、露光されるべき表面の独立した領域を露光することを特徴とする方法。
  30. 前記独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項29に記載の方法。
  31. さらに、露光セルのアレイおよび露光されるべき表面の少なくとも一方を、水平移動および垂直移動のシーケンスで動かすステップを含むことを特徴とする請求項29に記載の方法。
  32. 電磁結合により露光セルのアレイおよび露光されるべき表面を整列するステップを含むことを特徴とする請求項29に記載の方法。
  33. 各露光セルが、放射源セル又はシャッタ・セルからなるグループから選択されることを特徴とする請求項29に記載の方法。
  34. シャッタ・セルのシャッタが、露光セルの作動を変化させることを特徴とする請求項33に記載の方法。
  35. 放射源セルからの放射線が、電子、陽子、X線、UV又は光からなるグループから選択されることを特徴とする請求項33に記載の方法。
  36. リソグラフィ・パターン生成装置を製造する方法において、
    基板上に形成された露光セルのアレイを備え、
    各露光セルにおいて、基板の異方性エッチングによりアパーチャを形成するステップを備えることを特徴とする方法。
  37. 異方性エッチングにより形成される各アパーチャが、1μmより小さいことを特徴とする請求項36に記載の方法。
  38. 異方性エッチングにより形成される各アパーチャが、50nmより小さいことを特徴とする請求項36に記載の方法。
  39. さらに、各露光セルにおいて、シャッタ手段を形成するステップを有することを特徴とする請求項36に記載の方法。
  40. さらに、少なくとも一つの縮小レンズを形成するステップを含むことを特徴とする請求項36に記載の方法。
  41. 基板上に形成される露光セルのアレイを有するリソグラフィ・パターン生成装置を製造する方法において、
    基板上に集積された各露光セルを制御するためのアレイ制御回路を集積するステップを含むことを特徴とする方法。
  42. 各露光セルが放射線を放出する手段を含み、制御回路が前記放射線の放出を制御することを特徴とする請求項41に記載の方法。
  43. 各露光セルが、
    放射線の通過のためのアパーチャと、
    放射線の通過を塞ぐシャッタと、
    前記放射線の通過を制御する制御回路とを含むことを特徴とする請求項41に記載の方法。
  44. さらに、少なくとも一つの縮小レンズを形成するステップを含むことを特徴とする請求項41に記載の方法。
  45. 集積回路の製造の際のパターン層を形成する方法において、
    複数の露光部材を電子的に制御するステップによって、層の表面の一部に少なくとも一種類の放射エネルギーで選択的に照射するステップと、
    パターン層を生成するため、照射された部分を含む層の化学処理を行なうステップとを含むことを特徴とする方法。
  46. 放射エネルギーのタイプが、光、X線、Eビームおよび粒子ビームからなるグループから選択されることを特徴とする請求項45に記載の方法。
  47. 露光部材が、X線、濃紫外線およびEビームの照射エネルギーのタイプの少なくとも一つの小規模源であることを特徴とする請求項45に記載の方法。
  48. 露光部材が、外部源からの照射エネルギーの通過を制御することを特徴とする請求項45に記載の方法。
  49. 露光部材が、電磁偏向、静電偏向および機械的遮断のいずれかの機構の少なくとも一つを使って、外部源からの照射エネルギーの通過を制御することを特徴とする請求項48に記載の方法。
  50. 化学処理がエッチングを含むことを特徴とする請求項45に記載の方法。
  51. 化学処理が、放射誘発CVDを含むことを特徴とする請求項45に記載の方法。
  52. さらに、複数の露光部材から放出される放射エネルギーを独立してフォーカシングするステップを含むことを特徴とする請求項45に記載の方法。
  53. 表面への照射を中止するステップと、
    表面に対して複数の露光部材を移動するステップと、
    表面への照射を再開するステップとをさらに含むことを特徴とする請求項45に記載の方法。
  54. マスクレス・パターン生成用の半導体処理リソグラフィ装置において、
    基板上に形成された、行列配置される放射源セルのアレイと、
    前記セルを個々に制御するために基板上に集積された制御論理機構とを備え、
    各セルが、露光源と、露光されるべき表面上への露光源からの放射が通過するアパーチャとを含むことを特徴とする装置。
  55. 各放射源セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項54に記載の装置。
  56. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項55に記載の装置。
  57. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項55に記載の装置。
  58. 放射源セルからの放射が、光、UVおよびX線からなるグループから選択されることを特徴とする請求項54に記載の装置。
  59. リソグラフィ・パターン生成デバイスにおいて、
    行列配置されたセルのアレイであって、該アレイは基板上に形成され、各セルが外部源からの荷電粒子を通過させられるように個別に制御されたアレイと、
    各セルを個別に制御するために基板上に集積された制御論理機構とを備え、
    各セルが、露光されるべき表面上へ荷電粒子を通過させるためのアパーチャを含んでいることを特徴とするデバイス。
  60. 各セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項59に記載のデバイス。
  61. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項60に記載のデバイス。
  62. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項60に記載のデバイス。
  63. 荷電粒子が、電子および陽子からなるグループから選択されることを特徴とする請求項59に記載のデバイス。
  64. さらに、縮小レンズを含むことを特徴とする請求項59に記載のデバイス。
  65. リソグラフィ・パターン生成デバイスにおいて、
    行列配置されたセルのアレイであって、該アレイは基板状に形成され、各セルが放射源からの放射線を通過させるためのアパーチャとアパーチャを塞ぐためのシャッタとを含むアレイと、
    少なくとも各シャッタの作動を制御するために基板に集積された制御論理機構とを備えたことを特徴とするデバイス。
  66. 各セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項65に記載のデバイス。
  67. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項66に記載のデバイス。
  68. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項66に記載のデバイス。
  69. シャッタが、機械的、静電気的もしくは電磁気的手段の一つによって作動させられることを特徴とする請求項65に記載のデバイス。
  70. セルからの放射線が、荷電粒子および電磁気からなるグループから選択されることを特徴とする請求項65に記載のデバイス。
  71. さらに、縮小レンズを含むことを特徴とする請求項65に記載のデバイス。
  72. 基板上に形成されたアパーチャを伴う放射源セルのアレイであって、各放射源セルは露光されるべき表面領域を照射するためのものであるアレイと、
    各放射源セルを個々に制御するための制御回路とを備えたことを特徴とするリソグラフィ・ツール。
  73. 各セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項72に記載の装置。
  74. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項73に記載の装置。
  75. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項73に記載の装置。
  76. 源セルからの放射線が、荷電粒子および電磁気からなるグループから選択されることを特徴とする請求項72に記載の装置。
  77. 荷電粒子が、電子および陽子からなるグループから選択されることを特徴とする請求項72に記載の装置。
  78. リソグラフィ・パターン生成装置において、
    基板状に形成された複数の露光セルであって、基板上に集積された制御回路によって制御される露光セルを備えていることを特徴とする装置。
  79. 各露光セルが、放射源セルとシャッタ・セルからなるグループから選択されることを特徴とする請求項78に記載の装置。
  80. 各露光セルが、露光されるべき表面の独立した領域を露光することを特徴とする請求項78に記載の装置。
  81. 独立した領域が、主としてオーバーラップしていないことを特徴とする請求項80に記載の装置。
  82. 独立した領域の実質的な領域が、同時に露光されることを特徴とする請求項80に記載の装置。
  83. 集積回路の製造の際に使うパターン層を形成する装置において、
    複数の露光部材と、
    露光部材を電子的に制御することによって、層の表面の一部に少なくとも一種類の放射エネルギーを選択的に照射する手段とを備えていることを特徴とする装置。
  84. 放射エネルギーの少なくとも一つのタイプは、光、X線、Eビームおよび粒子ビームからなるグループから選択されることを特徴とする請求項83に記載の装置。
  85. 露光部材が、X線、濃紫外線およびEビームの照射エネルギーのタイプの少なくとも一つの小規模源であることを特徴とする請求項83に記載の装置。
  86. 露光部材が、外部源からの照射エネルギーの通過を制御することを特徴とする請求項83に記載の装置。
  87. 露光部材が、電磁偏向、静電偏向および機械的遮断の機構のうちの少なくとも一つを用いて、外部源からの照射エネルギーの通過を制御することを特徴とする請求項86に記載の装置。
  88. 複数の異なる露光部材それぞれから放出される放射エネルギーを独立してフォーカシングする手段を備えていることを特徴とする請求項83に記載の装置。
  89. 表面への照射を中止する手段と、
    表面に対して複数の露光部材を移動させる手段と、
    表面への照射を再開する手段とを備えていることを特徴とする請求項83に記載の装置。
JP2003411658A 1992-04-08 2003-12-10 半導体処理リソグラフィ装置 Expired - Lifetime JP3816483B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/865,412 US5354695A (en) 1992-04-08 1992-04-08 Membrane dielectric isolation IC fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP5518399A Division JPH07508614A (ja) 1992-04-08 1993-04-02 膜絶縁層分離ic製造

Publications (2)

Publication Number Publication Date
JP2004193618A true JP2004193618A (ja) 2004-07-08
JP3816483B2 JP3816483B2 (ja) 2006-08-30

Family

ID=25345452

Family Applications (5)

Application Number Title Priority Date Filing Date
JP5518399A Pending JPH07508614A (ja) 1992-04-08 1993-04-02 膜絶縁層分離ic製造
JP2003411658A Expired - Lifetime JP3816483B2 (ja) 1992-04-08 2003-12-10 半導体処理リソグラフィ装置
JP2003411689A Withdrawn JP2004179670A (ja) 1992-04-08 2003-12-10 絶縁膜層分離ic製造
JP2008100868A Active JP4730672B2 (ja) 1992-04-08 2008-04-08 絶縁膜層分離ic製造
JP2009101482A Active JP4648979B2 (ja) 1992-04-08 2009-04-17 絶縁膜層分離ic製造

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP5518399A Pending JPH07508614A (ja) 1992-04-08 1993-04-02 膜絶縁層分離ic製造

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2003411689A Withdrawn JP2004179670A (ja) 1992-04-08 2003-12-10 絶縁膜層分離ic製造
JP2008100868A Active JP4730672B2 (ja) 1992-04-08 2008-04-08 絶縁膜層分離ic製造
JP2009101482A Active JP4648979B2 (ja) 1992-04-08 2009-04-17 絶縁膜層分離ic製造

Country Status (6)

Country Link
US (26) US5354695A (ja)
EP (2) EP0641485A4 (ja)
JP (5) JPH07508614A (ja)
KR (4) KR100585616B1 (ja)
BR (1) BR9306232A (ja)
WO (1) WO1993021748A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267962A (ja) * 2009-05-14 2010-11-25 Ims Nanofabrication Ag 結合電極を有するマルチビーム偏向器アレイ手段

Families Citing this family (700)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6288561B1 (en) 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
NL9100327A (nl) * 1991-02-25 1992-09-16 Philips Nv Kathode.
US5946553A (en) * 1991-06-04 1999-08-31 Micron Technology, Inc. Process for manufacturing a semiconductor package with bi-substrate die
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
JP3526058B2 (ja) * 1992-08-19 2004-05-10 セイコーインスツルメンツ株式会社 光弁用半導体装置
US5498895A (en) * 1993-07-07 1996-03-12 Actel Corporation Process ESD protection devices for use with antifuses
US5369054A (en) * 1993-07-07 1994-11-29 Actel Corporation Circuits for ESD protection of metal-to-metal antifuses during processing
US5382759A (en) * 1993-09-28 1995-01-17 Trw Inc. Massive parallel interconnection attachment using flexible circuit
WO1995009438A1 (en) 1993-09-30 1995-04-06 Kopin Corporation Three-dimensional processor using transferred thin film circuits
JP3239581B2 (ja) * 1994-01-26 2001-12-17 富士通株式会社 半導体集積回路の製造方法及び半導体集積回路
US5698473A (en) * 1994-03-16 1997-12-16 Advanced Micro Devices, Inc. Method for forming a silane based boron phosphorous silicate planarization structure
KR950034673A (ko) * 1994-04-20 1995-12-28 윌리엄 이. 힐러 로우-케이 유전체를 사용하는 트랜지스터 분리 방법 및 장치
US6020257A (en) * 1995-06-07 2000-02-01 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US6639578B1 (en) 1995-07-20 2003-10-28 E Ink Corporation Flexible displays
TW308719B (ja) * 1995-10-23 1997-06-21 Dow Corning
US5891744A (en) * 1996-01-29 1999-04-06 Micron Technology, Inc. Method of monitoring a process of manufacturing a semiconductor wafer including hemispherical grain polysilicon
US5888412A (en) * 1996-03-04 1999-03-30 Motorola, Inc. Method for making a sculptured diaphragm
US6022792A (en) 1996-03-13 2000-02-08 Seiko Instruments, Inc. Semiconductor dicing and assembling method
US5949144A (en) * 1996-05-20 1999-09-07 Harris Corporation Pre-bond cavity air bridge
US6027958A (en) * 1996-07-11 2000-02-22 Kopin Corporation Transferred flexible integrated circuit
US5914613A (en) 1996-08-08 1999-06-22 Cascade Microtech, Inc. Membrane probing system with local contact scrub
US5777484A (en) * 1996-09-30 1998-07-07 Packard Hughes Interconnect Company Device for testing integrated circuit chips during vibration
SG83089A1 (en) * 1996-10-18 2001-09-18 Eg & G Internat Isolation process for surface micromachined sensors and actuators
US6368752B1 (en) * 1996-10-29 2002-04-09 Motorola, Inc. Low stress hard mask formation method during refractory radiation mask fabrication
FR2758907B1 (fr) * 1997-01-27 1999-05-07 Commissariat Energie Atomique Procede d'obtention d'un film mince, notamment semiconducteur, comportant une zone protegee des ions, et impliquant une etape d'implantation ionique
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6075278A (en) 1997-04-24 2000-06-13 Micron Technology, Inc. Aluminum based alloy bridge structure and method of forming same
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
US5886401A (en) * 1997-09-02 1999-03-23 General Electric Company Structure and fabrication method for interconnecting light emitting diodes with metallization extending through vias in a polymer film overlying the light emitting diodes
US6085962A (en) * 1997-09-08 2000-07-11 Micron Technology, Inc. Wire bond monitoring system for layered packages
US6833242B2 (en) * 1997-09-23 2004-12-21 California Institute Of Technology Methods for detecting and sorting polynucleotides based on size
JPH11166935A (ja) * 1997-09-25 1999-06-22 Canon Inc 光検出または照射用の光プローブと該プローブを備えた近視野光学顕微鏡、及該光プローブの製造方法とその製造に用いる基板
US5972193A (en) * 1997-10-10 1999-10-26 Industrial Technology Research Institute Method of manufacturing a planar coil using a transparency substrate
DE19752208A1 (de) * 1997-11-25 1999-06-02 Bosch Gmbh Robert Thermischer Membransensor und Verfahren zu seiner Herstellung
US6221537B1 (en) * 1997-12-19 2001-04-24 Motorola, Inc. Method of forming mask with angled struts of reduced height
US6875681B1 (en) * 1997-12-31 2005-04-05 Intel Corporation Wafer passivation structure and method of fabrication
US6178360B1 (en) * 1998-02-05 2001-01-23 Micron Technology, Inc. Methods and apparatus for determining optimum exposure threshold for a given photolithographic model
US6704133B2 (en) 1998-03-18 2004-03-09 E-Ink Corporation Electro-optic display overlays and systems for addressing such displays
US6145438A (en) * 1998-03-20 2000-11-14 Berglund; C. Neil Method and apparatus for direct writing of semiconductor die using microcolumn array
US6379981B2 (en) 1998-03-27 2002-04-30 Micron Technology, Inc. Methods incorporating detectable atoms into etching processes
US7075502B1 (en) 1998-04-10 2006-07-11 E Ink Corporation Full color reflective display with multichromatic sub-pixels
US6033489A (en) * 1998-05-29 2000-03-07 Fairchild Semiconductor Corp. Semiconductor substrate and method of making same
US6256882B1 (en) 1998-07-14 2001-07-10 Cascade Microtech, Inc. Membrane probing system
US6310985B1 (en) * 1998-07-29 2001-10-30 Electroglas, Inc. Measuring angular rotation of an object
US6249010B1 (en) * 1998-08-17 2001-06-19 National Semiconductor Corporation Dielectric-based anti-fuse cell with polysilicon contact plug and method for its manufacture
KR100276429B1 (ko) * 1998-09-07 2000-12-15 정선종 미소 진공 구조체의 제작방법
US6924781B1 (en) 1998-09-11 2005-08-02 Visible Tech-Knowledgy, Inc. Smart electronic label employing electronic ink
US6483736B2 (en) 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6165695A (en) * 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
WO2000035000A1 (en) * 1998-12-08 2000-06-15 Cvc Products, Inc. Ultra high-speed semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectric
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
DE60042666D1 (de) * 1999-01-14 2009-09-17 Panasonic Corp Halbleiterbauelement und Verfahren zu dessen Herstellung
JP3798569B2 (ja) 1999-02-23 2006-07-19 ローム株式会社 半導体装置の製造方法
JP2000349348A (ja) * 1999-03-31 2000-12-15 Toyoda Gosei Co Ltd 短波長ledランプユニット
US6617671B1 (en) * 1999-06-10 2003-09-09 Micron Technology, Inc. High density stackable and flexible substrate-based semiconductor device modules
KR100314622B1 (ko) * 1999-06-15 2001-11-17 이형도 마이크로 센서 및 그 패키지방법
DE19928297A1 (de) * 1999-06-22 2000-12-28 Bosch Gmbh Robert Verfahren zur Herstellung eines Sensors mit einer Membran
KR100345681B1 (ko) * 1999-06-24 2002-07-27 주식회사 하이닉스반도체 반도체소자의 삼중웰 형성방법
US6445202B1 (en) 1999-06-30 2002-09-03 Cascade Microtech, Inc. Probe station thermal chuck with shielding for capacitive current
US6258491B1 (en) * 1999-07-27 2001-07-10 Etec Systems, Inc. Mask for high resolution optical lithography
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
US6387600B1 (en) * 1999-08-25 2002-05-14 Micron Technology, Inc. Protective layer during lithography and etch
US6194246B1 (en) * 1999-08-25 2001-02-27 Motorola Inc. Process for fabricating electronic devices having a thermally conductive substrate
US6476401B1 (en) 1999-09-16 2002-11-05 Applied Materials, Inc. Moving photocathode with continuous regeneration for image conversion in electron beam lithography
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
KR100304713B1 (ko) * 1999-10-12 2001-11-02 윤종용 부분적인 soi 구조를 갖는 반도체소자 및 그 제조방법
US6559020B1 (en) 1999-10-20 2003-05-06 Applied Micro Circuits Corporation Bipolar device with silicon germanium (SiGe) base region
US6329690B1 (en) 1999-10-22 2001-12-11 International Business Machines Corporation Method and apparatus to match semiconductor device performance
US6448106B1 (en) * 1999-11-09 2002-09-10 Fujitsu Limited Modules with pins and methods for making modules with pins
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6574077B1 (en) 1999-12-02 2003-06-03 Seagate Technology Llc Microactuator assembly having improved standoff configuration
US6197663B1 (en) 1999-12-07 2001-03-06 Lucent Technologies Inc. Process for fabricating integrated circuit devices having thin film transistors
SE517455C2 (sv) * 1999-12-15 2002-06-11 Ericsson Telefon Ab L M Effekttransistormodul, effektförstärkare samt förfarande för framställning därav
SE517852C2 (sv) * 1999-12-15 2002-07-23 Ericsson Telefon Ab L M Effekttransistormodul, effektförstärkare samt förfarande vid framställning därav
US6291858B1 (en) * 2000-01-03 2001-09-18 International Business Machines Corporation Multistack 3-dimensional high density semiconductor device and method for fabrication
JP2001196524A (ja) * 2000-01-12 2001-07-19 Seiko Epson Corp 接続用基板の製造方法および接続用基板、ならびに半導体装置の製造方法および半導体装置
US6414396B1 (en) 2000-01-24 2002-07-02 Amkor Technology, Inc. Package for stacked integrated circuits
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6586682B2 (en) 2000-02-23 2003-07-01 Kulicke & Soffa Holdings, Inc. Printed wiring board with controlled line impedance
US6759746B1 (en) * 2000-03-17 2004-07-06 Robert Bruce Davies Die attachment and method
US6544837B1 (en) 2000-03-17 2003-04-08 International Business Machines Corporation SOI stacked DRAM logic
JP4585661B2 (ja) * 2000-03-31 2010-11-24 キヤノン株式会社 電子光学系アレイ、荷電粒子線露光装置およびデバイス製造方法
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US8575719B2 (en) 2000-04-28 2013-11-05 Sandisk 3D Llc Silicon nitride antifuse for use in diode-antifuse memory arrays
US6578436B1 (en) * 2000-05-16 2003-06-17 Fidelica Microsystems, Inc. Method and apparatus for pressure sensing
TW458446U (en) 2000-06-16 2001-10-01 Lucent Trans Electronics Co Lt External dialer of mobile phone with illumination function
DE10030352A1 (de) * 2000-06-21 2002-01-10 Bosch Gmbh Robert Mikromechanisches Bauelement, insbesondere Sensorelement, mit einer stabilisierten Membran und Verfahren zur Herstellung eines derartigen Bauelements
WO2002000343A2 (en) * 2000-06-27 2002-01-03 Fluidigm Corporation A microfluidic design automation method and system
US6426559B1 (en) 2000-06-29 2002-07-30 National Semiconductor Corporation Miniature 3D multi-chip module
WO2002003467A1 (en) * 2000-06-30 2002-01-10 Motorola, Inc. Vertically-stacked integrated circuits with wide bandwidth ports
US6445667B1 (en) 2000-07-11 2002-09-03 Iomega Corporation Optical servo writing
US6563133B1 (en) 2000-08-09 2003-05-13 Ziptronix, Inc. Method of epitaxial-like wafer bonding at low temperature and bonded structure
AU8356201A (en) * 2000-08-11 2002-02-25 Agilix Corp Ultra-sensitive detection systems
EP1312120A1 (en) 2000-08-14 2003-05-21 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US6914423B2 (en) 2000-09-05 2005-07-05 Cascade Microtech, Inc. Probe station
US6965226B2 (en) 2000-09-05 2005-11-15 Cascade Microtech, Inc. Chuck for holding a device under test
EP1334347A1 (en) * 2000-09-15 2003-08-13 California Institute Of Technology Microfabricated crossflow devices and methods
EP1322951A2 (en) * 2000-09-20 2003-07-02 Molecular Reflections Microfabricated ultrasound array for use as resonant sensors
GB2371922B (en) * 2000-09-21 2004-12-15 Cambridge Semiconductor Ltd Semiconductor device and method of forming a semiconductor device
KR100841141B1 (ko) * 2000-09-21 2008-06-24 캠브리지 세미컨덕터 리미티드 반도체 장치 및 반도체 장치의 형성 방법
EP1336097A4 (en) * 2000-10-13 2006-02-01 Fluidigm Corp SAMPLE INJECTION SYSTEM USING A MICROFLUIDIC DEVICE, FOR ANALYSIS DEVICES
US7232109B2 (en) * 2000-11-06 2007-06-19 California Institute Of Technology Electrostatic valves for microfluidic devices
DE10143173A1 (de) 2000-12-04 2002-06-06 Cascade Microtech Inc Wafersonde
EP1227496A1 (en) * 2001-01-17 2002-07-31 Cavendish Kinetics Limited Non-volatile memory
US7435613B2 (en) * 2001-02-12 2008-10-14 Agere Systems Inc. Methods of fabricating a membrane with improved mechanical integrity
US6629756B2 (en) 2001-02-20 2003-10-07 Lexmark International, Inc. Ink jet printheads and methods therefor
US7352199B2 (en) 2001-02-20 2008-04-01 Sandisk Corporation Memory card with enhanced testability and methods of making and using the same
SG117406A1 (en) 2001-03-19 2005-12-29 Miconductor Energy Lab Co Ltd Method of manufacturing a semiconductor device
US6850080B2 (en) * 2001-03-19 2005-02-01 Semiconductor Energy Laboratory Co., Ltd. Inspection method and inspection apparatus
US6613687B2 (en) 2001-03-28 2003-09-02 Lexmark International, Inc. Reverse reactive ion patterning of metal oxide films
DE10213805A1 (de) * 2001-03-28 2002-11-07 Denso Corp Gassensor und Verfahren zum Herstellen eines Gassensors
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
AU2002307152A1 (en) 2001-04-06 2002-10-21 California Institute Of Technology Nucleic acid amplification utilizing microfluidic devices
US6748994B2 (en) 2001-04-11 2004-06-15 Avery Dennison Corporation Label applicator, method and label therefor
US6872581B2 (en) * 2001-04-16 2005-03-29 Nptest, Inc. Measuring back-side voltage of an integrated circuit
JP2002324773A (ja) * 2001-04-25 2002-11-08 Nec Corp 半導体装置の製造方法
US6759282B2 (en) * 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
US6736982B2 (en) * 2001-06-15 2004-05-18 Xiang Zheng Tu Micromachined vertical vibrating gyroscope
JP4166035B2 (ja) * 2001-06-18 2008-10-15 富士通テン株式会社 高周波回路部品の実装構造、実装方法及び実装装置
DE10129346B4 (de) * 2001-06-19 2006-08-31 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelementes
US6740567B2 (en) 2001-06-20 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd Laminating method for forming integrated circuit microelectronic fabrication
US6844235B1 (en) * 2001-07-31 2005-01-18 Cypress Semiconductor Corporation Reticle repeater monitor wafer and method for verifying reticles
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6843421B2 (en) 2001-08-13 2005-01-18 Matrix Semiconductor, Inc. Molded memory module and method of making the module absent a substrate support
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
WO2003052435A1 (en) 2001-08-21 2003-06-26 Cascade Microtech, Inc. Membrane probing system
US6744114B2 (en) 2001-08-29 2004-06-01 Honeywell International Inc. Package with integrated inductor and/or capacitor
US6809378B2 (en) * 2001-08-30 2004-10-26 Micron Technology, Inc. Structure for temporarily isolating a die from a common conductor to facilitate wafer level testing
US7075162B2 (en) * 2001-08-30 2006-07-11 Fluidigm Corporation Electrostatic/electrostrictive actuation of elastomer structures using compliant electrodes
JP2003106895A (ja) * 2001-10-01 2003-04-09 Nec Corp 熱型赤外線検出素子及びその製造方法
US7192629B2 (en) 2001-10-11 2007-03-20 California Institute Of Technology Devices utilizing self-assembled gel and method of manufacture
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US8440093B1 (en) 2001-10-26 2013-05-14 Fuidigm Corporation Methods and devices for electronic and magnetic sensing of the contents of microfluidic flow channels
US6674157B2 (en) * 2001-11-02 2004-01-06 Fairchild Semiconductor Corporation Semiconductor package comprising vertical power transistor
US6624485B2 (en) 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
US20030124595A1 (en) * 2001-11-06 2003-07-03 Lizardi Paul M. Sensitive coded detection systems
KR100491179B1 (ko) * 2001-11-21 2005-05-24 마츠시타 덴끼 산교 가부시키가이샤 박형 회로기판 및 박형 회로기판의 제조방법
WO2003045837A2 (en) * 2001-11-26 2003-06-05 Wisconsin Alumni Research Foundation Stress control of semiconductor microstructures for thin film growth
CA2467587A1 (en) * 2001-11-30 2003-06-12 Fluidigm Corporation Microfluidic device and methods of using same
US7691333B2 (en) * 2001-11-30 2010-04-06 Fluidigm Corporation Microfluidic device and methods of using same
US6548314B1 (en) * 2001-12-10 2003-04-15 Infineon Technologies Ag Method for enabling access to micro-sections of integrated circuits on a wafer
JP4159778B2 (ja) * 2001-12-27 2008-10-01 三菱電機株式会社 Icパッケージ、光送信器及び光受信器
US6576560B1 (en) * 2002-02-05 2003-06-10 Macronix International Co., Ltd. Method for avoiding the fluorination of the metal contact of the semiconductor device
US6731011B2 (en) 2002-02-19 2004-05-04 Matrix Semiconductor, Inc. Memory module having interconnected and stacked integrated circuits
US20030155582A1 (en) * 2002-02-19 2003-08-21 Maitreyee Mahajani Gate dielectric structures for integrated circuits and methods for making and using such gate dielectric structures
KR100438160B1 (ko) * 2002-03-05 2004-07-01 삼성전자주식회사 인덕터와 캐패시터를 갖는 소자 및 그의 제작방법
US6853049B2 (en) 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US7723908B2 (en) * 2002-03-20 2010-05-25 Copytele, Inc. Flat panel display incorporating a control frame
US7804236B2 (en) * 2002-03-20 2010-09-28 Copytele, Inc. Flat panel display incorporating control frame
US7352258B2 (en) * 2002-03-28 2008-04-01 Cascade Microtech, Inc. Waveguide adapter for probe assembly having a detachable bias tee
US20030183888A1 (en) * 2002-03-28 2003-10-02 Eyal Bar-Sadeh Corrugated diaphragm
JP2005521425A (ja) * 2002-04-01 2005-07-21 フルイディグム コーポレイション 微小流体粒子分析システム
US7312085B2 (en) * 2002-04-01 2007-12-25 Fluidigm Corporation Microfluidic particle-analysis systems
US6821848B2 (en) * 2002-04-02 2004-11-23 Hewlett-Packard Development Company, L.P. Tunnel-junction structures and methods
US7117588B2 (en) * 2002-04-23 2006-10-10 Ge Medical Systems Global Technology Company, Llc Method for assembling tiled detectors for ionizing radiation based image detection
US6628001B1 (en) * 2002-05-17 2003-09-30 Agere Systems Inc. Integrated circuit die having alignment marks in the bond pad region and method of manufacturing same
US6620638B1 (en) * 2002-06-05 2003-09-16 Micron Technology, Inc. Testing of multi-chip electronic modules
JP2004022901A (ja) * 2002-06-18 2004-01-22 Seiko Epson Corp 光インターコネクション集積回路、光インターコネクション集積回路の製造方法、電気光学装置および電子機器
US20060086309A1 (en) * 2002-06-24 2006-04-27 Fluiding Corporation Recirculating fluidic network and methods for using the same
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
WO2004008246A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US7231628B2 (en) * 2002-07-12 2007-06-12 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
KR100475716B1 (ko) * 2002-08-13 2005-03-10 매그나칩 반도체 유한회사 복합 반도체 장치의 멀티 반도체 기판의 적층 구조 및 그방법
DE10242661A1 (de) * 2002-09-13 2004-03-25 Conti Temic Microelectronic Gmbh Verfahren zum Herstellen von Isolationsstrukturen
JP2004111601A (ja) * 2002-09-18 2004-04-08 Tokyo Seimitsu Co Ltd ダイボンダ
JP2004134762A (ja) * 2002-09-19 2004-04-30 Denso Corp 半導体装置
EP2213615A3 (en) 2002-09-25 2012-02-29 California Institute of Technology Microfluidic Large Scale Integration
US6765152B2 (en) * 2002-09-27 2004-07-20 International Business Machines Corporation Multichip module having chips on two sides
WO2004040001A2 (en) 2002-10-02 2004-05-13 California Institute Of Technology Microfluidic nucleic acid analysis
US6845664B1 (en) 2002-10-03 2005-01-25 The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration MEMS direct chip attach packaging methodologies and apparatuses for harsh environments
US7036109B1 (en) 2002-10-17 2006-04-25 Credence Systems Corporation Imaging integrated circuits with focused ion beam
FR2846788B1 (fr) * 2002-10-30 2005-06-17 Procede de fabrication de substrats demontables
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
TW574744B (en) * 2002-12-27 2004-02-01 Nanya Technology Corp Misalignment test structure and method thereof
US6948860B1 (en) * 2003-02-21 2005-09-27 Edwards Phillip J Optical subassembly for optoelectronic devices
US10874255B2 (en) 2003-03-19 2020-12-29 Melton John Losoya Food holding apparatuses and methods of use and manufacture
US7335971B2 (en) * 2003-03-31 2008-02-26 Robert Bosch Gmbh Method for protecting encapsulated sensor structures using stack packaging
DE10314503B4 (de) * 2003-03-31 2008-07-31 Advanced Micro Devices, Inc., Sunnyvale Verbesserte integrierte Halbleiterstruktur für Zuverlässigkeitsprüfungen von Dielektrika
US8828663B2 (en) * 2005-03-18 2014-09-09 Fluidigm Corporation Thermal reaction device and method for using the same
US7604965B2 (en) * 2003-04-03 2009-10-20 Fluidigm Corporation Thermal reaction device and method for using the same
US7476363B2 (en) * 2003-04-03 2009-01-13 Fluidigm Corporation Microfluidic devices and methods of using same
US20050145496A1 (en) 2003-04-03 2005-07-07 Federico Goodsaid Thermal reaction device and method for using the same
EP2340890B1 (en) * 2003-04-03 2016-10-19 Fluidigm Corporation Method of performimg digital PCR
US6864156B1 (en) 2003-04-04 2005-03-08 Xilinx, Inc. Semiconductor wafer with well contacts on back side
US6753239B1 (en) 2003-04-04 2004-06-22 Xilinx, Inc. Bond and back side etchback transistor fabrication process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
EP1636017A2 (en) 2003-05-20 2006-03-22 Fluidigm Corporation Method and system for microfluidic device and imaging thereof
SE527896C2 (sv) * 2003-05-20 2006-07-04 Aamic Ab Optisk testanordning för biologiska prover, samt en microarray till anordningen och metoden för dess användning
US7057404B2 (en) 2003-05-23 2006-06-06 Sharp Laboratories Of America, Inc. Shielded probe for testing a device under test
KR100546172B1 (ko) * 2003-05-23 2006-01-24 주식회사 하이닉스반도체 불휘발성 강유전체 레지스터를 이용한 입출력 바이트 제어장치
US7492172B2 (en) 2003-05-23 2009-02-17 Cascade Microtech, Inc. Chuck for holding a device under test
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US6859330B2 (en) * 2003-06-04 2005-02-22 Intel Corporation Micromachined pellicle splitters and tunable laser modules incorporating same
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7087506B2 (en) * 2003-06-26 2006-08-08 International Business Machines Corporation Method of forming freestanding semiconductor layer
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US20050010310A1 (en) * 2003-07-11 2005-01-13 Touzov Igor Victorovich Method of alignment for precision tools.
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
AU2004261655A1 (en) * 2003-07-28 2005-02-10 Fluidigm Corporation Image processing method and system for microfluidic devices
DE10335260A1 (de) * 2003-08-01 2005-02-17 Daimlerchrysler Ag Sekundärluftfördereinrichtung für eine Brennkraftmaschine
US7413712B2 (en) * 2003-08-11 2008-08-19 California Institute Of Technology Microfluidic rotary flow reactor matrix
DE602004032172D1 (de) 2003-09-03 2011-05-19 Visible Tech Knowledgy Inc Elektronisch aktualisierbares label und display
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
FI20031341A (fi) * 2003-09-18 2005-03-19 Imbera Electronics Oy Menetelmä elektroniikkamoduulin valmistamiseksi
US20050064683A1 (en) * 2003-09-19 2005-03-24 Farnworth Warren M. Method and apparatus for supporting wafers for die singulation and subsequent handling
US20050064679A1 (en) * 2003-09-19 2005-03-24 Farnworth Warren M. Consolidatable composite materials, articles of manufacture formed therefrom, and fabrication methods
US7713841B2 (en) * 2003-09-19 2010-05-11 Micron Technology, Inc. Methods for thinning semiconductor substrates that employ support structures formed on the substrates
US6869866B1 (en) 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US8529724B2 (en) * 2003-10-01 2013-09-10 The Charles Stark Draper Laboratory, Inc. Anodic bonding of silicon carbide to glass
US7459790B2 (en) * 2003-10-15 2008-12-02 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7250626B2 (en) 2003-10-22 2007-07-31 Cascade Microtech, Inc. Probe testing structure
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
DE10356885B4 (de) * 2003-12-03 2005-11-03 Schott Ag Verfahren zum Gehäusen von Bauelementen und gehäustes Bauelement
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7187188B2 (en) 2003-12-24 2007-03-06 Cascade Microtech, Inc. Chuck with integrated wafer support
WO2005065258A2 (en) 2003-12-24 2005-07-21 Cascade Microtech, Inc. Active wafer probe
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7015146B2 (en) * 2004-01-06 2006-03-21 International Business Machines Corporation Method of processing backside unlayering of MOSFET devices for electrical and physical characterization including a collimated ion plasma
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7923782B2 (en) 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
DE102004010295A1 (de) * 2004-03-03 2005-09-22 Robert Bosch Gmbh Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US6956272B2 (en) * 2004-03-10 2005-10-18 Micron Technology, Inc. Support frame for semiconductor packages
WO2005093540A1 (ja) * 2004-03-29 2005-10-06 Matsushita Electric Industrial Co., Ltd. セル、パッケージ装置及びパッケージ装置の製造方法
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US8025831B2 (en) * 2004-05-24 2011-09-27 Agency For Science, Technology And Research Imprinting of supported and free-standing 3-D micro- or nano-structures
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7037794B2 (en) * 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
US7547978B2 (en) * 2004-06-14 2009-06-16 Micron Technology, Inc. Underfill and encapsulation of semiconductor assemblies with materials having differing properties
FI117814B (fi) * 2004-06-15 2007-02-28 Imbera Electronics Oy Menetelmä elektroniikkamoduulin valmistamiseksi
US7002247B2 (en) * 2004-06-18 2006-02-21 International Business Machines Corporation Thermal interposer for thermal management of semiconductor devices
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7507638B2 (en) * 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US20060144778A1 (en) * 2004-07-29 2006-07-06 Grunthaner Frank J Low stress, ultra-thin, uniform membrane, methods of fabricating same and incorporation into detection devices
JP2006059839A (ja) * 2004-08-17 2006-03-02 Oki Electric Ind Co Ltd 半導体装置およびその製造方法
US7235431B2 (en) 2004-09-02 2007-06-26 Micron Technology, Inc. Methods for packaging a plurality of semiconductor dice using a flowable dielectric material
US7420381B2 (en) 2004-09-13 2008-09-02 Cascade Microtech, Inc. Double sided probing structures
JP2006108431A (ja) * 2004-10-06 2006-04-20 Sharp Corp 半導体装置
US7430128B2 (en) * 2004-10-18 2008-09-30 E.I. Du Pont De Nemours And Company Capacitive/resistive devices, organic dielectric laminates and printed wiring boards incorporating such devices, and methods of making thereof
US8981876B2 (en) 2004-11-15 2015-03-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Piezoelectric resonator structures and electrical filters having frame elements
US7985677B2 (en) * 2004-11-30 2011-07-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7696625B2 (en) * 2004-11-30 2010-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7238565B2 (en) * 2004-12-08 2007-07-03 International Business Machines Corporation Methodology for recovery of hot carrier induced degradation in bipolar devices
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
CN1622730A (zh) * 2004-12-16 2005-06-01 新磊微制造股份有限公司 发光模块
US7307001B2 (en) 2005-01-05 2007-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer repair method using direct-writing
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US20060151777A1 (en) * 2005-01-12 2006-07-13 Naberhuis Steven L Multi-layer thin film in a ballistic electron emitter
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7656172B2 (en) 2005-01-31 2010-02-02 Cascade Microtech, Inc. System for testing semiconductors
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US20070207555A1 (en) * 2005-02-03 2007-09-06 Cesar Guerra Ultra-sensitive detection systems using multidimension signals
DE102005005350A1 (de) * 2005-02-05 2006-08-17 Atmel Germany Gmbh Integrierter Multisensor
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
DE102005007540A1 (de) * 2005-02-18 2006-08-31 Robert Bosch Gmbh Mikromechanischer Membransensor mit Doppelmembran
DE102005007423B3 (de) * 2005-02-18 2006-06-14 Atmel Germany Gmbh Verfahren zur Integration eines elektronischen Bauteils oder dergleichen in ein Substrat
US7250311B2 (en) * 2005-02-23 2007-07-31 International Business Machines Corporation Wirebond crack sensor for low-k die
GB0505347D0 (en) * 2005-03-16 2005-04-20 Univ Belfast Improvements in or relating to frequency selective surfaces
EP1705697A1 (en) 2005-03-21 2006-09-27 S.O.I. Tec Silicon on Insulator Technologies S.A. Composition graded layer structure and method for forming the same
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7241693B2 (en) * 2005-04-18 2007-07-10 Macronix International Co., Ltd. Processing method for protection of backside of a wafer
US7354862B2 (en) * 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
US7922795B2 (en) * 2005-04-29 2011-04-12 University Of Rochester Ultrathin nanoscale membranes, methods of making, and uses thereof
US8501668B2 (en) * 2005-04-29 2013-08-06 University Of Rochester Drug screening via nanopore silicon filters
US8182590B2 (en) * 2005-04-29 2012-05-22 University Of Rochester Ultrathin porous nanoscale membranes, methods of making, and uses thereof
US8022489B2 (en) * 2005-05-20 2011-09-20 Macronix International Co., Ltd. Air tunnel floating gate memory cell
US20060273379A1 (en) * 2005-06-06 2006-12-07 Alpha & Omega Semiconductor, Ltd. MOSFET using gate work function engineering for switching applications
FI122128B (fi) * 2005-06-16 2011-08-31 Imbera Electronics Oy Menetelmä piirilevyrakenteen valmistamiseksi
WO2006134220A1 (en) * 2005-06-16 2006-12-21 Imbera Electronics Oy Method for manufacturing a circuit board structure, and a circuit board structure
FI119714B (fi) * 2005-06-16 2009-02-13 Imbera Electronics Oy Piirilevyrakenne ja menetelmä piirilevyrakenteen valmistamiseksi
US8007675B1 (en) * 2005-07-11 2011-08-30 National Semiconductor Corporation System and method for controlling an etch process for a single crystal having a buried layer
US7655493B2 (en) * 2005-07-14 2010-02-02 Fairchild Imaging, Inc Multi spectral sensor
DE102005036824A1 (de) * 2005-08-04 2007-03-29 Siemens Ag Chipmodul zum Einbau in Sensorchipkarten für fluidische Anwendungen sowie Verfahren zur Herstellung eines derartigen Chipmoduls
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7863197B2 (en) * 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7635620B2 (en) * 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7626257B2 (en) * 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8461009B2 (en) * 2006-02-28 2013-06-11 International Business Machines Corporation Spacer and process to enhance the strain in the channel with stress liner
WO2007106868A2 (en) * 2006-03-14 2007-09-20 University Of Rochester Cell culture devices having ultrathin porous membrane and uses thereof
WO2007120640A2 (en) * 2006-04-11 2007-10-25 University Of South Florida Thermally induced single-use valves and method of use
US7611960B2 (en) * 2006-04-24 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for wafer backside alignment
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7444253B2 (en) * 2006-05-09 2008-10-28 Formfactor, Inc. Air bridge structures and methods of making and using air bridge structures
US7723999B2 (en) 2006-06-12 2010-05-25 Cascade Microtech, Inc. Calibration structures for differential signal probing
US7764072B2 (en) 2006-06-12 2010-07-27 Cascade Microtech, Inc. Differential signal probing system
US7403028B2 (en) 2006-06-12 2008-07-22 Cascade Microtech, Inc. Test structure and probe for differential signals
TWI334062B (en) * 2006-06-22 2010-12-01 Touch Micro System Tech Method of manufacturing suspension structure and chamber
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
DE102006040788B4 (de) * 2006-08-31 2013-02-07 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Integrierter Optokoppler mit organischem Lichtemitter und anorganischem Photodetektor
JP4316597B2 (ja) * 2006-09-15 2009-08-19 株式会社東芝 半導体装置
US20080119004A1 (en) * 2006-11-17 2008-05-22 Burch Kenneth R Method of packaging a device having a keypad switch point
US7807511B2 (en) * 2006-11-17 2010-10-05 Freescale Semiconductor, Inc. Method of packaging a device having a multi-contact elastomer connector contact area and device thereof
US7696016B2 (en) * 2006-11-17 2010-04-13 Freescale Semiconductor, Inc. Method of packaging a device having a tangible element and device thereof
US7588951B2 (en) * 2006-11-17 2009-09-15 Freescale Semiconductor, Inc. Method of packaging a semiconductor device and a prefabricated connector
US7572712B2 (en) * 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
WO2008140585A1 (en) * 2006-11-22 2008-11-20 Nexgen Semi Holding, Inc. Apparatus and method for conformal mask manufacturing
KR100850900B1 (ko) * 2006-12-14 2008-08-07 엘지전자 주식회사 플라즈마 디스플레이 패널
US8502684B2 (en) 2006-12-22 2013-08-06 Geoffrey J. Bunza Sensors and systems for detecting environmental conditions or changes
US7812731B2 (en) * 2006-12-22 2010-10-12 Vigilan, Incorporated Sensors and systems for detecting environmental conditions or changes
US7676953B2 (en) * 2006-12-29 2010-03-16 Signature Control Systems, Inc. Calibration and metering methods for wood kiln moisture measurement
KR100907885B1 (ko) 2006-12-29 2009-07-15 동부일렉트로닉스 주식회사 반도체 소자 형성 방법
TWI325618B (en) * 2007-01-02 2010-06-01 Chipmos Technologies Inc Film type package for fingerprint sensor
US20080164606A1 (en) * 2007-01-08 2008-07-10 Christoffer Graae Greisen Spacers for wafer bonding
US20080246152A1 (en) * 2007-04-04 2008-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with bonding pad
US7494830B2 (en) * 2007-04-06 2009-02-24 Taiwan Semiconductor Manufacturing Company Method and device for wafer backside alignment overlay accuracy
WO2008142700A2 (en) * 2007-05-24 2008-11-27 Micro Components Ltd. Interconnect substrates, methods and systems thereof
US7859172B2 (en) * 2007-06-19 2010-12-28 Epson Toyocom Corporation Piezoelectric resonator, manufacturing method thereof and lid for piezoelectric resonator
US7659595B2 (en) * 2007-07-16 2010-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded bonding pad for backside illuminated image sensor
US7876114B2 (en) 2007-08-08 2011-01-25 Cascade Microtech, Inc. Differential waveguide probe
JP4687742B2 (ja) * 2007-08-27 2011-05-25 株式会社デンソー 半導体装置の製造方法
EP2031653B1 (en) * 2007-08-27 2014-03-05 Denso Corporation Manufacturing method for a semiconductor device having multiple element formation regions
US7923298B2 (en) * 2007-09-07 2011-04-12 Micron Technology, Inc. Imager die package and methods of packaging an imager die on a temporary carrier
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US20090085194A1 (en) * 2007-09-28 2009-04-02 Honeywell International Inc. Wafer level packaged mems device
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US7919845B2 (en) * 2007-12-20 2011-04-05 Xilinx, Inc. Formation of a hybrid integrated circuit device
SG155779A1 (en) * 2008-03-10 2009-10-29 Micron Technology Inc Apparatus and methods of forming wire bonds
JP4557034B2 (ja) * 2008-04-01 2010-10-06 株式会社デンソー 半導体力学量センサおよびその製造方法
US10991545B2 (en) 2008-06-30 2021-04-27 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US10566169B1 (en) 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US7932180B2 (en) * 2008-07-07 2011-04-26 Infineon Technologies Ag Manufacturing a semiconductor device via etching a semiconductor chip to a first layer
US8084335B2 (en) * 2008-07-11 2011-12-27 Semiconductor Components Industries, Llc Method of thinning a semiconductor wafer using a film frame
US8637953B2 (en) * 2008-07-14 2014-01-28 International Business Machines Corporation Wafer scale membrane for three-dimensional integrated circuit device fabrication
US7504654B1 (en) 2008-07-29 2009-03-17 International Business Machines Corporation Structure for logical “OR” using ballistics transistor technology
US7821068B2 (en) * 2008-08-18 2010-10-26 Xerox Corporation Device and process involving pinhole undercut area
US8222097B2 (en) 2008-08-27 2012-07-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
US8877616B2 (en) * 2008-09-08 2014-11-04 Luxtera, Inc. Method and system for monolithic integration of photonics and electronics in CMOS processes
US7888957B2 (en) 2008-10-06 2011-02-15 Cascade Microtech, Inc. Probing apparatus with impedance optimized interface
WO2010059247A2 (en) 2008-11-21 2010-05-27 Cascade Microtech, Inc. Replaceable coupon for a probing apparatus
US8319503B2 (en) 2008-11-24 2012-11-27 Cascade Microtech, Inc. Test apparatus for measuring a characteristic of a device under test
US8234507B2 (en) 2009-01-13 2012-07-31 Metrologic Instruments, Inc. Electronic-ink display device employing a power switching mechanism automatically responsive to predefined states of device configuration
US8457013B2 (en) 2009-01-13 2013-06-04 Metrologic Instruments, Inc. Wireless dual-function network device dynamically switching and reconfiguring from a wireless network router state of operation into a wireless network coordinator state of operation in a wireless communication network
WO2010094030A1 (en) * 2009-02-16 2010-08-19 The Board Of Trustees Of The Leland Stanford Junior University Strained semiconductor materials, devices and methods therefore
US8299583B2 (en) 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US8405420B2 (en) * 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8537554B1 (en) * 2009-05-15 2013-09-17 Energy Related Devices, Inc. Structured relief dielectric heat sink for planar photovoltaic cells and semiconductor devices
US20100314725A1 (en) * 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
TWI538173B (zh) 2009-07-15 2016-06-11 瑟藍納半導體美國股份有限公司 具背側散熱能力之絕緣體上半導體結構、自絕緣體上半導體元件進行散熱之方法及製造具有絕緣體上半導體晶圓之積體電路之方法
US9390974B2 (en) 2012-12-21 2016-07-12 Qualcomm Incorporated Back-to-back stacked integrated circuit assembly and method of making
US9034732B2 (en) * 2009-07-15 2015-05-19 Silanna Semiconductor U.S.A., Inc. Semiconductor-on-insulator with back side support layer
US9466719B2 (en) 2009-07-15 2016-10-11 Qualcomm Incorporated Semiconductor-on-insulator with back side strain topology
US8921168B2 (en) 2009-07-15 2014-12-30 Silanna Semiconductor U.S.A., Inc. Thin integrated circuit chip-on-board assembly and method of making
US9496227B2 (en) 2009-07-15 2016-11-15 Qualcomm Incorporated Semiconductor-on-insulator with back side support layer
US8739626B2 (en) 2009-08-04 2014-06-03 Fairchild Semiconductor Corporation Micromachined inertial sensor devices
US8148176B2 (en) * 2009-08-20 2012-04-03 Innovalight, Inc. Methods for distinguishing a set of highly doped regions from a set of lightly doped regions on a silicon substrate
TWI484763B (zh) * 2009-09-01 2015-05-11 Univ Nat Taiwan 多晶片堆疊裝置及其訊號傳輸方法
US8330224B2 (en) * 2009-09-18 2012-12-11 Meggitt (San Juan Capistrano), Inc. Integrated MEMS and ESD protection devices
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8384214B2 (en) * 2009-10-13 2013-02-26 United Microelectronics Corp. Semiconductor structure, pad structure and protection structure
JP5442394B2 (ja) * 2009-10-29 2014-03-12 ソニー株式会社 固体撮像装置とその製造方法、及び電子機器
US8421168B2 (en) 2009-11-17 2013-04-16 Fairchild Semiconductor Corporation Microelectromechanical systems microphone packaging systems
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US20110133286A1 (en) * 2009-12-03 2011-06-09 Franz Dietz Integrierter schaltungsteil
US8569092B2 (en) * 2009-12-28 2013-10-29 General Electric Company Method for fabricating a microelectromechanical sensor with a piezoresistive type readout
US9243316B2 (en) 2010-01-22 2016-01-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Method of fabricating piezoelectric material with selected c-axis orientation
US8796904B2 (en) 2011-10-31 2014-08-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic resonator comprising piezoelectric layer and inverse piezoelectric layer
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US10276486B2 (en) 2010-03-02 2019-04-30 General Electric Company Stress resistant micro-via structure for flexible circuits
US8598006B2 (en) 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8368153B2 (en) * 2010-04-08 2013-02-05 United Microelectronics Corp. Wafer level package of MEMS microphone and manufacturing method thereof
WO2012002104A1 (en) * 2010-06-30 2012-01-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
KR101793047B1 (ko) 2010-08-03 2017-11-03 삼성디스플레이 주식회사 플렉서블 디스플레이 및 이의 제조 방법
US8220140B1 (en) * 2010-09-13 2012-07-17 Western Digital (Fremont), Llc System for performing bonding a first substrate to a second substrate
KR101352827B1 (ko) 2010-09-18 2014-01-17 페어차일드 세미컨덕터 코포레이션 단일 프루프 매스를 가진 미세기계화 3축 가속도계
EP2616388A4 (en) 2010-09-18 2014-08-13 Fairchild Semiconductor HERMETIC ENCLOSURE FOR MICROELECTROMECHANICAL SYSTEMS
WO2012037538A2 (en) 2010-09-18 2012-03-22 Fairchild Semiconductor Corporation Micromachined monolithic 6-axis inertial sensor
DE112011103124T5 (de) 2010-09-18 2013-12-19 Fairchild Semiconductor Corporation Biegelager zum Verringern von Quadratur für mitschwingende mikromechanische Vorrichtungen
US8813564B2 (en) 2010-09-18 2014-08-26 Fairchild Semiconductor Corporation MEMS multi-axis gyroscope with central suspension and gimbal structure
US9095072B2 (en) 2010-09-18 2015-07-28 Fairchild Semiconductor Corporation Multi-die MEMS package
EP2619536B1 (en) * 2010-09-20 2016-11-02 Fairchild Semiconductor Corporation Microelectromechanical pressure sensor including reference capacitor
US9006846B2 (en) 2010-09-20 2015-04-14 Fairchild Semiconductor Corporation Through silicon via with reduced shunt capacitance
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
TW201216798A (en) * 2010-10-11 2012-04-16 Subtron Technology Co Ltd Substrate structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US9159825B2 (en) 2010-10-12 2015-10-13 Silanna Semiconductor U.S.A., Inc. Double-sided vertical semiconductor device with thinned substrate
CN103339732B (zh) 2010-10-12 2016-02-24 斯兰纳半导体美国股份有限公司 具有被减薄的衬底的垂直半导体器件
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US9396997B2 (en) 2010-12-10 2016-07-19 Infineon Technologies Ag Method for producing a semiconductor component with insulated semiconductor mesas
US9142665B2 (en) 2010-12-10 2015-09-22 Infineon Technologies Austria Ag Semiconductor component with a semiconductor via
US8739632B2 (en) * 2011-01-21 2014-06-03 Case Western Reserve University Pressure sensor structure and associated method of making a pressure sensor
US8962443B2 (en) * 2011-01-31 2015-02-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
KR101133154B1 (ko) 2011-02-03 2012-04-06 디지털옵틱스 코포레이션 이스트 상이한 파장을 균일하게 수광하기 위한 차등 높이 실리콘을 포함하는 이면 조사 센서 패키지
KR101095945B1 (ko) 2011-02-03 2011-12-19 테쎄라 노쓰 아메리카, 아이엔씨. 상이한 파장을 균일하게 수광하기 위한 흡광 재료를 포함하는 이면 조사 센서 패키지
JP2012164737A (ja) * 2011-02-04 2012-08-30 Sony Corp サブマウント、サブマウント組立体及びサブマウント組立方法
DE102011004782A1 (de) * 2011-02-25 2012-08-30 Harting Kgaa Ablösbare Mikro- und Nanobauteile für platzsparenden Einsatz
DE102011004936A1 (de) * 2011-03-02 2012-09-06 Siemens Aktiengesellschaft Röntgendetektor und medizinisches Röntgengerät
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9490418B2 (en) 2011-03-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and acoustic reflector with temperature compensating layer
US9401692B2 (en) 2012-10-29 2016-07-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having collar structure
US9490771B2 (en) 2012-10-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and frame
FR2976120A1 (fr) 2011-06-01 2012-12-07 St Microelectronics Sa Procede de fabrication d'un circuit integre comprenant au moins un guide d'ondes coplanaire
US8987898B2 (en) * 2011-06-06 2015-03-24 International Rectifier Corporation Semiconductor wafer with reduced thickness variation and method for fabricating same
US8975107B2 (en) 2011-06-16 2015-03-10 Infineon Techologies Ag Method of manufacturing a semiconductor device comprising a membrane over a substrate by forming a plurality of features using local oxidation regions
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
TWI478245B (zh) * 2011-09-27 2015-03-21 Alpha & Omega Semiconductor 一種低導通電阻的功率mos電晶體裝置及其製備方法
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20130229776A1 (en) * 2011-12-23 2013-09-05 Wisconsin Alumni Research Foundation High-speed, flexible integrated circuits and methods for making high-speed, flexible integrated circuits
US9062972B2 (en) 2012-01-31 2015-06-23 Fairchild Semiconductor Corporation MEMS multi-axis accelerometer electrode structure
US8978475B2 (en) 2012-02-01 2015-03-17 Fairchild Semiconductor Corporation MEMS proof mass with split z-axis portions
US8963202B2 (en) * 2012-02-09 2015-02-24 United Microelectronics Corporation Electrostatic discharge protection apparatus
US9002493B2 (en) * 2012-02-21 2015-04-07 Stmicroelectronics, Inc. Endpoint detector for a semiconductor processing station and associated methods
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8780561B2 (en) 2012-03-30 2014-07-15 Raytheon Company Conduction cooling of multi-channel flip chip based panel array circuits
US8754694B2 (en) 2012-04-03 2014-06-17 Fairchild Semiconductor Corporation Accurate ninety-degree phase shifter
US9488693B2 (en) 2012-04-04 2016-11-08 Fairchild Semiconductor Corporation Self test of MEMS accelerometer with ASICS integrated capacitors
US8742964B2 (en) 2012-04-04 2014-06-03 Fairchild Semiconductor Corporation Noise reduction method with chopping for a merged MEMS accelerometer sensor
US9069006B2 (en) 2012-04-05 2015-06-30 Fairchild Semiconductor Corporation Self test of MEMS gyroscope with ASICs integrated capacitors
KR102058489B1 (ko) 2012-04-05 2019-12-23 페어차일드 세미컨덕터 코포레이션 멤스 장치 프론트 엔드 전하 증폭기
EP2647955B8 (en) 2012-04-05 2018-12-19 Fairchild Semiconductor Corporation MEMS device quadrature phase shift cancellation
EP2647952B1 (en) 2012-04-05 2017-11-15 Fairchild Semiconductor Corporation Mems device automatic-gain control loop for mechanical amplitude drive
US8933715B2 (en) 2012-04-08 2015-01-13 Elm Technology Corporation Configurable vertical integration
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
KR101999745B1 (ko) 2012-04-12 2019-10-01 페어차일드 세미컨덕터 코포레이션 미세 전자 기계 시스템 구동기
US9625272B2 (en) 2012-04-12 2017-04-18 Fairchild Semiconductor Corporation MEMS quadrature cancellation and signal demodulation
US8907497B2 (en) * 2012-04-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned interconnects and blocking portions
KR101339291B1 (ko) * 2012-05-08 2013-12-09 한국표준과학연구원 유연한 집적회로 및 유연한 집적회로 제조방법
US9117668B2 (en) * 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8756710B2 (en) 2012-08-31 2014-06-17 Bruker-Nano, Inc. Miniaturized cantilever probe for scanning probe microscopy and fabrication thereof
DE102013014881B4 (de) 2012-09-12 2023-05-04 Fairchild Semiconductor Corporation Verbesserte Silizium-Durchkontaktierung mit einer Füllung aus mehreren Materialien
US9288898B2 (en) 2012-09-18 2016-03-15 Palo Alto Research Center Incorporated Reconfigurable stretchable connector substrate
US9181086B1 (en) 2012-10-01 2015-11-10 The Research Foundation For The State University Of New York Hinged MEMS diaphragm and method of manufacture therof
US9385684B2 (en) 2012-10-23 2016-07-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having guard ring
US9478528B2 (en) * 2012-11-14 2016-10-25 Qualcomm Incorporated Devices, systems and methods using through silicon optical interconnects
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9478942B2 (en) * 2012-12-06 2016-10-25 The Board Of Trustees Of The University Of Illinois Transistor laser optical switching and memory techniques and devices
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
KR102027246B1 (ko) 2013-03-14 2019-10-01 삼성전자주식회사 디지타이저 및 그 제조 방법
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9478507B2 (en) 2013-03-27 2016-10-25 Qualcomm Incorporated Integrated circuit assembly with faraday cage
US9466536B2 (en) 2013-03-27 2016-10-11 Qualcomm Incorporated Semiconductor-on-insulator integrated circuit with back side gate
US8748245B1 (en) * 2013-03-27 2014-06-10 Io Semiconductor, Inc. Semiconductor-on-insulator integrated circuit with interconnect below the insulator
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
EP2989430B1 (en) * 2013-04-22 2019-07-03 MiniPumps, LLC Flow sensors with modular microfluidic channels and methods of manufacture
EP2991754B1 (en) * 2013-05-01 2019-10-16 Koninklijke Philips N.V. Method of manufacturing a partially freestanding two-dimensional crystal film and device comprising such a film
CN104576924B (zh) * 2013-10-11 2017-06-13 中芯国际集成电路制造(北京)有限公司 一种半导体器件及其制造方法和电子装置
US9945030B2 (en) 2013-11-19 2018-04-17 Simpore Inc. Free-standing silicon oxide membranes and methods of making and using same
DE102014100542A1 (de) * 2014-01-20 2015-07-23 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer lateral strukturierten Schicht und optoelektronisches Halbleiterbauteil mit einer solchen Schicht
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9679856B2 (en) 2014-03-07 2017-06-13 Infineon Technologies Ag System and method for a microfabricated fracture test structure
US9212045B1 (en) * 2014-07-31 2015-12-15 Infineon Technologies Ag Micro mechanical structure and method for fabricating the same
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
US9330874B2 (en) * 2014-08-11 2016-05-03 Innovative Micro Technology Solder bump sealing method and device
US9635789B2 (en) * 2015-01-30 2017-04-25 Laird Technologies, Inc. Board level electromagnetic interference (EMI) shields with increased under-shield space
US9627395B2 (en) 2015-02-11 2017-04-18 Sandisk Technologies Llc Enhanced channel mobility three-dimensional memory structure and method of making thereof
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
CN106376169A (zh) * 2015-07-24 2017-02-01 宏启胜精密电子(秦皇岛)有限公司 电路板及其制作方法
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
CN115942752A (zh) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3d半导体器件和结构
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
TWI578505B (zh) * 2015-12-10 2017-04-11 財團法人工業技術研究院 半導體元件
US10083989B2 (en) 2015-12-10 2018-09-25 Industrial Technology Research Institute Semiconductor device
US10109582B2 (en) 2016-04-19 2018-10-23 Taiwan Semiconductor Manufacturing Company Limited Advanced metal connection with metal cut
EP3239665A1 (de) * 2016-04-25 2017-11-01 Weickmann & Weickmann PartmbB Sensorgehäuse
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US10559594B2 (en) * 2017-04-11 2020-02-11 Ahmad Tarakji Approach to the manufacturing of monolithic 3-dimensional high-rise integrated-circuits with vertically-stacked double-sided fully-depleted silicon-on-insulator transistors
TWI660466B (zh) * 2017-04-26 2019-05-21 矽品精密工業股份有限公司 封裝結構及其製法
USD837003S1 (en) 2017-07-19 2019-01-01 Melton John Losoya Food frying clip
DE102017213354A1 (de) * 2017-08-02 2019-02-07 Robert Bosch Gmbh Mikromechanische Drucksensorvorrichtung und entsprechendes Herstellungsverfahren
US10283933B1 (en) 2017-10-23 2019-05-07 The Board Of Trustees Of The University Of Illinois Transistor laser electrical and optical bistable switching
US10270219B1 (en) * 2018-02-12 2019-04-23 Himax Technologies Limited Packaging structure of laser diode
CN112262460A (zh) * 2018-04-10 2021-01-22 维斯普瑞公司 柔性基板上无焊料集成多个半导体裸片的方法和设备
TWI683450B (zh) * 2018-06-29 2020-01-21 友達光電股份有限公司 可撓式顯示面板及其製造方法
US10782606B2 (en) 2018-06-29 2020-09-22 Globalfoundries Inc. Photolithography methods and structures that reduce stochastic defects
US11044022B2 (en) 2018-08-29 2021-06-22 Analog Devices Global Unlimited Company Back-to-back isolation circuit
DE102019100410A1 (de) * 2019-01-09 2020-07-09 Osram Opto Semiconductors Gmbh Volumenemitter und Verfahren zu dessen Herstellung
WO2020181253A1 (en) * 2019-03-06 2020-09-10 Lekavicius Ignas Etching and thinning for the fabrication of lithographically patterned diamond nanostructures
JP2020150218A (ja) * 2019-03-15 2020-09-17 キオクシア株式会社 半導体記憶装置
US11137241B2 (en) * 2019-03-27 2021-10-05 Vishay Advanced Technologies, Ltd. Three dimensional strain gage
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10727216B1 (en) 2019-05-10 2020-07-28 Sandisk Technologies Llc Method for removing a bulk substrate from a bonded assembly of wafers
US11450469B2 (en) 2019-08-28 2022-09-20 Analog Devices Global Unlimited Company Insulation jacket for top coil of an isolated transformer
US11522267B2 (en) * 2019-10-28 2022-12-06 Battelle Energy Alliance, Llc Sensing units including a radio-frequency sensor, and related systems, devices, and methods
US11387316B2 (en) 2019-12-02 2022-07-12 Analog Devices International Unlimited Company Monolithic back-to-back isolation elements with floating top plate
US11195911B2 (en) 2019-12-23 2021-12-07 International Business Machines Corporation Bottom dielectric isolation structure for nanosheet containing devices
US11195746B2 (en) 2020-01-13 2021-12-07 International Business Machines Corporation Nanosheet transistor with self-aligned dielectric pillar
US11195794B2 (en) 2020-02-05 2021-12-07 Samsung Electronics Co., Ltd. Stacked integrated circuit devices including a routing wire
US11502106B2 (en) 2020-02-11 2022-11-15 Globalfoundries U.S. Inc. Multi-layered substrates of semiconductor devices
US11430745B2 (en) 2020-03-02 2022-08-30 Sandisk Technologies Llc Semiconductor die containing silicon nitride stress compensating regions and method for making the same
CN116326219B (zh) * 2020-10-02 2024-03-26 塞林克公司 与柔性互连电路形成连接
EP4205242A1 (en) 2020-10-02 2023-07-05 CelLink Corporation Methods and systems for connecting a flexible interconnect circuit
KR20230088832A (ko) * 2020-10-23 2023-06-20 더 리전츠 오브 더 유니버시티 오브 캘리포니아 재성장을 통해 제작된 소형 발광 다이오드
US11387207B2 (en) * 2020-11-13 2022-07-12 Nanya Technology Corporation Method for fabricating semiconductor device including etching an edge portion of a bonding layer by using an etching mask
TWI786566B (zh) * 2021-03-11 2022-12-11 南亞科技股份有限公司 半導體結構製造方法與半導體結構製造系統
CN113363163B (zh) * 2021-05-28 2022-08-02 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
CN115811882A (zh) 2021-09-14 2023-03-17 联华电子股份有限公司 半导体结构

Family Cites Families (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34893A (en) * 1862-04-08 Improved cutter attachment to plows
US576813A (en) * 1897-02-09 Telephone-transmitter
US515775A (en) * 1894-03-06 Joseph kauffmann
US37637A (en) * 1863-02-10 Improvement in artificial legs
US377227A (en) * 1888-01-31 Combination printing-stamp
US54693A (en) * 1866-05-15 Improvement in gang-plows
US2915722A (en) 1957-05-13 1959-12-01 Inductosyn Corp Pattern for slider of position measuring transformer
GB914656A (ja) 1959-11-10
US3430835A (en) * 1966-06-07 1969-03-04 Westinghouse Electric Corp Wire bonding apparatus for microelectronic components
US3559828A (en) * 1967-02-07 1971-02-02 Miles Druce & Co Ltd Method of removing stack from a warehouse with a lift truck
US3445925A (en) * 1967-04-25 1969-05-27 Motorola Inc Method for making thin semiconductor dice
NL6706735A (ja) * 1967-05-13 1968-11-14
US3560364A (en) 1968-10-10 1971-02-02 Ibm Method for preparing thin unsupported films of silicon nitride
DE1812199C3 (de) * 1968-12-02 1980-07-03 Siemens Ag, 1000 Berlin Und 8000 Muenchen Integrierte, optisch-elektronische Festkörper-Schaltungsanordnung
US3559283A (en) * 1969-06-16 1971-02-02 Dionics Inc Method of producing air-isolated integrated circuits
US3615901A (en) 1969-12-01 1971-10-26 Gustav K Medicus Method of making a plastically shapeable cathode material
US3716429A (en) * 1970-06-18 1973-02-13 Rca Corp Method of making semiconductor devices
US3636368A (en) * 1970-06-29 1972-01-18 Onan Eastern Corp Transfer switch and generator control means and new and improved method of operation thereof
US3777227A (en) * 1972-08-21 1973-12-04 Westinghouse Electric Corp Double diffused high voltage, high current npn transistor
US3922705A (en) 1973-06-04 1975-11-25 Gen Electric Dielectrically isolated integral silicon diaphram or other semiconductor product
US3868565A (en) * 1973-07-30 1975-02-25 Jack Kuipers Object tracking and orientation determination means, system and process
US3938175A (en) * 1974-04-24 1976-02-10 General Motors Corporation Polycrystalline silicon pressure transducer
DE2432544C3 (de) * 1974-07-04 1978-11-23 Siemens Ag, 1000 Berlin Und 8000 Muenchen Als Halbleiterschaltung ausgebildetes Bauelement mit einem dielektrischen Träger sowie Verfahren zu seiner Herstellung
US4070230A (en) * 1974-07-04 1978-01-24 Siemens Aktiengesellschaft Semiconductor component with dielectric carrier and its manufacture
US3932932A (en) * 1974-09-16 1976-01-20 International Telephone And Telegraph Corporation Method of making multilayer printed circuit board
US3997381A (en) 1975-01-10 1976-12-14 Intel Corporation Method of manufacture of an epitaxial semiconductor layer on an insulating substrate
US4028547A (en) * 1975-06-30 1977-06-07 Bell Telephone Laboratories, Incorporated X-ray photolithography
US4196232A (en) * 1975-12-18 1980-04-01 Rca Corporation Method of chemically vapor-depositing a low-stress glass layer
US4142004A (en) * 1976-01-22 1979-02-27 Bell Telephone Laboratories, Incorporated Method of coating semiconductor substrates
NL7607095A (nl) 1976-06-29 1978-01-02 Philips Nv Trefplaatmontage voor een opneembuis, en werkwijze voor de vervaardiging daarvan.
GB1542084A (en) * 1976-08-31 1979-03-14 Standard Telephones Cables Ltd Thin silicon semiconductor devices
JPS55156395A (en) 1979-05-24 1980-12-05 Fujitsu Ltd Method of fabricating hollow multilayer printed board
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4401986A (en) 1979-12-26 1983-08-30 Texas Instruments Incorporated Position sensor and system
US4416054A (en) 1980-07-01 1983-11-22 Westinghouse Electric Corp. Method of batch-fabricating flip-chip bonded dual integrated circuit arrays
JPS5747711A (en) 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
DE3070833D1 (en) 1980-09-19 1985-08-08 Ibm Deutschland Structure with a silicon body that presents an aperture and method of making this structure
JPS5843554A (ja) * 1981-09-08 1983-03-14 Mitsubishi Electric Corp 半導体装置
US4500905A (en) 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
JPS58111491A (ja) * 1981-12-25 1983-07-02 Nippon Kogaku Kk <Nikon> 固体撮像装置
US4585991A (en) * 1982-06-03 1986-04-29 Texas Instruments Incorporated Solid state multiprobe testing apparatus
JPS59672A (ja) * 1982-06-27 1984-01-05 Tsutomu Jinno 測距センサ
US4622632A (en) 1982-08-18 1986-11-11 Board Of Regents, University Of Washington Data processing system having a pyramidal array of processors
US4761681A (en) * 1982-09-08 1988-08-02 Texas Instruments Incorporated Method for fabricating a semiconductor contact and interconnect structure using orientation dependent etching and thermomigration
US4663559A (en) * 1982-09-17 1987-05-05 Christensen Alton O Field emission device
JPS59117271A (ja) * 1982-12-24 1984-07-06 Hitachi Ltd 圧力感知素子を有する半導体装置とその製造法
US4465759A (en) * 1983-02-14 1984-08-14 The Perkin-Elmer Corporation Method of fabricating a pellicle cover for projection printing system
US4604162A (en) 1983-06-13 1986-08-05 Ncr Corporation Formation and planarization of silicon-on-insulator structures
JPS6098655A (ja) * 1983-11-02 1985-06-01 Nec Corp 半導体装置
JPS60109270A (ja) * 1983-11-18 1985-06-14 Nec Corp 半導体装置の製造方法
JPS60126871A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd 半導体感圧装置とその製造法
JPS60126871U (ja) 1984-01-30 1985-08-26 株式会社 イト−キ 自動金銭取扱機コ−ナ−用のパネル
JPS60235446A (ja) * 1984-05-09 1985-11-22 Nec Corp 半導体装置とその製造方法
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4617160A (en) * 1984-11-23 1986-10-14 Irvine Sensors Corporation Method for fabricating modules comprising uniformly stacked, aligned circuit-carrying layers
JPS61132832A (ja) * 1984-11-30 1986-06-20 Sanyo Electric Co Ltd 半導体圧力センサ
EP0189976A3 (en) * 1985-01-30 1987-12-02 Energy Conversion Devices, Inc. Extremely lightweight, flexible semiconductor device arrays and method of making same
DE3504714A1 (de) 1985-02-12 1986-08-14 Siemens AG, 1000 Berlin und 8000 München Lithografiegeraet zur erzeugung von mikrostrukturen
US4762728A (en) 1985-04-09 1988-08-09 Fairchild Semiconductor Corporation Low temperature plasma nitridation process and applications of nitride films formed thereby
US4618763A (en) 1985-04-12 1986-10-21 Grumman Aerospace Corporation Infrared focal plane module with stacked IC module body
NL8501773A (nl) * 1985-06-20 1987-01-16 Philips Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen.
US4674176A (en) * 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
JPS629642A (ja) * 1985-07-05 1987-01-17 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
DE3527532A1 (de) * 1985-08-01 1987-02-12 Teves Gmbh Alfred Verfahren und bremsanlage zur vortriebsregelung
JPS6254477A (ja) * 1985-09-03 1987-03-10 Nippon Denso Co Ltd 半導体圧力センサの製造方法
JPS62128556A (ja) 1985-11-29 1987-06-10 Fujitsu Ltd 半導体装置
JPS62155517A (ja) * 1985-12-27 1987-07-10 Canon Inc パターン描画装置及び方法
NL8600087A (nl) * 1986-01-17 1987-08-17 Philips Nv Inrichting voor vol-duplex datatransmissie over tweedraadsverbindingen.
US4952446A (en) * 1986-02-10 1990-08-28 Cornell Research Foundation, Inc. Ultra-thin semiconductor membranes
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
US4706166A (en) 1986-04-25 1987-11-10 Irvine Sensors Corporation High-density electronic modules--process and product
US5227959A (en) * 1986-05-19 1993-07-13 Rogers Corporation Electrical circuit interconnection
US4954875A (en) * 1986-07-17 1990-09-04 Laser Dynamics, Inc. Semiconductor wafer array with electrically conductive compliant material
US4897708A (en) * 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
US4810673A (en) 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
US4824073A (en) * 1986-09-24 1989-04-25 Stanford University Integrated, microminiature electric to fluidic valve
US4918811A (en) * 1986-09-26 1990-04-24 General Electric Company Multichip integrated circuit packaging method
US4684436A (en) * 1986-10-29 1987-08-04 International Business Machines Corp. Method of simultaneously etching personality and select
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4721938A (en) * 1986-12-22 1988-01-26 Delco Electronics Corporation Process for forming a silicon pressure transducer
US4766670A (en) * 1987-02-02 1988-08-30 International Business Machines Corporation Full panel electronic packaging structure and method of making same
US5010024A (en) 1987-03-04 1991-04-23 Advanced Micro Devices, Inc. Passivation for integrated circuit structures
JPS63229862A (ja) 1987-03-19 1988-09-26 Komatsu Ltd 薄膜圧力センサの製造方法
US5110712A (en) * 1987-06-12 1992-05-05 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
US4767973A (en) * 1987-07-06 1988-08-30 Sarcos Incorporated Systems and methods for sensing position and movement
US4818661A (en) * 1987-07-21 1989-04-04 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating thin film metallic meshes for use as Fabry-Perot interferometer elements, filters and other devices
US4849857A (en) 1987-10-05 1989-07-18 Olin Corporation Heat dissipating interconnect tape for use in tape automated bonding
JPH01199476A (ja) 1987-10-28 1989-08-10 Komatsu Ltd 圧力センサ
FR2623013A1 (fr) 1987-11-06 1989-05-12 Commissariat Energie Atomique Source d'electrons a cathodes emissives a micropointes et dispositif de visualisation par cathodoluminescence excitee par emission de champ,utilisant cette source
US4825277A (en) * 1987-11-17 1989-04-25 Motorola Inc. Trench isolation process and structure
KR900002716B1 (ko) * 1987-11-26 1990-04-23 재단법인한국전자 통신연구소 레이져묘화기의 집광광학장치
US4784721A (en) * 1988-02-22 1988-11-15 Honeywell Inc. Integrated thin-film diaphragm; backside etch
GB2215168A (en) 1988-02-23 1989-09-13 Ibm Windows with restricted colour range have priority defined by colour codes
GB2215914B (en) 1988-03-17 1991-07-03 Emi Plc Thorn A microengineered diaphragm pressure switch and a method of manufacture thereof
US4909611A (en) * 1988-04-21 1990-03-20 Systems And Simulation, Inc. Large active screen display
GB8810973D0 (en) * 1988-05-10 1988-06-15 Stc Plc Improvements in integrated circuits
US5034685A (en) 1988-05-16 1991-07-23 Leedy Glenn J Test device for testing integrated circuits
US4924589A (en) * 1988-05-16 1990-05-15 Leedy Glenn J Method of making and testing an integrated circuit
US5020219A (en) * 1988-05-16 1991-06-04 Leedy Glenn J Method of making a flexible tester surface for testing integrated circuits
US5103557A (en) * 1988-05-16 1992-04-14 Leedy Glenn J Making and testing an integrated circuit using high density probe points
US6288561B1 (en) 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US5512397A (en) 1988-05-16 1996-04-30 Leedy; Glenn J. Stepper scanner discretionary lithography and common mask discretionary lithography for integrated circuits
US5323035A (en) 1992-10-13 1994-06-21 Glenn Leedy Interconnection structure for integrated circuits and method for making same
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US4994735A (en) * 1988-05-16 1991-02-19 Leedy Glenn J Flexible tester surface for testing integrated circuits
US5225771A (en) * 1988-05-16 1993-07-06 Dri Technology Corp. Making and testing an integrated circuit using high density probe points
EP0344513A3 (de) 1988-05-31 1991-01-16 Siemens Aktiengesellschaft Verfahren zur Herstellung einer Steuerplatte für ein Lithographiegerät
USRE34893E (en) 1988-06-08 1995-04-04 Nippondenso Co., Ltd. Semiconductor pressure sensor and method of manufacturing same
US5095349A (en) * 1988-06-08 1992-03-10 Nippondenso Co., Ltd. Semiconductor pressure sensor and method of manufacturing same
US5110373A (en) * 1988-09-13 1992-05-05 Nanostructures, Inc. Silicon membrane with controlled stress
US4966663A (en) * 1988-09-13 1990-10-30 Nanostructures, Inc. Method for forming a silicon membrane with controlled stress
JPH0282564A (ja) * 1988-09-19 1990-03-23 Nec Corp 半導体装置
US5008619A (en) 1988-11-18 1991-04-16 Amp-Akzo Corporation Multilevel circuit board precision positioning
JPH02143466A (ja) * 1988-11-25 1990-06-01 Mitsubishi Electric Corp 半導体装置の製造方法
DE68925879T2 (de) 1988-12-21 1996-10-02 At & T Corp Thermisches Oxydierungsverfahren mit verändertem Wachstum für dünne Oxide
FR2641129A1 (en) 1988-12-27 1990-06-29 Bull Sa Substrate for a superconducting thin film
US4996627A (en) * 1989-01-30 1991-02-26 Dresser Industries, Inc. High sensitivity miniature pressure transducer
US4950987A (en) * 1989-03-03 1990-08-21 University Of North Carolina At Charlotte Magneto-inductive sensor for performing tactile and proximity sensing
US4857481A (en) * 1989-03-14 1989-08-15 Motorola, Inc. Method of fabricating airbridge metal interconnects
JP2823276B2 (ja) * 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4990462A (en) * 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
EP0393220B1 (en) * 1989-04-20 1994-07-13 International Business Machines Corporation Integrated circuit package
JP2517410B2 (ja) * 1989-05-15 1996-07-24 三菱電機株式会社 学習機能付集積回路装置
US5262341A (en) 1989-05-19 1993-11-16 Fujitsu Limited Blanking aperture array and charged particle beam exposure method
EP0404608B1 (en) 1989-05-19 1995-02-22 Fujitsu Limited Blanking aperture array, method of producing blanking aperture array, charged particle beam exposure apparatus and charged particle beam exposure method
US4928058A (en) 1989-05-23 1990-05-22 The University Of Rochester Electro-optic signal measurement
US4919749A (en) 1989-05-26 1990-04-24 Nanostructures, Inc. Method for making high resolution silicon shadow masks
US5051326A (en) 1989-05-26 1991-09-24 At&T Bell Laboratories X-Ray lithography mask and devices made therewith
US5070026A (en) 1989-06-26 1991-12-03 Spire Corporation Process of making a ferroelectric electronic component and product
US5119164A (en) * 1989-07-25 1992-06-02 Advanced Micro Devices, Inc. Avoiding spin-on-glass cracking in high aspect ratio cavities
US5071510A (en) * 1989-09-22 1991-12-10 Robert Bosch Gmbh Process for anisotropic etching of silicon plates
EP0419898B1 (de) 1989-09-28 2000-05-31 Siemens Aktiengesellschaft Verfahren zur Erhöhung der Spannungsfestigkeit eines mehrschichtigen Halbleiterbauelements
US5098865A (en) 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
JP2753746B2 (ja) * 1989-11-06 1998-05-20 日本メクトロン株式会社 Ic搭載用可撓性回路基板及びその製造法
US5156909A (en) 1989-11-28 1992-10-20 Battelle Memorial Institute Thick, low-stress films, and coated substrates formed therefrom, and methods for making same
US5169805A (en) 1990-01-29 1992-12-08 International Business Machines Corporation Method of resiliently mounting an integrated circuit chip to enable conformal heat dissipation
JP3276146B2 (ja) 1990-03-30 2002-04-22 株式会社デンソー 半導体装置及びその製造方法
JP3112680B2 (ja) 1990-04-26 2000-11-27 オーストラリア連邦 半導体膜ボロメータ熱性赤外検出器
US5116777A (en) * 1990-04-30 1992-05-26 Sgs-Thomson Microelectronics, Inc. Method for fabricating semiconductor devices by use of an N+ buried layer for complete isolation
US5081421A (en) 1990-05-01 1992-01-14 At&T Bell Laboratories In situ monitoring technique and apparatus for chemical/mechanical planarization endpoint detection
JPH0414815A (ja) * 1990-05-08 1992-01-20 Toyota Autom Loom Works Ltd 半導体装置の製造方法
US5006202A (en) * 1990-06-04 1991-04-09 Xerox Corporation Fabricating method for silicon devices using a two step silicon etching process
JP2913785B2 (ja) * 1990-07-12 1999-06-28 富士通株式会社 半導体装置の製造方法
US5203731A (en) * 1990-07-18 1993-04-20 International Business Machines Corporation Process and structure of an integrated vacuum microelectronic device
JPH0476946A (ja) * 1990-07-19 1992-03-11 Fujitsu Ltd ウエーハ集積回路装置
JPH0483371A (ja) * 1990-07-26 1992-03-17 Toshiba Corp 半導体装置
US5062689A (en) 1990-08-21 1991-11-05 Koehler Dale R Electrostatically actuatable light modulating device
FR2666173A1 (fr) * 1990-08-21 1992-02-28 Thomson Csf Structure hybride d'interconnexion de circuits integres et procede de fabrication.
GB9018766D0 (en) * 1990-08-28 1990-10-10 Lsi Logic Europ Stacking of integrated circuits
JPH04107964A (ja) * 1990-08-29 1992-04-09 Hitachi Ltd 半導体集積回路装置
US5432444A (en) * 1990-10-23 1995-07-11 Kaisei Engineer Co., Ltd. Inspection device having coaxial induction and exciting coils forming a unitary coil unit
US5206186A (en) * 1990-10-26 1993-04-27 General Electric Company Method for forming semiconductor electrical contacts using metal foil and thermocompression bonding
US5117282A (en) 1990-10-29 1992-05-26 Harris Corporation Stacked configuration for integrated circuit devices
DE4034697A1 (de) 1990-10-31 1992-05-14 Fraunhofer Ges Forschung Verfahren zur handhabung mikroskopisch kleiner, dielektrischer teilchen und vorrichtung zur durchfuehrung des verfahrens
US5245227A (en) 1990-11-02 1993-09-14 Atmel Corporation Versatile programmable logic cell for use in configurable logic arrays
JPH06103714B2 (ja) 1990-11-22 1994-12-14 信越半導体株式会社 シリコン単結晶の電気特性検査方法
US5130894A (en) 1990-11-26 1992-07-14 At&T Bell Laboratories Three-dimensional circuit modules
JPH04196263A (ja) * 1990-11-27 1992-07-16 Mitsubishi Electric Corp 半導体集積回路
US5206749A (en) * 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5274270A (en) 1990-12-17 1993-12-28 Nchip, Inc. Multichip module having SiO2 insulating layer
NL9002816A (nl) * 1990-12-19 1992-07-16 Single Buoy Moorings Snelkoppeling en snelkoppelsamenstel.
JP3071876B2 (ja) 1991-01-08 2000-07-31 株式会社東芝 X線マスク、その製造方法、及びこれを用いた露光方法
JP2816028B2 (ja) * 1991-02-18 1998-10-27 株式会社東芝 半導体装置の製造方法
NL9100327A (nl) * 1991-02-25 1992-09-16 Philips Nv Kathode.
DE69232606T2 (de) * 1991-02-25 2004-08-05 Canon K.K. Elektrischer Verbindungskörper und Herstellungsverfahren dafür
US5358909A (en) * 1991-02-27 1994-10-25 Nippon Steel Corporation Method of manufacturing field-emitter
DE4106288C2 (de) 1991-02-28 2001-05-31 Bosch Gmbh Robert Sensor zur Messung von Drücken oder Beschleunigungen
JP2537511Y2 (ja) * 1991-03-15 1997-06-04 株式会社東海理化電機製作所 ステアリングロック装置
JP2613498B2 (ja) 1991-03-15 1997-05-28 信越半導体株式会社 Si単結晶ウエーハの熱処理方法
US5111278A (en) 1991-03-27 1992-05-05 Eichelberger Charles W Three-dimensional multichip module systems
FR2674593B1 (fr) * 1991-03-29 1993-05-07 Valeo Amortisseur de torsion comportant un preamortisseur a boitier avec pattes a crochets, notamment pour vehicule automobile.
EP0516866A1 (en) * 1991-05-03 1992-12-09 International Business Machines Corporation Modular multilayer interwiring structure
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP3109861B2 (ja) 1991-06-12 2000-11-20 キヤノン株式会社 情報の記録及び/又は再生装置
FR2677668B1 (fr) 1991-06-14 1993-10-15 France Telecom Procede de nettoyage de surfaces metalliques oxydees dans la fabrication de reseaux d'interconnexions et plaquettes pour de tels reseaux.
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JP2555811B2 (ja) 1991-09-10 1996-11-20 富士通株式会社 半導体チップのフリップチップ接合方法
US5270261A (en) 1991-09-13 1993-12-14 International Business Machines Corporation Three dimensional multichip package methods of fabrication
US6230233B1 (en) 1991-09-13 2001-05-08 Sandisk Corporation Wear leveling techniques for flash EEPROM systems
US5151775A (en) 1991-10-07 1992-09-29 Tektronix, Inc. Integrated circuit device having improved substrate capacitance isolation
US5856695A (en) 1991-10-30 1999-01-05 Harris Corporation BiCMOS devices
JPH05129423A (ja) 1991-10-30 1993-05-25 Rohm Co Ltd 半導体装置及びその製造方法
US5284804A (en) 1991-12-31 1994-02-08 Texas Instruments Incorporated Global planarization process
JP3191061B2 (ja) 1992-01-31 2001-07-23 キヤノン株式会社 半導体装置及び液晶表示装置
US5470693A (en) 1992-02-18 1995-11-28 International Business Machines Corporation Method of forming patterned polyimide films
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5985693A (en) 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
US6017658A (en) 1992-05-13 2000-01-25 The United States Of America As Represented By The Secretary Of The Navy Lithographic mask and method for fabrication thereof
US5786629A (en) 1992-05-14 1998-07-28 Reveo, Inc. 3-D packaging using massive fillo-leaf technology
EP0596075B1 (en) 1992-05-15 2001-08-22 Irvine Sensors Corporation Non-conductive end layer for integrated stack of ic chips
US5273940A (en) 1992-06-15 1993-12-28 Motorola, Inc. Multiple chip package with thinned semiconductor chips
US5489554A (en) 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
US5432999A (en) 1992-08-20 1995-07-18 Capps; David F. Integrated circuit lamination process
US5324687A (en) 1992-10-16 1994-06-28 General Electric Company Method for thinning of integrated circuit chips for lightweight packaged electronic systems
US5450603A (en) 1992-12-18 1995-09-12 Xerox Corporation SIMD architecture with transfer register or value source circuitry connected to bus
US5426072A (en) 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US5717947A (en) 1993-03-31 1998-02-10 Motorola, Inc. Data processing system and method thereof
US5527645A (en) 1993-04-21 1996-06-18 Pati; Yagyensh C. Systematic method for production of phase-shifting photolithographic masks
EP0695494B1 (en) 1993-04-23 2001-02-14 Irvine Sensors Corporation Electronic module comprising a stack of ic chips
US5426363A (en) * 1993-04-26 1995-06-20 Kabushiki Kaisha Honda Denshi Giken Object detecting device
US5694588A (en) 1993-05-07 1997-12-02 Texas Instruments Incorporated Apparatus and method for synchronizing data transfers in a single instruction multiple data processor
US5385632A (en) 1993-06-25 1995-01-31 At&T Laboratories Method for manufacturing integrated semiconductor devices
US5363021A (en) 1993-07-12 1994-11-08 Cornell Research Foundation, Inc. Massively parallel array cathode
US5399505A (en) 1993-07-23 1995-03-21 Motorola, Inc. Method and apparatus for performing wafer level testing of integrated circuit dice
US5434500A (en) * 1993-07-26 1995-07-18 Hauck; Bruce Magnetic field generator and detector position indicator
FR2709020B1 (fr) 1993-08-13 1995-09-08 Thomson Csf Procédé d'interconnexion de pastilles semi-conductrices en trois dimensions, et composant en résultant.
JPH09504654A (ja) 1993-08-13 1997-05-06 イルビン センサーズ コーポレーション 単一icチップに代わるicチップ積層体
US5502667A (en) 1993-09-13 1996-03-26 International Business Machines Corporation Integrated multichip memory module structure
WO1995009438A1 (en) 1993-09-30 1995-04-06 Kopin Corporation Three-dimensional processor using transferred thin film circuits
US5413659A (en) 1993-09-30 1995-05-09 Minnesota Mining And Manufacturing Company Array of conductive pathways
JP2980497B2 (ja) 1993-11-15 1999-11-22 株式会社東芝 誘電体分離型バイポーラトランジスタの製造方法
US5385909A (en) 1993-11-22 1995-01-31 American Home Products Corporation Heterocyclic esters of rapamycin
JPH07176688A (ja) 1993-12-20 1995-07-14 Mitsubishi Electric Corp 半導体集積回路
US5583688A (en) 1993-12-21 1996-12-10 Texas Instruments Incorporated Multi-level digital micromirror device
JPH07230696A (ja) * 1993-12-21 1995-08-29 Toshiba Corp 半導体記憶装置
US5457879A (en) 1994-01-04 1995-10-17 Motorola, Inc. Method of shaping inter-substrate plug and receptacles interconnects
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5480842A (en) 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
EP0769209B1 (de) 1994-07-05 2003-10-15 Infineon Technologies AG Verfahren zur herstellung einer dreidimensionalen schaltungsanordnung
US5880010A (en) 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
US5555212A (en) 1994-09-19 1996-09-10 Kabushiki Kaisha Toshiba Method and apparatus for redundancy word line replacement in a semiconductor memory device
IT1274925B (it) * 1994-09-21 1997-07-29 Texas Instruments Italia Spa Architettura di memoria per dischi a stato solido
DE4433833A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung unter Erreichung hoher Systemausbeuten
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
US5644144A (en) 1994-09-23 1997-07-01 Advanced Micro Devices, Inc. Device and method for programming a logic level within an integrated circuit using multiple mask layers
JP2806277B2 (ja) 1994-10-13 1998-09-30 日本電気株式会社 半導体装置及びその製造方法
JPH08134212A (ja) 1994-11-14 1996-05-28 Hitachi Ltd 配線構造体とその製造法
US6124179A (en) 1996-09-05 2000-09-26 Adamic, Jr.; Fred W. Inverted dielectric isolation process
US5527397A (en) * 1994-12-12 1996-06-18 National Science Council Photoelectric conversion device
US5577050A (en) 1994-12-28 1996-11-19 Lsi Logic Corporation Method and apparatus for configurable build-in self-repairing of ASIC memories design
US5534465A (en) 1995-01-10 1996-07-09 At&T Corp. Method for making multichip circuits using active semiconductor substrates
US6107213A (en) * 1996-02-01 2000-08-22 Sony Corporation Method for making thin film semiconductor
US5644277A (en) 1995-02-27 1997-07-01 Hughes Aircraft Company Three-wire-line vertical interconnect structure for multilevel substrates
US5733814A (en) * 1995-04-03 1998-03-31 Aptek Industries, Inc. Flexible electronic card and method
US5514628A (en) 1995-05-26 1996-05-07 Texas Instruments Incorporated Two-step sinter method utilized in conjunction with memory cell replacement by redundancies
JP3174715B2 (ja) 1995-05-26 2001-06-11 キヤノン株式会社 無線通信システムおよび無線端末装置
US6020257A (en) * 1995-06-07 2000-02-01 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5582939A (en) 1995-07-10 1996-12-10 Micron Technology, Inc. Method for fabricating and using defect-free phase shifting masks
US5620915A (en) * 1995-07-12 1997-04-15 United Microelectronics Corporation Method for bypassing null-code sections for read-only memory by access line control
US5675185A (en) 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US5854760A (en) 1995-11-10 1998-12-29 Nippon Telegraph And Telephone Corporation Two-dimensional PE array, content addressable memory, data transfer method and mathematical morphology processing method
US5627112A (en) 1995-11-13 1997-05-06 Rockwell International Corporation Method of making suspended microstructures
US5818748A (en) 1995-11-21 1998-10-06 International Business Machines Corporation Chip function separation onto separate stacked chips
US6445006B1 (en) 1995-12-20 2002-09-03 Advanced Technology Materials, Inc. Microelectronic and microelectromechanical devices comprising carbon nanotube components, and methods of making same
JP3127816B2 (ja) 1995-12-28 2001-01-29 日新電機株式会社 開閉装置
US6094733A (en) * 1996-01-25 2000-07-25 Kabushiki Kaisha Toshiba Method for testing semiconductor memory devices, and apparatus and system for testing semiconductor memory devices
US5787445A (en) 1996-03-07 1998-07-28 Norris Communications Corporation Operating system including improved file management for use in devices utilizing flash memory as main memory
US5719437A (en) 1996-04-19 1998-02-17 Lucent Technologies Inc. Smart cards having thin die
US5882532A (en) 1996-05-31 1999-03-16 Hewlett-Packard Company Fabrication of single-crystal silicon structures using sacrificial-layer wafer bonding
US5870176A (en) 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
US5656552A (en) 1996-06-24 1997-08-12 Hudak; John James Method of making a thin conformal high-yielding multi-chip module
US5760478A (en) 1996-08-20 1998-06-02 International Business Machines Corporation Clock skew minimization system and method for integrated circuits
US6045625A (en) 1996-12-06 2000-04-04 Texas Instruments Incorporated Buried oxide with a thermal expansion matching layer for SOI
US5786116A (en) 1997-02-14 1998-07-28 Micron Technology, Inc. Atom lithographic mask having diffraction grating aligned with primary mask pattern
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
DE19721738C1 (de) 1997-05-24 1998-11-05 Schott Glas Aluminosilicatglas für flache Anzeigevorrichtungen und Verwendungen
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
NO308149B1 (no) * 1998-06-02 2000-07-31 Thin Film Electronics Asa Skalerbar, integrert databehandlingsinnretning
US5998069A (en) 1998-02-27 1999-12-07 Micron Technology, Inc. Electrically programmable photolithography mask
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
US6230223B1 (en) * 1998-06-01 2001-05-08 Compaq Computer Corporation Dual purpose apparatus method and system for accelerated graphics or second memory interface
US6301653B1 (en) 1998-10-14 2001-10-09 Conexant Systems, Inc. Processor containing data path units with forwarding paths between two data path units and a unique configuration or register blocks
US6261728B1 (en) 1998-10-19 2001-07-17 Vanguard International Semiconductor Corporation Mask image scanning exposure method
US6197456B1 (en) * 1999-01-19 2001-03-06 Lsi Logic Corporation Mask having an arbitrary complex transmission function
US6320593B1 (en) 1999-04-20 2001-11-20 Agilent Technologies, Inc. Method of fast bi-cubic interpolation of image information
US6300935B1 (en) 1999-04-20 2001-10-09 Agilent Technologies, Inc. Image interpolation circuit architecture and method for fast bi-cubic interpolation of image information
US6236602B1 (en) * 2000-05-25 2001-05-22 Robert Patti Dynamic configuration of storage arrays
US6612083B1 (en) * 2001-03-27 2003-09-02 William J. Richards System of building construction
US7402897B2 (en) 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267962A (ja) * 2009-05-14 2010-11-25 Ims Nanofabrication Ag 結合電極を有するマルチビーム偏向器アレイ手段

Also Published As

Publication number Publication date
BR9306232A (pt) 1998-06-23
US6765279B2 (en) 2004-07-20
US5869354A (en) 1999-02-09
JP2009218606A (ja) 2009-09-24
US20050051841A1 (en) 2005-03-10
JPH07508614A (ja) 1995-09-21
US5580687A (en) 1996-12-03
US5840593A (en) 1998-11-24
WO1993021748A1 (en) 1993-10-28
US20040197951A1 (en) 2004-10-07
US20020014673A1 (en) 2002-02-07
JP4730672B2 (ja) 2011-07-20
US7763948B2 (en) 2010-07-27
JP2008270797A (ja) 2008-11-06
JP3816483B2 (ja) 2006-08-30
US7670893B2 (en) 2010-03-02
US7479694B2 (en) 2009-01-20
KR100585616B1 (ko) 2006-06-07
US6294909B1 (en) 2001-09-25
US20030218182A1 (en) 2003-11-27
US7820469B2 (en) 2010-10-26
US20050082626A1 (en) 2005-04-21
US7307020B2 (en) 2007-12-11
KR100374893B1 (ja) 2003-05-09
US5637907A (en) 1997-06-10
US7615837B2 (en) 2009-11-10
US20020045297A1 (en) 2002-04-18
KR950701136A (ko) 1995-02-20
EP1233444A3 (en) 2002-12-11
US5354695A (en) 1994-10-11
US7911012B2 (en) 2011-03-22
US20040132303A1 (en) 2004-07-08
US7385835B2 (en) 2008-06-10
JP2004179670A (ja) 2004-06-24
US20050176174A1 (en) 2005-08-11
KR100397214B1 (ja) 2003-09-13
KR20030097600A (ja) 2003-12-31
US20050156265A1 (en) 2005-07-21
US6713327B2 (en) 2004-03-30
US7485571B2 (en) 2009-02-03
US7550805B2 (en) 2009-06-23
EP1233444A2 (en) 2002-08-21
US5571741A (en) 1996-11-05
US5592007A (en) 1997-01-07
US5633209A (en) 1997-05-27
KR20040002953A (ja) 2004-01-07
US20080302559A1 (en) 2008-12-11
US5654220A (en) 1997-08-05
US20040150068A1 (en) 2004-08-05
EP0641485A4 (en) 1997-12-10
US20050082641A1 (en) 2005-04-21
US6682981B2 (en) 2004-01-27
US5592018A (en) 1997-01-07
EP0641485A1 (en) 1995-03-08
JP4648979B2 (ja) 2011-03-09
US5946559A (en) 1999-08-31
US20030057513A1 (en) 2003-03-27
US5834334A (en) 1998-11-10

Similar Documents

Publication Publication Date Title
JP3816483B2 (ja) 半導体処理リソグラフィ装置
US5985693A (en) High density three-dimensional IC interconnection
US6008126A (en) Membrane dielectric isolation IC fabrication
US6020257A (en) Membrane dielectric isolation IC fabrication
US7176545B2 (en) Apparatus and methods for maskless pattern generation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041019

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050117

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050120

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050524

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050818

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050920

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051219

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060314

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060516

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060607

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090616

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090616

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100616

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100616

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110616

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120616

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130616

Year of fee payment: 7

EXPY Cancellation because of completion of term