TWI845559B - 可用於次世代微影法中的硬遮罩製作方法 - Google Patents

可用於次世代微影法中的硬遮罩製作方法 Download PDF

Info

Publication number
TWI845559B
TWI845559B TW108140940A TW108140940A TWI845559B TW I845559 B TWI845559 B TW I845559B TW 108140940 A TW108140940 A TW 108140940A TW 108140940 A TW108140940 A TW 108140940A TW I845559 B TWI845559 B TW I845559B
Authority
TW
Taiwan
Prior art keywords
substrate
imaging layer
tin
making
terminated
Prior art date
Application number
TW108140940A
Other languages
English (en)
Other versions
TW202036673A (zh
Inventor
蒂莫西 威廉 魏德曼
凱蒂 納迪
吳呈昊
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202036673A publication Critical patent/TW202036673A/zh
Application granted granted Critical
Publication of TWI845559B publication Critical patent/TWI845559B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

基板之表面上的成像層可使用次世代微影技術加以圖案化,而產生的圖案化膜可被使用作為例如用於半導體裝置生產的微影遮罩。

Description

可用於次世代微影法中的硬遮罩製作方法
本揭露內容係關於在基板之表面上製作成像層的系統與方法。此種成像層可使用次世代微影技術加以圖案化,而產生的圖案化膜可被使用作為例如用於半導體裝置生產的微影遮罩。
在此提供的先前技術說明係大致呈現本技術之背景。在該先前技術段落中所述之目前列名發明人之工作、以及不可以其他方式認定為申請時之先前技術的實施態樣敘述皆不被明示或暗示地承認為針對本技術之先前技術。
例如積體電路之半導體裝置的加工為一涉及光微影的多步驟製程。一般來說,該製程包含在晶圓上沉積材料、以及透過微影技術對該材料進行圖案化以形成半導體裝置的結構特徵部(例如電晶體、導體及其他電路特徵部)。在本技術領域中為人所知的典型光微影製程的步驟包含:準備基板;例如藉由旋轉塗佈,以塗佈光阻;將光阻曝露至具有期望圖案的光,以使光阻的曝光區域更易或更不易溶於顯影劑溶液;藉由塗佈顯影劑溶液而進行顯影,以移除光阻的曝光或未曝光區域;以及進行例如藉由蝕刻或材料沉積的後續處理,以在基板的區域(光阻已自該區域移除)上產生特徵部。
半導體設計的演變已產生下列需求並且被下列能力所驅使:在半導體基板材料上產生越來越小的特徵部。此種技術進展已具有『摩爾定律(Moore’s Law)』的特徵,如在密集積體電路中之電晶體的密度每隔兩年會增加一倍。的確,晶片設計與製造已經進步,以使現代的微處理器在單一晶片上可容納數十億個電晶體以及其他電路特徵部。在此種晶片上的個別特徵部可為大約22奈米(nm)或更小,在某些情況下係小於10nm。
在製造具有此種小特徵部之裝置時的一個挑戰為可靠且可再現地產生具有足夠解析度之光微影遮罩的能力。目前的光微影製程一般係使用193nm紫外(UV,ultraviolet)光來使光阻曝光。光的波長明顯大於待生產在半導體基板上之特徵部的期望尺寸時會引起固有的問題。需要使用複雜的解析度增強技術(例如,多重圖案化)來達成小於光之波長的特徵尺寸。因此,對於發展所謂『次世代微影』的技術有著大量的關注與研究努力,該技術係使用電子束輻射或更短波長的光,例如具有小於20nm(如13.5nm)之波長的極紫外線(EUV,extreme ultraviolet)輻射。
然而,次世代微影技術可能出現挑戰。例如,EUV光微影製程的效能可能會被光源的低功率輸出以及在圖案化期間的光損失所限制。與在193nm UV微影中所使用者相似的傳統有機化學放大光阻(CAR,chemically amplified resists)當使用在EUV微影時具有潛在的缺點,尤其係,例如其在EUV區域中具有低吸收係數且光活化之化學物種的擴散可能導致模糊或線邊緣粗糙。再者,為了提供將下伏裝置層圖案化所需的抗蝕刻性,習知CAR材料的所需厚度可能導致處於圖案塌陷危險的高縱橫比。因此,仍存在對於改善之EUV光阻材料的 需求,該EUV光阻材料具有例如減少之厚度、較大之吸收度、以及較大之抗蝕刻性的特性。
本揭露內容提供在基板之表面上製作成像層的方法。可使用次世代微影技術(通常例如DUV、EUV、X光以及電子束),將此種成像層圖案化成化學相異區域(即,表面成像)。產生的圖案化膜可被使用作為例如用於半導體裝置生產的微影遮罩。
在某些實施例中,依照本揭露內容的方法可包含產生SnOx薄膜的成像層,該SnOx薄膜係以所選擇的烷基基團封端,以使其將在以EUV光照射時歷經錫-碳鍵斷裂,例如β-氫化物消除反應。在EUV圖案化步驟中,可使烷基基團斷裂,留下Sn-H鍵的區域,而未曝光表面則保持烷基封端。
在一實施例中,一種在基板上製作成像層的方法包含:提供具有一表面的一基板,該表面包含曝露羥基基團;以及在該基板之該表面上形成一烴基封端SnOx膜以作為該成像層,該烴基封端SnOx膜具有錫-碳鍵,該錫-碳鍵可藉由照射該成像層而斷裂。
在某些實施例中,基板表面可包含成為烴基封端SnOx膜成像層的SnOx底層。在基板材料之表面上的羥基封端SnOx底層可增強在照射成像層時的輻射吸收,並且從基板產生二次電子而進一步獲得額外的EUV光子,以使EUV圖案化製程更加靈敏並且降低對於成像層曝光所需的要求EUV劑量。
在各種實施例中,成像層可藉由表現出自我限制特徵的原子層沉積製程所沉積。在其他實施例中,成像層為藉由(非自我限制之)化學氣相沉積製程所沉積的一薄膜。
例如,烷基取代的錫封端劑可具有下式:RnSnX4-n
其中,R為C2-C10烷基或取代之烷基取代基。X可為輕易被水所取代而形成羥基中間體的任何合適之脫離基團,羥基中間體接著與其他Sn-X官能基進行反應而產生Sn-O-Sn交聯。在各種實施例中,R為支鏈、具有多個β-氫原子(最大數係相當於叔丁基取代基)。例如,R可為叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、或正己基或其衍生物、以及含有一或更多雜原子(例如氟、氯、溴、碘、氮以及氧)的類似材料。
本技術亦提供使用EUV或其他輻射在塗佈基板之表面上形成圖案的方法,該塗佈基板係藉由本技術之方法所製作。塗佈基板的進一步處理可利用曝光與未曝光區域的化學差異,尤其係在成像層的曝光區域中,使烴基封端SnOx轉變成氫封端SnOx。曝光與未曝光區域之間的特性差異在後續處理中可被加以利用,例如藉由使照射區域、未照射區域、或兩者與一或更多試劑進行反應,以選擇性地將材料加入至成像層或從成像層移除材料。
在各種實施例中,本技術提供對在基板之表面上之薄抗蝕刻硬遮罩層進行圖案化的方法,包含:提供包含一基板材料的一基板,該基板材料具有包含曝露羥基基團的一表面;在該表面上沉積一成像層,該成像層包含烴基封端SnOx; 選擇性地照射該成像層,以使該成像層包含一照射區域以及一未照射區域,在該照射區域中,在該烴基封端成像層之一SnOx官能基上的一烴基取代基被移除及/或轉變成一氫封端SnOx,而在該未照射區域中,該成像層包含該烴基封端SnOx;以及藉由使該照射區域、該未照射區域、或兩者與一或更多試劑進行反應而處理該成像層,以選擇性地沉積材料或從該成像層移除材料。
照射之步驟可包含DUV、EUV、X光或電子束輻射的使用。在某些實施例中,處理更包含使照射區域中的氫封端(Sn-H)官能基氧化,以形成Sn-OH羥基封端SnOx
吾人將可從詳細說明內容、請求項與圖式而明白本技術之應用性的進一步範圍。詳細說明內容與具體範例係僅為了例示之目的而提出,且不意欲限制本技術之範圍。
110:步驟
120:步驟
130:步驟
140:步驟
150:步驟
從詳細說明內容與隨附圖式,本技術將變得更令人完整理解,其中:圖1係本技術之一示範製程的流程圖。
圖2係描繪基板當其在本技術之一示範製程中被形成時的概括架構。
圖3係進一步描繪另一基板當其在本技術之一示範製程中被形成時的概括架構。
圖4係進一步描繪另一基板當其在本技術之一示範製程中被形成時的概括架構。
圖5係本技術之一示範負型光阻製程的流程圖。
圖6係概略地描繪遮罩當其在圖5之負型光阻製程中被形成時的概括架構。
圖7A-B係以樣品化學結構描繪遮罩當其在圖5之負型光阻製程中被形成時的概括架構。
圖8係本技術之一替代示範負型光阻製程的流程圖。
圖9係描繪遮罩當其在圖8之負型光阻製程中被形成時的概括架構。
圖10係一流程圖,其例示本技術之用以在基板上形成自組裝溶膠凝膠的製程。
圖11係一流程圖,其例示本技術之用以在基板上形成自組裝嵌段共聚物的製程。
圖12係一流程圖,其例示本技術之用以在基板上選擇性成長金屬裝置結構的製程。
圖13係描繪當金屬在圖12之製程中被沉積時之基板的概括架構。
在此詳細說明本揭露內容之具體實施例。該等具體實施例的範例係顯示在隨附圖式中。雖然本揭露內容將與這些具體實施例一起被說明,但吾人可理解此並非意欲將本揭露內容限制於此種具體實施例。相反地,此係意欲涵蓋可被包含在本揭露內容之精神與範圍內的替代、修改、以及等效設計。在下列說明內容中,為了提供本揭露內容的徹底理解而提出許多具體細節。本揭露內容可在不具有某些或所有這些具體細節的情況下被實現。在其他情況下,已不詳細說明為人所熟知的製程操作,以不對本揭露內容造成不必要的混淆。
如上所述,本揭露內容提供在半導體基板上製作成像層的方法,該等成像層可使用EUV或其他次世代微影技術加以圖案化。除了EUV(其在使用與顯影時一般包含標準13.5nm EUV波長)以外,與此種微影最相關的輻射源為深UV(DUV,deep-UV)(其通常係涉及248nm或193nm準分子雷射源的使用)、X光(其正規地包含在X光範圍之較低能量範圍的EUV)、以及電子束(其可涵蓋寬能量範圍)。此種方法包含下列方法:使具有曝露羥基基團的基板與烴基取代的錫封端劑接觸,以在該基板之表面上形成烴基封端SnOx膜而作為成像層。在各種實施例中,成像層為一薄層,其可在例如形成用於微影製程之硬遮罩時作為在基板之上的黏著層,以促進在基板上之額外材料的選擇性沉積。該等特定方法可取決於在半導體基板與最終半導體裝置中所使用的特定材料與應用。因此,本申請案中所述之方法僅為在本技術中可被使用之方法與材料的範例。
基板
可用於本技術之方法的基板可包含適用於微影處理(特別係適用於積體電路與其他半導體裝置之生產)的任何材料構造。在某些實施例中,基板為矽晶圓。基板可為於其上已產生具有不規則表面地形之特徵部(『下伏地形特徵部』)的矽晶圓。(如在此所提及,『表面』為待將本技術之膜沉積至其上的表面或者為在處理期間待曝露至EUV的表面。)此種下伏地形特徵部可包含在執行本技術的方法之前,在處理期間於其中已移除材料(例如藉由蝕刻)的區域或於其中已加入材料(例如藉由沉積)的區域。此種事前處理可包含本技術的方法或迭代製程(藉由該製程,兩或更多層的特徵部被形成在基板上)中的其他處理方法。
在某些實施例中,基板為一硬遮罩,其用於下伏半導體材料的微影蝕刻。硬遮罩可包含任何各式各樣的材料,其包括非晶碳(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、W摻雜C、WOx、HfO2、ZrO2、以及Al2O3。舉例來說,基板較佳可包含SnOx,例如SnO2。在各種實施例中,該層可為從1nm到100nm厚,或從2nm到10nm厚。
在各種實施例中,基板包含在其表面上的曝露羥基基團。一般而言,該表面可為包含曝露羥基基團或經處理而產生曝露羥基基團的任何表面。(如在此所提及,『表面』係指基板的一部分,其界定基板與另一材料(或缺乏另一材料(例如氣體、塗層或真空))之間的邊界,且在各種實施例中,可用於曝露至輻射或者與其他材料中的成分反應。)因此,方法可包含『提供』此種基板,其中除了本技術之方法以外,如在上文中所提到以及進一步在下文中所敘述,具有曝露羥基基團的基板被獲得以作為起始材料、或者被產生以作為包含在基板上形成羥基基團並且接著使表面與羥基取代之錫封端劑接觸的單一製程的部分。例如,可藉由使用氧電漿、水電漿(water plasma)、或臭氧的基板表面處理,將此種羥基基團形成在基板的表面上。
在某些實施例中,包含曝露羥基基團的基板係包含表面層或膜,該表面層或膜包含羥基封端SnOx。例如,基板可包含具有羥基封端SnOx之表面的非晶碳。在不限制本技術之機制、功能或效用的情況下,吾人認為羥基封端SnOx層可提供下列好處:例如,改善沉積在基板之表面上之材料的黏著性以及增強在圖案化期間之EUV(或其他輻射)的吸收。對於EUV或其他輻射的敏感度與解析度可取決於SnOx層的特性,例如厚度、密度以及短程電荷轉移特徵。在各 種實施例中,SnOx層具有從0.1nm到20nm、或從0.2nm到10nm、或從0.5nm到5nm的厚度。
在某些實施例中,藉由氣相沉積製程在基板的表面上沉積羥基封端SnOx層。在此種方法中,該沉積製程包含使Sn-Xn與含氧相對反應物(counter-reactant)反應,其中X為配位基,例如二烷基胺基(如二甲基胺基、甲基乙基胺基、以及二乙基胺基)、醇(如叔丁氧基、以及異丙氧基)、鹵素(如F、Cl、Br、以及I)、或其他有機取代基(如乙醯丙酮、N2,N3-二-叔丁基-丁烷-2,3-二胺基)。例如,Sn-Xn可為SnCl4、SnI4、或Sn(NR2)4(其中R為甲基或乙基)、或Sn(t-BuO)4。在某些實施例中,存在多個類型的配位基。含氧相對反應物可選自於由水、過氧化氫、甲酸、醇、氧、臭氧、以及其組合所組成的群組。
合適的氣相沉積製程包含化學氣相沉積(CVD,chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、電漿增強化學氣相沉積(PECVD,plasma-enhanced chemical vapor deposition)、或電漿增強原子層沉積(PEALD,plasma-enhanced atomic layer deposition)。在某些實施例中,該沉積製程為ALD,其為沉積Sn-Xn以及沉積含氧相對反應物的循環製程。在某些實施例中,該沉積製程為CVD,其係藉由同時流動Sn-Xn與含氧相對反應物。在此可用於沉積SnOx層的材料與製程係描述於Nazarov等人所著的Atomic Layer Deposition of Tin Dioxide Nanofilms:A Review(40 Rev.Adv.Mater.Sci 262(2015))中。
在一示範連續CVD製程中,以個別的入口路徑,將Sn-Xn與含氧相對反應物來源的兩或更多氣體流導入至CVD設備的沉積腔室,於此處,該等氣體流係以氣相進行混合與反應,以在基板上形成SnOx塗層。該等流可例如使 用雙充氣部噴淋頭加以導入。該設備的設置係使Sn-Xn與含氧相對反應物來源的流在該腔室中混合,以允許Sn-Xn與含氧相對反應物來源進行反應而形成SnOx層。該CVD製程一般係於例如從0.1Torr到10Torr的降低壓力下執行。在某些實施例中,該製程係於1-2Torr下執行。基板的溫度較佳係低於反應物流的溫度。例如,基板溫度可從0℃到250℃、或從環境溫度(例如23℃)到150℃。
SnOx基板亦可藉由ALD製程加以沉積。例如,Sn-Xn與含氧相對反應物係於不同時間被導入。對於每一脈衝,這些前驅物在表面上反應,每次形成單層的材料。此可提供對橫越表面之膜厚度均勻性的優異控制。該ALD製程一般係於例如從0.1Torr到10Torr的降低壓力下執行。在某些實施例中,該製程係於1-2Torr下執行。基板溫度可從0℃到250℃、或從環境溫度(例如23℃)到150℃。該製程可為熱製程,或者較佳為電漿輔助沉積製程。
錫封端劑
可用於此處之烴基取代的錫封端劑包含在進行成像層之照射時經歷錫-碳鍵斷裂的取代基。此種斷裂可為均勻斷裂的(homolytic)。在某些實施例中,此斷裂可因β-氫化物消除反應(beta-hydride elimination)而發生,以使烯片段鬆脫而留下與錫原子鍵結的氫原子,該錫原子起初係帶有烷基取代基。
可根據所預期的微影製程(即,待使用之特定輻射)來選擇特定錫封端劑。又,烴基取代的錫封端劑可被選擇,以便作為對於到基板上之後續材料沉積的阻障。因此,在某些實施例中,烴基取代的錫封端劑為原子層沉積封鎖劑(blocking agent),以防止來自與表面接觸之溶液的可溶性金屬氧化物前驅物的附著或成長。
在各種實施例中,烴基取代的錫封端劑係烷基取代,例如具有下列通式的試劑:RnSnXm
其中,R為C2-C10烷基或具有β-氫的取代烷基,X為在與曝露羥基基團之一羥基基團反應時的合適脫離基團,且在各種實施例中,n=1-3,以及m=4-n。例如,R可為叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、或正己基或在β位置上具有雜原子取代基的其衍生物。合適的雜原子包含鹵素(F、Cl、Br、或I)、或氧(-OH或-OR)。X可為二烷基胺基(例如二甲基胺基、甲基乙基胺基、或二乙基胺基)、醇(例如叔丁氧基、異丙氧基)、鹵素(例如F、Cl、Br、或I)、或另一有機配位基。烴基取代之錫封端劑的範例包含三(二甲胺基)叔丁基錫(t-butyltris(dimethylamino)tin)、三(二甲胺基)正丁基錫(n-butyltris(dimethylamino)tin)、三(二乙胺基)叔丁基錫(t-butyltris(diethylamino)tin)、二(二甲胺基)二(叔丁基)錫(di(t-butyl)di(dimethylamino)tin)、三(二甲胺基)仲丁基錫(secbutyltris(dimethylamino)tin)、三(二甲胺基)正戊基錫(n-pentyltris(dimethylamino)tin)、三(二甲胺基)異丁基錫(isobutyltris(dimethylamino)tin)、三(二甲胺基)異丙基錫(isopropyltris(dimethylamino)tin)、三(叔丁氧基)叔丁基錫(t-butyltris(t-butoxy)tin)、三(叔丁氧基)正丁基錫(n-butyl(tris(t-butoxy)tin)、或三(叔丁氧基)異丙基錫(isopropyltris(t-butoxy)tin)。
製作成像層的方法
在各種實施樣態中,本技術之方法包含:提供具有表面的基板,其中該表面包含曝露羥基基團;以及使該表面與烴基取代之錫封端劑接觸,以在該基板之該表面上形成烴基封端SnOx膜而作為成像層。
一般而言,可使用任何合適的技術來執行使表面與烴基取代之錫封端劑接觸的步驟,較佳係在表面上產生封端劑的均勻分佈。此種方法包含氣相沉積技術,例如ALD與CVD。水亦可被供給,以促進封端劑與基板之曝露羥基基團的反應。此種方法可使用封端劑與水的重複塗佈,以形成充分以烴基取代之錫封端官能基所充滿的表面。對額外的以烷基為基礎(alky-based)的試劑(例如烷基硫醇)的曝露可用以在基板之表面上形成具有增加烴基取代基數量的表面。
在一示範連續CVD製程中,以個別的入口路徑,將RnSn-Xm與含氧相對反應物來源的兩或更多氣體流導入至CVD設備的沉積腔室,於此處,該等氣體流係以氣相進行混合、反應,並且在基板上凝結形成SnOx塗層。該等流可例如使用雙充氣部噴淋頭加以導入。該設備的設置係使RnSn-Xm與含氧相對反應物來源的流在該腔室中混合,以允許RnSn-Xm與含氧相對反應物來源進行反應而形成SnOx層。該CVD製程一般係於例如從0.1Torr到10Torr的降低壓力下執行。在某些實施例中,該製程係於1-2Torr下執行。基板的溫度較佳係低於反應物流的溫度。例如,基板溫度可從0℃到250℃、或從環境溫度(例如23℃)到150℃。
SnOx成像層亦可藉由ALD製程加以沉積。在此種情況下,RnSn-Xm與含氧相對反應物係於不同時間被導入,此表示一ALD循環。對於每一ALD循環,這些前驅物在表面上反應,每次形成單層的材料。此可提供對橫越晶圓之膜厚度均勻性的優異控制。該ALD製程一般係於例如從0.1Torr到10Torr的降低壓力下執行。在某些實施例中,該製程係於1-2Torr下執行。基板溫度可從0℃到250℃、或從環境溫度(例如23℃)到150℃。該製程可為熱驅動製程。該膜被預期不會在第一循環之後明顯成長,且後續的循環被設計成進一步使表面充滿R封端Sn。
圖案化
本技術亦提供方法,其中藉由將成像層的區域曝露至輻射(例如EUV、DUV或電子束),以對成像層進行圖案化。在此種圖案化中,輻射被集中在成像層的一或更多區域。一般而言,曝露的執行係使得成像層膜包含未曝露至輻射的一或更多區域。產生的成像層可包含複數曝光或未曝光區域,以產生與電晶體或半導體裝置之其他特徵部之建立一致的圖案,該圖案係藉由在基板的後續處理中加入材料或從基板移除材料而形成。可用於此處的EUV、DUV以及電子束輻射方法與設備包含在該技術領域中為人所知的方法與設備。
尤其,透過圖案化來產生成像層的區域,該等區域係相對於未曝光區域而具有改變的物理或化學特性。尤其,在各種實施例中,特別係當在真空中使用EUV來執行曝露時,於成像層的曝光區域中,使存在於表面上的烴基封端SnOx轉變成氫封端SnOx。然而,將曝光之成像層從真空移動到空氣中、或經控制的氧、臭氧、H2O2或水之導入,可導致表面Sn-H氧化成Sn-OH。曝光與 未曝光區域之間的特性差異可在後續處理中被加以利用,例如藉由使照射區域、未照射區域、或兩者與一或更多試劑進行反應,以選擇性地將材料加入至成像層或從成像層移除材料。
因此,在各種實施例中,本技術提供在基板之表面上製作微影硬遮罩的方法,其包含:提供基板,該基板包含具有表面的基板材料,該表面包含曝露羥基基團;在該表面上沉積成像層,該成像層包含烴基封端SnOx;選擇性地照射該成像層,以使該成像層包含照射區域與未照射區域,於該照射區域中,該成像層的烴基封端SnOx已轉變成氫封端SnOx,而在該未照射區域中,該成像層包含烴基封端SnOx;以及藉由使該照射區域、該未照射區域、或兩者與一或更多試劑進行反應而處理該成像層,以選擇性地將材料加入至該成像層或從該成像層移除材料。在各種實施例中,該基板材料包含非晶碳或SnOx
可選擇地,成像層被加熱或『烘烤』,俾能去除過剩的水分並且驅動Sn-O-Sn交聯反應。舉例而言,樣品可在下列條件下進行烘烤,如此R-Sn鍵將不會顯著地斷裂:例如,在50℃與200℃之間烘烤5分鐘、或在70℃與150℃之間烘烤2分鐘。
微影處理
如上所述,在照射之後,成像層的後續處理將取決於基板材料以及使用基板所製作之半導體裝置的期望特徵部。舉例來說,可藉由例如使用膜之旋轉塗佈應用的各種微影技術,在基板上產生特徵部,該等膜在由圖案化曝 光工具所界定的曝光(正型)或未曝光(負型)區域中變成選擇性地溶於液體顯影劑。
本技術之微影方法大致描繪於圖1之製造流程中。如圖所示,藉由在基板材料上沉積羥基封端SnOx『底層(underlayer)』,以形成基板(110)。在基板之表面上的羥基封端SnOx底層可增強在照射成像層時的輻射吸收並且從基板產生二次電子而進一步獲得額外的EUV光子,以使EUV圖案化製程更加靈敏並且降低對於成像層曝光所需的要求EUV劑量。
接著,藉由以烴基取代的錫封端劑來沉積表面而形成成像層,以在基板之表面上形成烴基封端SnOx膜(120)。之後,將基板曝露至輻射(例如使用EUV)(130),並且可選擇地,烘烤該基板(140)。然後,處理成像層表面(150)。圖2係以樣品化學結構概略地描繪基板當其在此種製程中被形成時的概括架構。圖3係描繪此種製程的具體實例,其中成像層係由烴基取代的錫封端劑(叔丁基Sn(N(CH3)2)3)所形成。圖4係描繪由錫封端劑(其為n-BuSn(OtBu)3)所形成之活性(EUV鬆脫)PR黏著成像層的一替代例。
在某些方法中,此處理包含使照射區域中的氫封端SnOx氧化,以形成羥基封端SnOx。該氧化可包含將照射區域曝露至氧或水。在某些方法中,後續處理包含移除照射區域中的羥基封端SnOx,以曝露並蝕刻下伏基板材料。可藉由以稀釋含水之氫氟酸或稀釋含水之四甲基氫氧化銨(TMAH,tetramethylammonium hydroxide)來處理圖案化膜而執行該蝕刻。處理可更包含使用氧電漿之下伏基板層的蝕刻。
在某些實施例中,處理更包含在照射區域的羥基封端SnOx上沉積金屬氧化物,該金屬氧化物可操作為硬遮罩。此種硬遮罩材料可包含選自於由 SnO2、SiO2、TiO2、WOx、HfO2、ZrO2、Ta2O5、Nb2O5、Bi2O3、GeO2、ZnO、V2O5、以及Al2O3所組成之群組的金屬氧化物。可例如藉由ALD來執行該沉積。
在本技術的某些方法中,藉由氫或甲烷電漿來移除未照射區域的烴基封端SnOx,以曝露下伏非晶碳層。處理可更包含使用氧電漿之下伏基板材料的蝕刻。
在不限制本技術之機制、功能或效用的情況下,吾人認為,在某些實施例中,本技術的微影方法提供了優於在該技術領域中為人所知之方法的好處,例如避免塗佈與移除濕光阻製劑(例如避免髒版(scumming)以及圖案變形)的需求、簡化例如在EUV或其他輻射之後於連續製程中使曝光基板在真空下顯影的製程、透過極薄金屬氧化物結構的使用而降低圖案塌陷、改善線邊緣粗糙、以及提供按照特定基板與半導體裝置設計來調整硬遮罩化學品的能力。
額外實施例
如上所述,本技術之特定後成像方法與應用可根據基板與期望裝置設計而包含任何各式各樣的材料與製程。成像層的處理可取決於基板材料與待使用基板製作之半導體裝置的期望特徵部。例如,可藉由各種標準微影技術(一般包含膜的塗布,該等膜在由圖案化曝光工具所界定的曝光(正型)或未曝光(負型)區域中變成選擇性地溶於顯影劑中),在基板上產生特徵部。處理亦可包括製作包含定向自組裝(DSA,directed self-assembled)之嵌段共聚物(BCP,block co-polymers)的微影遮罩、溶膠-凝膠的定向自組裝、以及藉由原子層沉積或化學氣相沉積之材料(例如金屬或金屬氧化物)的選擇性沉積。
例如,在某些實施例中,成像層與基板的處理係產生正型遮罩。此種方法可包含:選擇性地照射藉由本技術之方法所製作之基板之成像層的區域;例如使照射區域與空氣或水進行反應而氧化在照射區域中的氫封端SnOx,以形成羥基封端SnOx;使照射區域與稀釋含水之氫氟酸(HF)或稀釋含水之四甲基氫氧化銨(TMAH)接觸而蝕刻曝露羥基封端表面,以曝露下伏基板材料(例如非晶碳);以及以氧電漿來蝕刻曝露底層。
在某些實施例中,成像層與基板的處理係產生負型光阻。例如,此種方法可包含:選擇性地照射藉由本技術之方法所製作之基板之成像層的區域;例如使照射區域與空氣或水進行反應而氧化在照射區域中的氫封端SnOx,以形成羥基封端SnOx;例如藉由ALD,將金屬氧化物硬遮罩選擇性地沉積在羥基封端區域上;例如使用以H2、CH4或BCl3為基礎的電漿來移除基板的未曝光區域(即,具有烴基封端SnOx的區域),以曝露下伏基板(例如非晶碳);以及以氧電漿來蝕刻曝露下伏基板。
一此種製程的要件係描繪於圖5的製造流程中。圖6、以及圖7A-B係分別以概略方式及以樣品化學結構描繪遮罩當其在一此種負型光阻製程中被形成(從曝露表面的羥化反應開始)時的概括架構。
一替代負型光阻製程的要件係描繪於圖8中。在此製程中,照射區域中的氫封端SnOx未被氧化。而是,例如藉由ALD,將金屬或金屬氧化物硬遮罩沉積在照射區域的Sn-H表面上。用於氫化物表面上之金屬之ALD沉積的一般方法與條件包含在下列文獻中所說明者:Kwon等人所著的Substrate Selectivity of( t Bu-Allyl)Co(CO) 3 during Thermal Atomic Layer Deposition of Cobalt(24 Chem.Mater.1025(2012))、以及Lemaire等人所著的Understanding inherent substrate selectivity during atomic layer deposition:Effect of surface preparation,hydroxyl density,and metal oxide composition on nucleation mechanisms during tungsten ALD(146 J.Chem.Phys.052811(2017))。在此種製程中,從照射到ALD沉積,基板可被維持於真空下,以簡化在製程期間的材料搬運程序並且潛在地提供製造效率。圖9係描繪遮罩當其在一替代負型光阻製程中被形成時的概括架構。
在某些實施例中,成像層與基板的處理係使用負型圖案化。例如,此種方法可包含:選擇性地照射藉由本技術之方法所製作之基板之成像層的區域;例如使照射區域與空氣或水進行反應而氧化在照射區域中的氫封端SnOx,以形成羥基封端SnOx;將金屬溶膠-凝膠氧化物的溶液(例如偕同硝酸在pH 2下旋轉塗佈四乙氧基矽烷)選擇性地沉積在羥基封端區域上,以在照射區域上形成金屬氧化物蝕刻遮罩;移除(例如藉由沖洗)未反應的溶膠-凝膠溶液;例如使用氫或甲烷電漿來移除基板的未曝光區域(即,具有烴基封端SnOx的區域),以曝露下伏基板(例如非晶碳);以及 以氧電漿來蝕刻曝露下伏基板。
用於製作溶膠-凝膠的材料與方法係描述於Hench等人所著的The Sol-Gel Process(90 Chem.Rev.33(1990))、以及Lu等人所著的Continuous formation of supported cubic and hexagonal mesoporous films by sol-gel dip-coating(389 Nature 364(1997))中。此種製程的要件係描繪於圖10的製造流程中。
在某些實施例中,成像層與基板的處理包含嵌段共聚物的沉積與自組裝,以對成像表面的親水性與疏水性區域進行圖案化而產生硬遮罩。例如,此種用以產生硬遮罩的方法可包含:選擇性地照射藉由本技術之方法所製作之基板之成像層的區域;例如使照射區域與空氣或水進行反應而氧化在照射區域中的氫封端SnOx,以形成羥基封端SnOx;以嵌段共聚物反應物來塗佈表面;對表面進行退火,以產生組裝嵌段共聚物;選擇性地移除嵌段共聚物的取代基,以形成遮罩;以及以氧電漿來蝕刻曝露底層。
在某些實施例中,在以嵌段共聚物反應物進行塗佈之前,可將親水性金屬氧化物沉積在成像表面的親水性區域(即,照射區域)上,以產生引導嵌段共聚物之自組裝的地形。用於製作自組裝嵌段共聚物的材料與方法係描述於Hamley所著的Nanostructure fabrication using block copolymers(14 Nanotechnology R39(2003))中。此種製程的要件係描繪於圖11的製造流程中。此方法可降低特徵部的尺寸並且潛在地降低與BCP自組裝相關的缺陷。
本技術亦提供在基板上選擇性成長例如用於硬遮罩之無電金屬裝置結構的方法。舉例而言,可將表面曝露至氧化性金屬離子(例如Pd+2鹽)的水溶液,以藉由無電沉積(ELD,electroless deposition),選擇性地沉積用於導電鈷、鎳或銅特徵部之後續選擇性成長的催化性Pd『晶種』原子層。在其他實施例中,可藉由對含水之酸蝕刻劑(例如濃HF或草酸溶液)的短暫曝露而選擇性地蝕刻掉以錫為基礎之薄成像層的曝露『去保護』區域(其不再為疏水性)。
例如,此種方法包含:選擇性地照射藉由本技術之方法所製作之基板之成像層的區域;將鈀(Pd)活化層選擇性地沉積在基板的曝光區域(即,具有Sn-H表面官能基的區域)上;以及藉由無電沉積,將金屬(例如鈷)沉積在Pd活化層上。
在各種實施例中,所沉積的金屬為後過渡金屬,例如鈷、鎳、銅、或其混合物。在不限制本技術之機制、功能或效用的情況下,吾人認為,與在該技術領域中為人所知的刪減技術(其包含金屬的覆蓋式沉積,之後進行圖案化移除)相比,在某些實施例中,此種方法可提供用以將金屬特徵部沉積在僅需要此種特徵部之基板上的簡單附加圖案化方法。此種製程的要件係描繪於圖12的製造流程中並且顯示於圖13中。
結論
提供在基板之表面上製作成像層的方法,該等成像層可使用次世代微影技術加以圖案化成化學相異區域(即,表面成像)。產生的圖案化膜可被使用作為例如用於半導體裝置生產的微影遮罩。
吾人理解,在此所述之範例與實施例僅係為了例示之目的,且熟悉本項技術者將聯想到根據該等範例與實施例的各種修改或變化。雖然已經為了清楚之目的而省略各種細節,但各種設計替代可被實現。因此,本案範例將被視為例示性而非限制性,且本揭露內容將不被限制於在此所提出的細節,而係可在本揭露內容與隨附請求項的範圍內進行修改。
110:步驟
120:步驟
130:步驟
140:步驟
150:步驟

Claims (40)

  1. 一種在基板上製作成像層的方法,該方法包含下列步驟:提供一基板,該基板具有包含曝露羥基基團的一表面;以及在該基板的該表面上形成一烴基封端SnOx膜以作為一成像層,該烴基封端SnOx膜具有錫-碳鍵,該錫-碳鍵可藉由照射該成像層而斷裂。
  2. 如請求項1之在基板上製作成像層的方法,其中形成該烴基封端SnOx膜之步驟包含使該基板的該表面與一烴基取代的錫封端劑接觸,該烴基取代的錫封端劑在進行該成像層之照射時經歷錫-碳鍵斷裂。
  3. 如請求項2之在基板上製作成像層的方法,其中該烴基取代的錫封端劑作為一原子層沉積封鎖劑,以防止來自與該表面接觸之溶液的可溶性金屬氧化物前驅物的附著或成長。
  4. 如請求項2或3之在基板上製作成像層的方法,其中該烴基取代的錫封端劑具有下式:RnSnX4-n其中,R為C2-C10烷基或具有β-氫的取代烷基,X為在與該曝露羥基基團之一羥基基團反應時的一脫離基團,以及n=1-3。
  5. 如請求項4之在基板上製作成像層的方法,其中R係選自於由叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、正己基、以及在β位置上具有一雜原子取代基的其衍生物所組成的群組。
  6. 如請求項4之在基板上製作成像層的方法,其中X係選自於由二烷基胺基、醇、以及鹵素所組成的群組。
  7. 如請求項2之在基板上製作成像層的方法,其中該烴基取代的錫封端劑係選自於由三(二甲胺基)叔丁基錫(t-butyltris(dimethylamino)tin)、三(二甲胺基)正丁基錫(n-butyltris(dimethylamino)tin)、三(二乙胺基)叔丁基錫(t-butyltris(diethylamino)tin)、二(二甲胺基)二(叔丁基)錫(di(t-butyl)di(dimethylamino)tin)、三(二甲胺基)仲丁基錫(secbutyltris(dimethylamino)tin)、三(二甲胺基)正戊基錫(n-pentyltris(dimethylamino)tin)、三(二甲胺基)異丁基錫(isobutyltris(dimethylamino)tin)、三(二甲胺基)異丙基錫(isopropyltris(dimethylamino)tin)、三(叔丁氧基)叔丁基錫(t-butyltris(t-butoxy)tin)、三(叔丁氧基)正丁基錫(n-butyl(tris(t-butoxy)tin)、以及三(叔丁氧基)異丙基錫(isopropyltris(t-butoxy)tin)所組成的群組。
  8. 如請求項1-3其中任一項之在基板上製作成像層的方法,其中該基板包含非晶碳(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、W摻雜C、WOx、HfO2、ZrO2、Al2O3或Bi2O3
  9. 如請求項1-3其中任一項之在基板上製作成像層的方法,其中該提供之步驟包含在該基板的該表面上形成一羥基封端SnOx底層。
  10. 如請求項9之在基板上製作成像層的方法,其中該形成之步驟包含藉由氣相沉積在該表面上沉積一羥基封端SnOx底層。
  11. 如請求項10之在基板上製作成像層的方法,其中該沉積之步驟包含Sn-Xn與一含氧相對反應物的反應,其中X為二烷基胺基、醇、或鹵素。
  12. 如請求項11之在基板上製作成像層的方法,其中Sn-Xn為SnCl4、SnI4、或Sn(NR2)4、或Sn(t-BuO)4,其中R為甲基或乙基。
  13. 如請求項11之在基板上製作成像層的方法,其中該含氧相對反應物係選自於由水、過氧化氫、甲酸、醇、氧、臭氧、氧電漿、水電漿、以及其組合所組成的群組。
  14. 如請求項10之在基板上製作成像層的方法,其中該氣相沉積為化學氣相沉積(CVD,chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、電漿增強化學氣相沉積(PECVD,plasma-enhanced chemical vapor deposition)、或電漿增強原子層沉積(PEALD,plasma-enhanced atomic layer deposition)。
  15. 如請求項10之在基板上製作成像層的方法,其中該沉積之步驟為沉積該Sn-Xn以及沉積該含氧相對反應物的一ALD循環製程。
  16. 如請求項10之在基板上製作成像層的方法,其中該沉積之步驟為包含同時沉積該Sn-Xn與該含氧相對反應物的一CVD製程。
  17. 如請求項1-3其中任一項之在基板上製作成像層的方法,其中該成像層具有從0.5nm到5nm的厚度。
  18. 如請求項1-3其中任一項之在基板上製作成像層的方法,其中該基板包含下伏地形特徵部。
  19. 如請求項1-3其中任一項之在基板上製作成像層的方法,更包含照射該成像層以形成至少一曝光區域,其中在該曝光區域中,使烴基封端SnOx轉變成氫封端SnOx
  20. 如請求項19之在基板上製作成像層的方法,其中該照射之步驟包含DUV、EUV、X光或電子束輻射的使用。
  21. 如請求項9之在基板上製作成像層的方法,其中在該基板之該表面上的該羥基封端SnOx底層增強在照射該成像層時的輻射吸收。
  22. 如請求項20之在基板上製作成像層的方法,其中該照射之步驟包含EUV輻射的使用。
  23. 一種在基板之表面上製作微影硬遮罩的方法,包含下列步驟:提供包含一基板材料的一基板,該基板材料具有包含曝露羥基基團的一表面;在該表面上沉積一成像層,該成像層包含烴基封端SnOx;選擇性地照射該成像層,以使該成像層包含一照射區域以及一未照射區域,在該照射區域中,在該成像層之一SnOx官能基上的一烴基取代基被移除及/或轉變成一氫封端SnOx,而在該未照射區域中,該成像層包含該烴基封端SnOx;以及藉由使該照射區域、該未照射區域、或兩者與一或更多試劑進行反應而處理該成像層,以選擇性地將材料加入至該成像層或從該成像層移除材料。
  24. 如請求項23之在基板之表面上製作微影硬遮罩的方法,其中該提供之步驟包含藉由氣相沉積在該基板材料的該表面上沉積一羥基封端SnOx底層。
  25. 如請求項23之在基板之表面上製作微影硬遮罩的方法,其中沉積該成像層之步驟包含使該基板的該表面與一烴基取代的錫封端劑接觸,該烴基取代的錫封端劑在進行該成像層之照射時經歷錫-碳鍵斷裂。
  26. 如請求項25之在基板之表面上製作微影硬遮罩的方法,其中該烴基取代的錫封端劑具有下式:RnSnX4-n其中,R為C2-C10烷基或具有β-氫的取代烷基,X為在與該曝露羥基基團之一羥基基團反應時的一合適脫離基團,以及n=1-3。
  27. 如請求項26之在基板之表面上製作微影硬遮罩的方法,其中該烴基取代的錫封端劑為三(二甲胺基)叔丁基錫(t-butyltris(dimethylamino)tin)、三(二甲胺基)正丁基錫(n-butyltris(dimethylamino)tin)、三(二乙胺基)叔丁基錫(t-butyltris(diethylamino)tin)、三(二甲胺基)異丙基錫(isopropyltris(dimethylamino)tin)、以及三(叔丁氧基)叔丁基錫(t-butyltris(t-butoxy)tin)、或三(叔丁氧基)正丁基錫(n-butyl(tris(t-butoxy)tin)。
  28. 如請求項23-27其中任一項之在基板之表面上製作微影硬遮罩的方法,其中該照射之步驟包含DUV、EUV、X光或電子束輻射的使用。
  29. 如請求項28之在基板之表面上製作微影硬遮罩的方法,其中該照射之步驟包含EUV輻射的使用。
  30. 如請求項23之在基板之表面上製作微影硬遮罩的方法,其中在該成像層之該SnOx官能基上的該烴基取代基係藉由β-氫化物消除反應而轉變成該氫封端SnOx
  31. 如請求項23-27其中任一項之在基板之表面上製作微影硬遮罩的方法,其中該處理之步驟包含使在該照射區域中的該氫封端SnOx氧化,以形成羥基封端SnOx底層。
  32. 如請求項31之在基板之表面上製作微影硬遮罩的方法,其中該氧化之步驟包含將該照射區域曝露至氧或水。
  33. 如請求項31之在基板之表面上製作微影硬遮罩的方法,其中該處理之步驟包含移除在該照射區域中的該羥基封端SnOx底層,以曝露下伏基板材料,且其中該下伏基板材料包含非晶碳。
  34. 如請求項33之在基板之表面上製作微影硬遮罩的方法,其中該移除之步驟包含以稀釋含水之氫氟酸或稀釋含水之四甲基氫氧化銨(TMAH,tetramethylammonium hydroxide)來處理該照射區域。
  35. 如請求項33之在基板之表面上製作微影硬遮罩的方法,其中該處理之步驟更包含使用氧電漿來蝕刻該下伏基板材料。
  36. 如請求項31之在基板之表面上製作微影硬遮罩的方法,其中該處理之步驟更包含在該照射區域之該羥基封端SnOx底層上沉積一金屬氧化物硬遮罩。
  37. 如請求項36之在基板之表面上製作微影硬遮罩的方法,其中該金屬氧化物硬遮罩包含一金屬氧化物,該金屬氧化物係選自於由SnOx、SiO2、SiOxNy、SiOxC、TiO2、WOx、HfO2、ZrO2、Al2O3以及Bi2O3所組成的群組。
  38. 如請求項23之在基板之表面上製作微影硬遮罩的方法,更包含僅在藉由選擇性地照射該成像層所產生的該氫封端SnOx之區域上,藉由原子層沉積來進行一金屬層的選擇性沉積。
  39. 如請求項23之在基板之表面上製作微影硬遮罩的方法,其中藉由氫或甲烷電漿來移除該未照射區域的該烴基封端SnOx,以曝露下伏基板材料,且其中該下伏基板材料包含非晶碳。
  40. 如請求項39之在基板之表面上製作微影硬遮罩的方法,其中該處理之步驟更包含使用氧電漿來蝕刻該下伏基板材料。
TW108140940A 2018-11-14 2019-11-12 可用於次世代微影法中的硬遮罩製作方法 TWI845559B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862767198P 2018-11-14 2018-11-14
US62/767,198 2018-11-14

Publications (2)

Publication Number Publication Date
TW202036673A TW202036673A (zh) 2020-10-01
TWI845559B true TWI845559B (zh) 2024-06-21

Family

ID=70732140

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140940A TWI845559B (zh) 2018-11-14 2019-11-12 可用於次世代微影法中的硬遮罩製作方法

Country Status (6)

Country Link
US (1) US11921427B2 (zh)
JP (1) JP2022507368A (zh)
KR (2) KR20240104192A (zh)
CN (1) CN113039486A (zh)
TW (1) TWI845559B (zh)
WO (1) WO2020102085A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
CN113785381A (zh) 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
TWI837391B (zh) 2019-06-26 2024-04-01 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
WO2021067632A2 (en) * 2019-10-02 2021-04-08 Lam Research Corporation Substrate surface modification with high euv absorbers for high performance euv photoresists
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
WO2022005716A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
US11358975B2 (en) * 2020-07-03 2022-06-14 Entegris, Inc. Process for preparing organotin compounds
CN116888133A (zh) * 2021-01-28 2023-10-13 恩特格里斯公司 制备有机锡化合物的方法
US11697660B2 (en) * 2021-01-29 2023-07-11 Entegris, Inc. Process for preparing organotin compounds
US20220390847A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Metal oxide resist patterning with electrical field guided post-exposure bake
KR20230022368A (ko) * 2021-08-06 2023-02-15 삼성전자주식회사 반도체 소자의 제조 방법
CA3231519A1 (en) 2021-09-13 2023-03-16 Gelest, Inc. Method and precursors for producing oxostannate rich films
US12077552B2 (en) 2021-09-14 2024-09-03 Entegris, Inc. Synthesis of fluoroalkyl tin precursors
CN114105086A (zh) * 2021-10-26 2022-03-01 北京北方华创微电子装备有限公司 微机电系统器件的制造方法及微机电系统器件
US12060377B2 (en) 2022-08-12 2024-08-13 Gelest, Inc. High purity tin compounds containing unsaturated substituent and method for preparation thereof
US20240280899A1 (en) * 2023-02-22 2024-08-22 International Business Machines Corporation Fabrication of euv masks using a combination of monolayer lithography and area selective deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040203256A1 (en) * 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
US20160116839A1 (en) * 2014-10-23 2016-04-28 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods
US20170102612A1 (en) * 2015-10-13 2017-04-13 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US20180012759A1 (en) * 2016-06-28 2018-01-11 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing

Family Cites Families (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
JPS5119974A (en) 1974-08-12 1976-02-17 Fujitsu Ltd Kibanjoheno pataanno sentakukeiseiho
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4292384A (en) 1977-09-30 1981-09-29 Horizons Research Incorporated Gaseous plasma developing and etching process employing low voltage DC generation
US4241165A (en) 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
US4396704A (en) 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPS58108744A (ja) 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
JPS6112653U (ja) 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
JPS61234035A (ja) 1985-03-29 1986-10-18 Fujitsu Ltd 遠紫外線照射ドライ現像方法
JPH0778629B2 (ja) 1986-12-19 1995-08-23 ミノルタ株式会社 ポジ型レジスト膜及びそのレジストパターンの形成方法
US5079600A (en) * 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4824763A (en) 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US4814243A (en) 1987-09-08 1989-03-21 American Telephone And Telegraph Company Thermal processing of photoresist materials
US4834834A (en) 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US4845053A (en) 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
KR920004176B1 (ko) 1988-03-16 1992-05-30 후지쓰 가부시끼가이샤 레지스트 패턴 형성 공정
JPH04226462A (ja) 1990-06-29 1992-08-17 Fujitsu Ltd レジスト材料およびそれを用いるレジストパターンの形成方法
DE69130594T2 (de) 1990-06-29 1999-05-06 Fujitsu Ltd., Kawasaki, Kanagawa Verfahren zur Erzeugung eines Musters
US5240554A (en) 1991-01-22 1993-08-31 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5322765A (en) 1991-11-22 1994-06-21 International Business Machines Corporation Dry developable photoresist compositions and method for use thereof
GEP20002074B (en) 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
JPH0637050A (ja) 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH06232041A (ja) 1993-02-05 1994-08-19 Hitachi Ltd パターン形成方法
KR960010727B1 (ko) 1993-06-03 1996-08-07 현대전자산업 주식회사 반도체 제조용 포토레지스트 제거방법
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
JPH07106224A (ja) 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JP3309095B2 (ja) 1994-08-30 2002-07-29 株式会社日立製作所 ドライ現像方法及び半導体装置の製造方法
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3258199B2 (ja) 1995-05-24 2002-02-18 沖電気工業株式会社 半導体装置のパターン形成方法
JPH08339950A (ja) 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
JPH1041206A (ja) 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
US5914278A (en) 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JPH10209133A (ja) 1997-01-28 1998-08-07 Toshiba Corp プラズマ灰化装置およびプラズマ灰化方法
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
KR100265766B1 (ko) 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
WO2000003058A1 (en) 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
WO2000015868A1 (en) 1998-09-16 2000-03-23 Torrex Equipment Corporation High rate silicon deposition method at low pressures
JP2000305273A (ja) 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
KR100520670B1 (ko) 1999-05-06 2005-10-10 주식회사 하이닉스반도체 포토레지스트 패턴의 형성방법
US20010024769A1 (en) 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
KR100398312B1 (ko) 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
JP2002100558A (ja) 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6797439B1 (en) 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6933673B2 (en) 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
US6448097B1 (en) 2001-07-23 2002-09-10 Advanced Micro Devices Inc. Measure fluorescence from chemical released during trim etch
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
EP2317383A3 (en) 2002-04-11 2011-12-28 HOYA Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
US7169440B2 (en) 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
DE10219173A1 (de) 2002-04-30 2003-11-20 Philips Intellectual Property Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP2006504136A (ja) 2002-10-21 2006-02-02 ナノインク インコーポレーティッド ナノメートル・スケール設計構造、その製造方法および装置、マスク修復、強化、および製造への適用
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
JP4325301B2 (ja) 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
JP2004259786A (ja) 2003-02-24 2004-09-16 Canon Inc 露光装置
JP2006522480A (ja) 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
WO2005029188A1 (ja) 2003-09-24 2005-03-31 Hitachi Chemical Co., Ltd. 感光性エレメント、レジストパターンの形成方法及びプリント配線板の製造方法
GB0323805D0 (en) 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP4459666B2 (ja) 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
JP2006253282A (ja) 2005-03-09 2006-09-21 Ebara Corp 金属膜のパターン形成方法
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
US7885387B2 (en) 2004-12-17 2011-02-08 Osaka University Extreme ultraviolet light and X-ray source target and manufacturing method thereof
KR100601979B1 (ko) 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7381633B2 (en) 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7608367B1 (en) 2005-04-22 2009-10-27 Sandia Corporation Vitreous carbon mask substrate for X-ray lithography
TWI338171B (en) 2005-05-02 2011-03-01 Au Optronics Corp Display device and wiring structure and method for forming the same
JP2006310681A (ja) 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
KR100705416B1 (ko) 2005-06-15 2007-04-10 삼성전자주식회사 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US7691559B2 (en) 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
JP4530933B2 (ja) 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
JP4530980B2 (ja) 2005-08-26 2010-08-25 東京応化工業株式会社 膜形成用材料およびパターン形成方法
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP5055743B2 (ja) 2005-11-04 2012-10-24 セントラル硝子株式会社 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
EP1891429B1 (en) 2006-04-20 2009-10-07 Delaware Capital Formation, Inc. Coating for harsh environments and sensors using same
KR100721206B1 (ko) 2006-05-04 2007-05-23 주식회사 하이닉스반도체 반도체소자의 스토리지노드 컨택 형성방법
US20070287073A1 (en) 2006-06-07 2007-12-13 Francis Goodwin Lithography systems and methods
JP2008010353A (ja) * 2006-06-30 2008-01-17 Seiko Epson Corp マスクの製造方法、配線パターンの製造方法、及びプラズマディスプレイの製造方法
US7718542B2 (en) 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
WO2008088076A1 (ja) 2007-01-17 2008-07-24 Sony Corporation 現像液、および微細加工体の製造方法
KR101392291B1 (ko) 2007-04-13 2014-05-07 주식회사 동진쎄미켐 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US8664513B2 (en) 2007-10-12 2014-03-04 OmniPV, Inc. Solar modules with enhanced efficiencies via use of spectral concentrators
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100921932B1 (ko) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
SG153748A1 (en) 2007-12-17 2009-07-29 Asml Holding Nv Lithographic method and apparatus
WO2009080610A1 (en) 2007-12-20 2009-07-02 Nv Bekaert Sa A substrate coated with amorphous hydrogenated carbon
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP4978501B2 (ja) 2008-02-14 2012-07-18 日本電気株式会社 熱型赤外線検出器及びその製造方法
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20090286397A1 (en) 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
JP2009294439A (ja) 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4966922B2 (ja) 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
WO2010007955A1 (ja) 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
JP2011529126A (ja) 2008-07-24 2011-12-01 コヴィオ インコーポレイテッド アルミニウムインク及びその製造方法、アルミニウムインクを堆積する方法、並びにアルミニウムインクの印刷及び/又は堆積により形成されたフィルム
WO2010044400A1 (ja) 2008-10-14 2010-04-22 旭化成株式会社 熱反応型レジスト材料、それを用いた熱リソグラフィ用積層体及びそれらを用いたモールドの製造方法
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP2010239087A (ja) 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板支持装置及び基板支持方法
JP5193121B2 (ja) 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US20100310790A1 (en) 2009-06-09 2010-12-09 Nanya Technology Corporation Method of forming carbon-containing layer
JPWO2011040385A1 (ja) 2009-09-29 2013-02-28 東京エレクトロン株式会社 Ni膜の成膜方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5682573B2 (ja) 2009-12-28 2015-03-11 旭硝子株式会社 感光性組成物、隔壁、カラーフィルタおよび有機el素子
JP5544914B2 (ja) 2010-02-15 2014-07-09 大日本印刷株式会社 反射型マスクの製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20130115085A (ko) 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5392190B2 (ja) 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5572560B2 (ja) 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5842338B2 (ja) 2011-02-17 2016-01-13 セイコーエプソン株式会社 波長可変干渉フィルター、光モジュール、および電子機器
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
CN102610516B (zh) 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
KR102061919B1 (ko) 2011-11-21 2020-01-02 브레우어 사이언스 인코포레이션 Euv 리소그래피용 보조층
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
JP5919896B2 (ja) 2011-12-28 2016-05-18 住友ベークライト株式会社 硬化膜の処理方法および半導体装置の製造方法
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
SG193093A1 (en) 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
JP6056854B2 (ja) 2012-05-14 2017-01-11 コニカミノルタ株式会社 ガスバリア性フィルム、ガスバリア性フィルムの製造方法及び電子デバイス
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
KR101792165B1 (ko) 2012-12-18 2017-10-31 시스타 케미칼즈 인코포레이티드 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6068171B2 (ja) 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ 試料の処理方法および試料処理装置
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
KR102177738B1 (ko) 2013-03-08 2020-11-11 어플라이드 머티어리얼스, 인코포레이티드 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9411237B2 (en) 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US10074544B2 (en) 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9305839B2 (en) 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9324606B2 (en) 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
KR102357133B1 (ko) 2014-02-21 2022-01-28 도쿄엘렉트론가부시키가이샤 광증감 화학 증폭형 레지스트 재료 및 이를 이용한 패턴 형성 방법, 반도체 디바이스, 리소그래피용 마스크와, 나노임프린트용 템플릿
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
CN106030408B (zh) 2014-02-26 2019-11-05 日产化学工业株式会社 抗蚀剂上层膜形成用组合物及使用该组合物的半导体装置的制造方法
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
KR101989707B1 (ko) 2014-07-08 2019-06-14 도쿄엘렉트론가부시키가이샤 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US20160041471A1 (en) 2014-08-07 2016-02-11 International Business Machines Corporation Acidified conductive water for developer residue removal
JP6456967B2 (ja) 2014-09-02 2019-01-23 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、レジスト組成物、及び、レジスト膜
JP6572899B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
US20160086864A1 (en) 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
JP6748098B2 (ja) 2015-03-09 2020-08-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス
JP6404757B2 (ja) 2015-03-27 2018-10-17 信越化学工業株式会社 レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
US9607834B2 (en) 2015-04-02 2017-03-28 Tokyo Electron Limited Trench and hole patterning with EUV resists using dual frequency capacitively coupled plasma (CCP)
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
EP3091103A1 (en) * 2015-05-04 2016-11-09 Centre National De La Recherche Scientifique Process for obtaining patterned metal-oxide thin films deposited onto a substrate, filmed substrates obtained thereof, and semiconductor nanodevices comprising them
DE102015208492A1 (de) 2015-05-07 2016-11-10 Reiner Diefenbach Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP6817692B2 (ja) 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10468249B2 (en) * 2015-09-28 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a middle layer
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US11187984B2 (en) 2015-11-25 2021-11-30 Osaka University Resist patterning method and resist material
JP6603115B2 (ja) 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
JP6517678B2 (ja) 2015-12-11 2019-05-22 株式会社Screenホールディングス 電子デバイスの製造方法
JP6560828B2 (ja) 2015-12-23 2019-08-14 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の感光性材料を除去するための方法
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
GB201603988D0 (en) 2016-03-08 2016-04-20 Semblant Ltd Plasma deposition method
KR102394042B1 (ko) 2016-03-11 2022-05-03 인프리아 코포레이션 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
WO2017176282A1 (en) 2016-04-08 2017-10-12 Intel Corporation Two-stage bake photoresist with releasable quencher
CN109154772B (zh) 2016-05-19 2023-11-07 Asml荷兰有限公司 抗蚀剂组合物
KR20170135760A (ko) 2016-05-31 2017-12-08 도쿄엘렉트론가부시키가이샤 표면 처리에 의한 선택적 퇴적
EP3258317B1 (en) 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
WO2018004551A1 (en) 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
JP2018025686A (ja) 2016-08-10 2018-02-15 株式会社リコー 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置
TWI759147B (zh) 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
WO2018061670A1 (ja) 2016-09-29 2018-04-05 富士フイルム株式会社 処理液、および積層体の処理方法
KR101966808B1 (ko) 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
US10755942B2 (en) 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
US10510538B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102047538B1 (ko) 2017-02-03 2019-11-21 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
SG10201801132VA (en) 2017-02-13 2018-09-27 Lam Res Corp Method to create air gaps
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
WO2018173446A1 (ja) 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
JP2020095068A (ja) 2017-03-31 2020-06-18 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR102030056B1 (ko) 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10745282B2 (en) 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
JP6579173B2 (ja) 2017-09-19 2019-09-25 セイコーエプソン株式会社 電気光学装置、電気光学装置の駆動方法、及び、電子機器
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
KR102067081B1 (ko) 2017-11-01 2020-01-16 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102634520B1 (ko) 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
WO2019125952A1 (en) 2017-12-18 2019-06-27 Tokyo Electron Limited Plasma treatment method to enhance surface adhesion for lithography
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
JP7005369B2 (ja) 2018-02-05 2022-01-21 キオクシア株式会社 薬液塗布装置および半導体デバイスの製造方法
WO2019163455A1 (ja) 2018-02-22 2019-08-29 株式会社ダイセル 基板親水化処理剤
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7101036B2 (ja) 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
EP3791231A4 (en) 2018-05-11 2022-01-26 Lam Research Corporation METHODS FOR MAKING EUV PATTERNABLE HARD MASKS
CN112204169A (zh) 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
KR20210014121A (ko) 2018-05-29 2021-02-08 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
KR102211158B1 (ko) 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP7348210B2 (ja) 2018-06-13 2023-09-20 ブルーワー サイエンス アイ エヌ シー. Euvリソグラフィ用接着層
TW202404985A (zh) 2018-06-21 2024-02-01 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
FI129480B (en) 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
JP7241486B2 (ja) 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法
JP7213642B2 (ja) 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
JP6816083B2 (ja) 2018-10-22 2021-01-20 キオクシア株式会社 半導体装置の製造方法
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US12025919B2 (en) 2018-11-30 2024-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of storing photoresist coated substrates and semiconductor substrate container arrangement
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN109521657A (zh) 2018-12-11 2019-03-26 中国科学院光电技术研究所 一种表面等离子体光刻中小分子光刻胶的干法显影方法
CN113227909A (zh) 2018-12-20 2021-08-06 朗姆研究公司 抗蚀剂的干式显影
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
TWI849083B (zh) 2019-03-18 2024-07-21 美商蘭姆研究公司 基板處理方法與設備
US20200326627A1 (en) 2019-04-12 2020-10-15 Inpria Corporation Organometallic photoresist developer compositions and processing methods
KR20210151229A (ko) 2019-04-29 2021-12-13 램 리써치 코포레이션 서브트랙티브 (subtractive) 금속 에칭을 위한 원자 층 에칭
CN113785381A (zh) 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
TWI837391B (zh) 2019-06-26 2024-04-01 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
WO2020263750A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Apparatus for photoresist dry deposition
US20220308454A1 (en) 2019-06-28 2022-09-29 Lam Research Corporation Bake strategies to enhance lithographic performance of metal-containing resist
TWI849159B (zh) 2019-06-28 2024-07-21 美商蘭姆研究公司 光阻膜的乾式腔室清潔
US20220342301A1 (en) 2019-06-28 2022-10-27 Lam Research Corporation Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
WO2021067632A2 (en) 2019-10-02 2021-04-08 Lam Research Corporation Substrate surface modification with high euv absorbers for high performance euv photoresists
KR20220076498A (ko) 2019-10-08 2022-06-08 램 리써치 코포레이션 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
JP2023513134A (ja) 2020-02-04 2023-03-30 ラム リサーチ コーポレーション 金属含有euvレジストの乾式現像性能を高めるための塗布/露光後処理
JP2023515693A (ja) 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230152701A1 (en) 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230185196A1 (en) 2020-04-03 2023-06-15 Lam Research Corporation Pre-exposure photoresist curing to enhance euv lithographic performance
JP2023531102A (ja) 2020-06-22 2023-07-20 ラム リサーチ コーポレーション フォトレジストの乾式裏面及びベベルエッジ洗浄
CN115702475A (zh) 2020-06-22 2023-02-14 朗姆研究公司 用于含金属光致抗蚀剂沉积的表面改性
KR102601038B1 (ko) 2020-07-07 2023-11-09 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
KR20230051195A (ko) 2020-07-17 2023-04-17 램 리써치 코포레이션 감광성 하이브리드 막들을 형성하는 방법
CN116134381A (zh) 2020-07-17 2023-05-16 朗姆研究公司 含钽光致抗蚀剂
WO2022016127A1 (en) 2020-07-17 2022-01-20 Lam Research Corporation Photoresists from sn(ii) precursors
KR20230041749A (ko) 2020-07-17 2023-03-24 램 리써치 코포레이션 유기 공-반응 물질들 (co-reactants) 을 사용한 건식 증착된 포토레지스트들
JP2023534962A (ja) 2020-07-17 2023-08-15 ラム リサーチ コーポレーション 金属含有フォトレジストの現像のための金属キレート剤
WO2022103764A1 (en) 2020-11-13 2022-05-19 Lam Research Corporation Process tool for dry removal of photoresist

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040203256A1 (en) * 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
US20160116839A1 (en) * 2014-10-23 2016-04-28 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods
US20170102612A1 (en) * 2015-10-13 2017-04-13 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US20180012759A1 (en) * 2016-06-28 2018-01-11 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing

Also Published As

Publication number Publication date
KR20240104192A (ko) 2024-07-04
TW202036673A (zh) 2020-10-01
WO2020102085A1 (en) 2020-05-22
JP2022507368A (ja) 2022-01-18
KR102678588B1 (ko) 2024-06-27
US11921427B2 (en) 2024-03-05
KR20210076999A (ko) 2021-06-24
US20210397085A1 (en) 2021-12-23
CN113039486A (zh) 2021-06-25

Similar Documents

Publication Publication Date Title
TWI845559B (zh) 可用於次世代微影法中的硬遮罩製作方法
TWI746728B (zh) 半導體處理裝置
JP2024045257A (ja) Euvパターン化可能ハードマスクを形成するための方法
US8536068B2 (en) Atomic layer deposition of photoresist materials and hard mask precursors
JP2020521320A5 (zh)
US20140263172A1 (en) Resist hardening and development processes for semiconductor device manufacturing
TW201039072A (en) Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US5700628A (en) Dry microlithography process
JP2024010120A (ja) 照射フォトレジストパターニングのための統合乾式プロセス
TW202338499A (zh) Euv微影術用之euv活性膜
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
TW200928589A (en) Method for manufacturing a semiconductor device
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
TW202429190A (zh) 在光敏有機金屬氧化物上形成防濕層的方法
JP3354901B2 (ja) 微細パターンの形成方法、半導体装置および半導体装置の製造方法
JP2024055016A (ja) パターン基板の製造方法、パターン基板、およびパターン基板中間体
WO2024107262A1 (en) Integrated solution with low temperature dry develop for euv photoresist
Song Area Selective Deposition of Dielectric and Metal Thin Films by Controlled Nucleation During Atomic Layer Deposition and Etching
TW202407463A (zh) 雙型光阻劑
US20200203143A1 (en) Method for preparing multilayer structure
WO2023215136A1 (en) Post-development treatment of metal-containing photoresist
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具
TW202437004A (zh) Euv光阻劑的低溫乾式顯影的整合解決方案
JP3627187B2 (ja) 微細パターンの形成方法、及び半導体装置の製造方法
TW202226343A (zh) 具有中間冷凍步驟的使用有機金屬可光圖案化層的多重圖案化