JPS63246829A - Teosプラズマcvd法 - Google Patents
Teosプラズマcvd法Info
- Publication number
- JPS63246829A JPS63246829A JP62321181A JP32118187A JPS63246829A JP S63246829 A JPS63246829 A JP S63246829A JP 62321181 A JP62321181 A JP 62321181A JP 32118187 A JP32118187 A JP 32118187A JP S63246829 A JPS63246829 A JP S63246829A
- Authority
- JP
- Japan
- Prior art keywords
- gas
- wafer
- chamber
- manifold
- susceptor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims description 174
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 title claims description 154
- 230000008569 process Effects 0.000 title description 109
- 230000008020 evaporation Effects 0.000 title 1
- 238000001704 evaporation Methods 0.000 title 1
- 229910052814 silicon oxide Inorganic materials 0.000 title 1
- 239000007789 gas Substances 0.000 claims description 359
- 235000012431 wafers Nutrition 0.000 claims description 220
- 238000000151 deposition Methods 0.000 claims description 144
- 230000008021 deposition Effects 0.000 claims description 127
- 235000012239 silicon dioxide Nutrition 0.000 claims description 93
- 238000010926 purge Methods 0.000 claims description 77
- 239000000377 silicon dioxide Substances 0.000 claims description 60
- 239000000758 substrate Substances 0.000 claims description 49
- 238000012545 processing Methods 0.000 claims description 47
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 44
- 238000005530 etching Methods 0.000 claims description 38
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 34
- 239000010453 quartz Substances 0.000 claims description 33
- 238000010438 heat treatment Methods 0.000 claims description 29
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 26
- 239000001301 oxygen Substances 0.000 claims description 26
- 229910052760 oxygen Inorganic materials 0.000 claims description 26
- 238000000576 coating method Methods 0.000 claims description 22
- 239000000376 reactant Substances 0.000 claims description 21
- 239000012159 carrier gas Substances 0.000 claims description 20
- 238000002230 thermal chemical vapour deposition Methods 0.000 claims description 20
- 238000000354 decomposition reaction Methods 0.000 claims description 19
- 238000006243 chemical reaction Methods 0.000 claims description 16
- 238000012876 topography Methods 0.000 claims description 16
- 239000004065 semiconductor Substances 0.000 claims description 15
- 229910052782 aluminium Inorganic materials 0.000 claims description 14
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 14
- 238000005229 chemical vapour deposition Methods 0.000 claims description 14
- 239000011248 coating agent Substances 0.000 claims description 13
- 238000011065 in-situ storage Methods 0.000 claims description 12
- 238000004140 cleaning Methods 0.000 claims description 11
- 239000002131 composite material Substances 0.000 claims description 11
- 229910052734 helium Inorganic materials 0.000 claims description 11
- 239000001307 helium Substances 0.000 claims description 11
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 11
- 230000002093 peripheral effect Effects 0.000 claims description 11
- 238000009833 condensation Methods 0.000 claims description 9
- 230000005494 condensation Effects 0.000 claims description 9
- 238000001816 cooling Methods 0.000 claims description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 5
- 238000009434 installation Methods 0.000 claims description 5
- 239000000203 mixture Substances 0.000 claims description 5
- 229910052710 silicon Inorganic materials 0.000 claims description 5
- 239000010703 silicon Substances 0.000 claims description 5
- 238000004544 sputter deposition Methods 0.000 claims description 5
- 238000007740 vapor deposition Methods 0.000 claims description 5
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 4
- 229910002804 graphite Inorganic materials 0.000 claims description 4
- 239000010439 graphite Substances 0.000 claims description 4
- 229910052736 halogen Inorganic materials 0.000 claims description 4
- 238000012986 modification Methods 0.000 claims description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 4
- 230000008093 supporting effect Effects 0.000 claims description 4
- 239000000919 ceramic Substances 0.000 claims description 3
- 238000012993 chemical processing Methods 0.000 claims description 3
- 239000003085 diluting agent Substances 0.000 claims description 3
- 230000004048 modification Effects 0.000 claims description 3
- 239000000126 substance Substances 0.000 claims description 3
- 239000000110 cooling liquid Substances 0.000 claims description 2
- 230000008878 coupling Effects 0.000 claims description 2
- 238000010168 coupling process Methods 0.000 claims description 2
- 238000005859 coupling reaction Methods 0.000 claims description 2
- 239000012530 fluid Substances 0.000 claims description 2
- 238000003780 insertion Methods 0.000 claims description 2
- 230000037431 insertion Effects 0.000 claims description 2
- 230000001681 protective effect Effects 0.000 claims description 2
- 238000003491 array Methods 0.000 claims 3
- 230000006978 adaptation Effects 0.000 claims 1
- 238000004891 communication Methods 0.000 claims 1
- 230000001788 irregular Effects 0.000 claims 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims 1
- 229920005591 polysilicon Polymers 0.000 claims 1
- 239000011541 reaction mixture Substances 0.000 claims 1
- 210000002381 plasma Anatomy 0.000 description 48
- 238000005137 deposition process Methods 0.000 description 13
- 239000000463 material Substances 0.000 description 13
- 230000005855 radiation Effects 0.000 description 12
- 230000015572 biosynthetic process Effects 0.000 description 9
- 230000001976 improved effect Effects 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 238000009826 distribution Methods 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- 238000005868 electrolysis reaction Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 230000004044 response Effects 0.000 description 7
- 230000015556 catabolic process Effects 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 239000011521 glass Substances 0.000 description 5
- 239000003292 glue Substances 0.000 description 5
- 239000007788 liquid Substances 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 239000011148 porous material Substances 0.000 description 5
- 239000007787 solid Substances 0.000 description 5
- 241000894007 species Species 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 239000008367 deionised water Substances 0.000 description 4
- 229910021641 deionized water Inorganic materials 0.000 description 4
- 230000006872 improvement Effects 0.000 description 4
- 230000001939 inductive effect Effects 0.000 description 4
- 230000005291 magnetic effect Effects 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 238000000746 purification Methods 0.000 description 4
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000000605 extraction Methods 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 230000002028 premature Effects 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 238000000197 pyrolysis Methods 0.000 description 3
- 230000035939 shock Effects 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 238000011144 upstream manufacturing Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- 241000384512 Trachichthyidae Species 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 238000009529 body temperature measurement Methods 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 230000006835 compression Effects 0.000 description 2
- 238000007906 compression Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- LFBNOPZZMRKQII-UHFFFAOYSA-N [Si](OCC)(OCC)(OCC)OCC.[O] Chemical compound [Si](OCC)(OCC)(OCC)OCC.[O] LFBNOPZZMRKQII-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000000498 cooling water Substances 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000002612 dispersion medium Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000003302 ferromagnetic material Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 238000002329 infrared spectrum Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000004969 ion scattering spectroscopy Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000000696 magnetic material Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 230000013011 mating Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000003801 milling Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 239000000615 nonconductor Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 239000005360 phosphosilicate glass Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 238000006798 ring closing metathesis reaction Methods 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 239000000725 suspension Substances 0.000 description 1
- -1 tetramethylborate Chemical compound 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000002912 waste gas Substances 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
- C23C16/45521—Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02129—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/02131—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Analytical Chemistry (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Chemical Vapour Deposition (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Formation Of Insulating Films (AREA)
- Drying Of Semiconductors (AREA)
- Silicon Compounds (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- ing And Chemical Polishing (AREA)
Abstract
(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。
め要約のデータは記録されません。
Description
【発明の詳細な説明】
発明の背景
本発明は、熱CVD、プラズマ強化(plasma−e
nhanced)化学蒸着(PECVD) 、リアクタ
ー自己浄化、フィルムエツチング(etchback)
、およびプロフィルまたは他のフィルム特、性のスパ
ッタリングによる修飾を含む単一および現場多重集積回
路処理段階を実施するりアクタ−および方法に関する。
nhanced)化学蒸着(PECVD) 、リアクタ
ー自己浄化、フィルムエツチング(etchback)
、およびプロフィルまたは他のフィルム特、性のスパ
ッタリングによる修飾を含む単一および現場多重集積回
路処理段階を実施するりアクタ−および方法に関する。
本発明はまた集積回路ウェーハ上に共n’< tl″”
用誘電体層を形成するプロセスおよび中間レベル誘電体
として多層金属化相互接続に対する使用に適する共形平
坦誘電体層を形成する現場多段階プロセスに関する。
用誘電体層を形成するプロセスおよび中間レベル誘電体
として多層金属化相互接続に対する使用に適する共形平
坦誘電体層を形成する現場多段階プロセスに関する。
【、リアクター
半導体集積回路の製造に適用された初期のガス化学蒸着
リアクターは比較的高い温度の熱活性化化学を用いてガ
スから加熱基板上に蒸着させた。
リアクターは比較的高い温度の熱活性化化学を用いてガ
スから加熱基板上に蒸着させた。
表面上の固体のそのような化学蒸着には表面上に吸着さ
れるガス種の不均一表面反応が含まれる。
れるガス種の不均一表面反応が含まれる。
フィルム成長速度およびフィルム品質はウェーハ表面温
度および利用できるガス種に依存する。
度および利用できるガス種に依存する。
より最近、低温プラズマ強化蒸着およびエツチング技術
が、金属例えばアルミニウムおよびタングステン、誘電
体フィルム例えば窒化ケイ素および二酸化ケイ素、並び
に半立体フィルム例えばシリコンを含め、種々の材料の
形成に開発された。
が、金属例えばアルミニウムおよびタングステン、誘電
体フィルム例えば窒化ケイ素および二酸化ケイ素、並び
に半立体フィルム例えばシリコンを含め、種々の材料の
形成に開発された。
利用できるプラズマ強化化学蒸着プロセスに利用される
プラズマはRF界中に発生される低圧反応物ガス放電で
ある。プラズマは定義により、電子およびイオンの数密
度が等しい電気的に中性のイオン化ガスである。PEC
VDに使用される比較的低い圧力で、放電は「グロー」
領域にあり、電子エネルギーは重粒子エネルギーに比し
全く高いことができる。非常に高い電子温度は近くの表
面(例えば基板)上の蒸着に役立つプラズマ内の解離種
の密度を増加する。PECVDプロセス中の反応性遊離
基の強化供給は低温で、純粋に熱的に活性化されたCV
Dプロセスを用いて典型的に可能である(100〜20
0オングストローム毎分)より速い蒸着速度(300〜
400オングストロ一ム毎分)で濃密良質フィルムの蒸
着を可能にする。しかし、普通のプラズマ強化プロセス
を用いて利用できる蒸着速度はなお比較的低い。
プラズマはRF界中に発生される低圧反応物ガス放電で
ある。プラズマは定義により、電子およびイオンの数密
度が等しい電気的に中性のイオン化ガスである。PEC
VDに使用される比較的低い圧力で、放電は「グロー」
領域にあり、電子エネルギーは重粒子エネルギーに比し
全く高いことができる。非常に高い電子温度は近くの表
面(例えば基板)上の蒸着に役立つプラズマ内の解離種
の密度を増加する。PECVDプロセス中の反応性遊離
基の強化供給は低温で、純粋に熱的に活性化されたCV
Dプロセスを用いて典型的に可能である(100〜20
0オングストローム毎分)より速い蒸着速度(300〜
400オングストロ一ム毎分)で濃密良質フィルムの蒸
着を可能にする。しかし、普通のプラズマ強化プロセス
を用いて利用できる蒸着速度はなお比較的低い。
現在、バッチ型リアクターは多−くの商業PECVD適
用に使用される。バッチ型リアクターは比較的多数のウ
ェーハを同時に使用し、従って、低い蒸着速度にもかか
わらず比較的高い処理量を与える。しかし、単個ウェー
ハリアクターは一定の利点を有し、例えばバッチ内均−
性の問題がなく、それがそのようなりアクタ−を、殊に
大きい高価なウェーハ例えば直径5〜8インチのウェー
ハに対して魅力的にする。さらに、そして全く明らかに
、蒸着速度およびそのような単個ウェーハリアクターの
処理量が増すとさらにその有用な適用の範囲を増大する
であろう。
用に使用される。バッチ型リアクターは比較的多数のウ
ェーハを同時に使用し、従って、低い蒸着速度にもかか
わらず比較的高い処理量を与える。しかし、単個ウェー
ハリアクターは一定の利点を有し、例えばバッチ内均−
性の問題がなく、それがそのようなりアクタ−を、殊に
大きい高価なウェーハ例えば直径5〜8インチのウェー
ハに対して魅力的にする。さらに、そして全く明らかに
、蒸着速度およびそのような単個ウェーハリアクターの
処理量が増すとさらにその有用な適用の範囲を増大する
であろう。
II 、 Sin□の熱CVD ;プレーナ化(Pla
narization)プロセス 最近、集積回路(IC)技術が大規模集積(LSI)か
ら特大規模(VSLI)に進歩し、次の数年に超大規模
(LILSI)に成長させることが計画されている。モ
ノリシック回路集積における進歩は製造装置並びに半導
体ウェーハのICチップへの加工に使用する材料および
方法における改良により可能になった。しかし、第1に
、ますます複雑化するデバイスおよび回路の、第2に、
一層大きいデバイス密度および小さい最小特徴寸法およ
び最小分離のICチップへの組込みが、マスキング、フ
ィルム形成、ドーピングおよびエツチングの基礎集積回
路製造段階に対してますます厳酷な要件を課する。
narization)プロセス 最近、集積回路(IC)技術が大規模集積(LSI)か
ら特大規模(VSLI)に進歩し、次の数年に超大規模
(LILSI)に成長させることが計画されている。モ
ノリシック回路集積における進歩は製造装置並びに半導
体ウェーハのICチップへの加工に使用する材料および
方法における改良により可能になった。しかし、第1に
、ますます複雑化するデバイスおよび回路の、第2に、
一層大きいデバイス密度および小さい最小特徴寸法およ
び最小分離のICチップへの組込みが、マスキング、フ
ィルム形成、ドーピングおよびエツチングの基礎集積回
路製造段階に対してますます厳酷な要件を課する。
増大する複雑の例として、簡単に記載すると、典型的な
MOS(金属酸化物半導体)記憶回路は2水準の金属相
互接続層を含み、MO3論理回路は2〜3金属相互接続
層を有利に使用することができ、バイポーラディジタル
回路はそのような層を3〜4層必要とできることが計画
される。ますます複雑な厚さ/深さおよび小寸法のその
ような多重相互接続レベルが必要な共形、プレーナ中間
レベル誘電体層材料例えばそのような金属相互接続層を
支持し、電気的に分離する二酸化ケイ素の製造をますま
す困難にする。
MOS(金属酸化物半導体)記憶回路は2水準の金属相
互接続層を含み、MO3論理回路は2〜3金属相互接続
層を有利に使用することができ、バイポーラディジタル
回路はそのような層を3〜4層必要とできることが計画
される。ますます複雑な厚さ/深さおよび小寸法のその
ような多重相互接続レベルが必要な共形、プレーナ中間
レベル誘電体層材料例えばそのような金属相互接続層を
支持し、電気的に分離する二酸化ケイ素の製造をますま
す困難にする。
小ステップ表面(small 5tepped 5ur
face) hボグラフィ上のプレーナ化共形コーティ
ングを形成することが困難なことは第16図に例示され
る。
face) hボグラフィ上のプレーナ化共形コーティ
ングを形成することが困難なことは第16図に例示され
る。
そこでは第1フイルム例えば導体層171が部分的に完
成された集積回路(図示なし)の存在するステップトポ
グラフイ−上に形成され、中間層の誘電体層172例え
ば二酸化ケイ素の蒸着が行なわれる。これは第2レベル
導体層(図示なし)の形成に先立って行なわれる。典型
的には、蒸着活性種の平均自由行程はステップ次元に比
べて長く、また急速な表面移動が存在しなければステッ
プトポグラフィ−の底173、側面174およ、び上部
175における蒸着速度が関連到達角に比例する。
成された集積回路(図示なし)の存在するステップトポ
グラフイ−上に形成され、中間層の誘電体層172例え
ば二酸化ケイ素の蒸着が行なわれる。これは第2レベル
導体層(図示なし)の形成に先立って行なわれる。典型
的には、蒸着活性種の平均自由行程はステップ次元に比
べて長く、また急速な表面移動が存在しなければステッ
プトポグラフィ−の底173、側面174およ、び上部
175における蒸着速度が関連到達角に比例する。
底および側面到達角は溝の深さおよび小幅の関数であり
、それにより制限される。例えば、非常に狭く、および
(または)深い幾何形態に対し底層173の厚さは、上
部層175の厚さより小さい側面層174より小さい厚
さに蒸着される傾向がある。
、それにより制限される。例えば、非常に狭く、および
(または)深い幾何形態に対し底層173の厚さは、上
部層175の厚さより小さい側面層174より小さい厚
さに蒸着される傾向がある。
蒸着プロセスに用いる圧力の増加は活性種の衝突速度を
増し、平均自由行程を減少する。これは到達角を増大し
、従って溝またはステップの側壁714および底173
における蒸着速度を増大する。しかし、また第17A図
について説明すると、これはまたステップのコーナ17
6における到達角および関連蒸着速度を増加する。
増し、平均自由行程を減少する。これは到達角を増大し
、従って溝またはステップの側壁714および底173
における蒸着速度を増大する。しかし、また第17A図
について説明すると、これはまたステップのコーナ17
6における到達角および関連蒸着速度を増加する。
広い溝によって分離されたステップに対して生ずる内側
に傾斜するフィルム形態が側壁−底界面においてカスプ
(cusp) 177−177を形成する。そのよう
なトポグラフィ−上に共形金属および(または)誘電体
層を形成することは困難である。その結果、トポグラフ
ィ−を別々にプレーナ化することが必要である。
に傾斜するフィルム形態が側壁−底界面においてカスプ
(cusp) 177−177を形成する。そのよう
なトポグラフィ−上に共形金属および(または)誘電体
層を形成することは困難である。その結果、トポグラフ
ィ−を別々にプレーナ化することが必要である。
さらに、第17B図を参照すると、ステップが例えば濃
密256キロビフ)VLS I構造中に狭い溝により分
離される場合にコーナ176における高い蒸着速度がボ
イド178を閉鎖する。そのようなボイドは次にプレー
ナ化操作により暴露され、第2レベル導体を浸透させ、
ボイドに沿って成長させることができ、ボイドに沿って
導体およびデバイスを短絡することができる。
密256キロビフ)VLS I構造中に狭い溝により分
離される場合にコーナ176における高い蒸着速度がボ
イド178を閉鎖する。そのようなボイドは次にプレー
ナ化操作により暴露され、第2レベル導体を浸透させ、
ボイドに沿って成長させることができ、ボイドに沿って
導体およびデバイスを短絡することができる。
発明の概要
目的
上記論議を考慮して1目的は非常に高い圧力を含む広い
範囲の圧力にわたって均一な蒸着を与える半導体処理リ
アクターを提供することである。
範囲の圧力にわたって均一な蒸着を与える半導体処理リ
アクターを提供することである。
他の関連目的は熱化学蒸着、プラズマ強化化学蒸着、プ
ラズマ促進エッチバック、プラズマ自己浄化およびスパ
ッタートポグラフィ−修飾を単一または現場多重プロセ
ス系列に含む、多様のプロセスに使用できる可転性単個
ウェーハ半導体処理リアクターを提供することである。
ラズマ促進エッチバック、プラズマ自己浄化およびスパ
ッタートポグラフィ−修飾を単一または現場多重プロセ
ス系列に含む、多様のプロセスに使用できる可転性単個
ウェーハ半導体処理リアクターを提供することである。
関連目的は、上記目的を達成し、また不安定性ガス例え
ばTE01およびオゾンの使用に適するリアクターを提
供することである。
ばTE01およびオゾンの使用に適するリアクターを提
供することである。
本発明の他の目的は、オゾンおよびTEOSガス化学お
よび熱CVDを用いる。VLS IおよびULS Iデ
バイス中の小次元ステップトポグラフィ−上でも非常に
共形の二酸化ケイ素層を形成する方法を提供することで
ある。
よび熱CVDを用いる。VLS IおよびULS Iデ
バイス中の小次元ステップトポグラフィ−上でも非常に
共形の二酸化ケイ素層を形成する方法を提供することで
ある。
また本発明の方法は優れた共形カバーを与え、カスプお
よびボイドを排除するプレーナ化プロセスを提供するこ
とである。
よびボイドを排除するプレーナ化プロセスを提供するこ
とである。
本発明のなお他の目的は、同一プラズマリアクター室内
で単に関連反応物ガス化学および操作条件を変更するこ
とにより多数の段階を用いて現場で行なうことができる
プレーナ化プロセスを提供することである。
で単に関連反応物ガス化学および操作条件を変更するこ
とにより多数の段階を用いて現場で行なうことができる
プレーナ化プロセスを提供することである。
本発明のなお他の目的は、コーティング共形性およびプ
レーナ化、並びにプロセス処理量およびウェーハ特性例
えば低微粒子、を最適化するためのウェーハのプラズマ
蒸着および等方性エツチングを含む現場多段階プロセス
を提供することである。
レーナ化、並びにプロセス処理量およびウェーハ特性例
えば低微粒子、を最適化するためのウェーハのプラズマ
蒸着および等方性エツチングを含む現場多段階プロセス
を提供することである。
他の目的は、前記可転性プロセス特性並びにリアクター
自己浄化の追加を含むがそれに限定されないプロセス系
列および段階の数を変更する能力を提供することである
。
自己浄化の追加を含むがそれに限定されないプロセス系
列および段階の数を変更する能力を提供することである
。
概要
1特定態様において、本発明はウェーハを中に装備する
室およびウェーハに反応物ガスを供給する入口ガスマニ
ホールドを規定する半導体処理リアクターに関する。室
はまた、真空排出ポンプ装置;室内のウェーハ装備位置
の周辺に装備され、円形配列の排出口を中に含むガス整
流プレート;および孔配列の下でそれに連通し、入口マ
ユホールドから半径方向にウェーハを横切り排出口を通
してガスを容易に流れさせる真空排出ポンプに対して少
くとも1地点の連結を有する円形溝を含む均一半径方向
ポンプ装置を一員とする。溝は、ウェーハを横切って排
出孔へ向う制御された均一な半径方向ガス流を可能にし
、それにより均一な流れを助長し、約1気圧までの非常
に高い圧力を含む広い範囲の圧力にわたり処理(エツチ
ングおよび蒸着)する孔に比べて十分大きい容積および
伝辱力を有する。
室およびウェーハに反応物ガスを供給する入口ガスマニ
ホールドを規定する半導体処理リアクターに関する。室
はまた、真空排出ポンプ装置;室内のウェーハ装備位置
の周辺に装備され、円形配列の排出口を中に含むガス整
流プレート;および孔配列の下でそれに連通し、入口マ
ユホールドから半径方向にウェーハを横切り排出口を通
してガスを容易に流れさせる真空排出ポンプに対して少
くとも1地点の連結を有する円形溝を含む均一半径方向
ポンプ装置を一員とする。溝は、ウェーハを横切って排
出孔へ向う制御された均一な半径方向ガス流を可能にし
、それにより均一な流れを助長し、約1気圧までの非常
に高い圧力を含む広い範囲の圧力にわたり処理(エツチ
ングおよび蒸着)する孔に比べて十分大きい容積および
伝辱力を有する。
他の観点において、本発明は、ウェーハを水平に装備す
る室を形成するハウジング、室に連通する真空ポンプ装
置、およびウェーハ装備位置の上方に水平に配置した入
口ガスマニホールドを含む半導体処理リアクターを指向
する。マニホールドは反応物ガスをウェーハ上に均一に
配分するように配置された中心部配列のプロセスガス孔
およびパージガスを下向きにウェーハの周辺に向かわせ
るように配置された第2周連記列のパージガス孔を有す
る。孔配列はまた孔の半径方向の整列を排除するように
配置される。。
る室を形成するハウジング、室に連通する真空ポンプ装
置、およびウェーハ装備位置の上方に水平に配置した入
口ガスマニホールドを含む半導体処理リアクターを指向
する。マニホールドは反応物ガスをウェーハ上に均一に
配分するように配置された中心部配列のプロセスガス孔
およびパージガスを下向きにウェーハの周辺に向かわせ
るように配置された第2周連記列のパージガス孔を有す
る。孔配列はまた孔の半径方向の整列を排除するように
配置される。。
他の観点において、リアクターは、マニホールド内の凝
縮および反応を防ぐため内部表面を選んだ温度範囲内に
維持し、外部マニホールド表面を好ましくない蒸着を排
除するために選んだ温度範囲以上に維持する制御された
温度の液体をマニホールド内に循環する設備を一員とす
る。
縮および反応を防ぐため内部表面を選んだ温度範囲内に
維持し、外部マニホールド表面を好ましくない蒸着を排
除するために選んだ温度範囲以上に維持する制御された
温度の液体をマニホールド内に循環する設備を一員とす
る。
なお他の観点において本発明のりアクタ−は、ウェーハ
を支持する薄いサセプター、サセプターをガス入口マニ
ホールドに正確に平行する水平位置に装備するサセプタ
ー支持装置、およびウェーハ支持装置を垂直に選択的に
移動しサセプターおよび支持体をガスマニホールドに密
隣接する選んだ可変距離に、ガスマニホールドに平行に
配置する装置を含む。殊に可変平行密間隔は0.5 c
mおよびそれ以下であることができる。
を支持する薄いサセプター、サセプターをガス入口マニ
ホールドに正確に平行する水平位置に装備するサセプタ
ー支持装置、およびウェーハ支持装置を垂直に選択的に
移動しサセプターおよび支持体をガスマニホールドに密
隣接する選んだ可変距離に、ガスマニホールドに平行に
配置する装置を含む。殊に可変平行密間隔は0.5 c
mおよびそれ以下であることができる。
なお他の観点において、本発明の半導体処理リアクター
は室内に配置されたウェーハのガス化学処理に適応させ
た室を中に規定するハウジングを含む。透明窓が室の底
部を形成する。薄い高輻射能サセプターが室内のウェー
ハの支持に使用される。近赤外放射エネルギーの実質的
に平行するビームを、サセプターの中心より縁部に実質
的に高い入射出力密度でサセプター上へ窓を通して向か
わせてウェーハを均一に加熱するために、反射器モジュ
ール内に装備した円形配列のランプを含む放射加熱モジ
ュールがハウジングの外部に装備される。
は室内に配置されたウェーハのガス化学処理に適応させ
た室を中に規定するハウジングを含む。透明窓が室の底
部を形成する。薄い高輻射能サセプターが室内のウェー
ハの支持に使用される。近赤外放射エネルギーの実質的
に平行するビームを、サセプターの中心より縁部に実質
的に高い入射出力密度でサセプター上へ窓を通して向か
わせてウェーハを均一に加熱するために、反射器モジュ
ール内に装備した円形配列のランプを含む放射加熱モジ
ュールがハウジングの外部に装備される。
好ましくは第2のパージガスマニホールドが、窓を横切
って上方へ、そしてウェーハの底部を横切るパージガス
流を与えるためにウェーハ処理領域より下に配置される
。高い圧の入口ガスマニホ−ルドからのパージ流および
パージガスマニホールドからのパージ流の組合せが字表
面上の蒸着を実質的に排除する。
って上方へ、そしてウェーハの底部を横切るパージガス
流を与えるためにウェーハ処理領域より下に配置される
。高い圧の入口ガスマニホ−ルドからのパージ流および
パージガスマニホールドからのパージ流の組合せが字表
面上の蒸着を実質的に排除する。
なお他の観点において、本発明のりアクタ−は管の内側
上の蒸着ガスおよびその外側上のパージガスの共軸流を
ガス入口マニホールド中へ与えるために適応させた管装
置を含むガス入口マニホールドに連結した蒸着ガスフィ
ードスルー装置が含まれる。管は入口端で接地におよび
出口またはマニホールド端でRF出力供給に接続するた
めに適応させてRF小出力マニホールドに与え、定電圧
勾配を確立して高いRF周波数および電圧においてもガ
スの分解を防ぐために入口から出口端へその長さに沿っ
て制御された電気インピーダンスを有する。
上の蒸着ガスおよびその外側上のパージガスの共軸流を
ガス入口マニホールド中へ与えるために適応させた管装
置を含むガス入口マニホールドに連結した蒸着ガスフィ
ードスルー装置が含まれる。管は入口端で接地におよび
出口またはマニホールド端でRF出力供給に接続するた
めに適応させてRF小出力マニホールドに与え、定電圧
勾配を確立して高いRF周波数および電圧においてもガ
スの分解を防ぐために入口から出口端へその長さに沿っ
て制御された電気インピーダンスを有する。
これらおよび以下に記載する他の特徴は広い圧力変化に
わたる、すなわち約1気圧までの高い圧力を含む圧力の
範囲にわたるリアクターの運転を可能にする。それらの
特徴はまたサセプター/ウェーハを横切る絶対温度の均
一性および室内均一性の両方を含む均一なサセプターお
よびウェーハの温度;ウェーハを横切る均一なガス流分
布:および有効なパージを与える。電極間の可変平行密
間隔はりアクタ−を種々のプロセスに適応させる。
わたる、すなわち約1気圧までの高い圧力を含む圧力の
範囲にわたるリアクターの運転を可能にする。それらの
特徴はまたサセプター/ウェーハを横切る絶対温度の均
一性および室内均一性の両方を含む均一なサセプターお
よびウェーハの温度;ウェーハを横切る均一なガス流分
布:および有効なパージを与える。電極間の可変平行密
間隔はりアクタ−を種々のプロセスに適応させる。
これらの特徴並びに内部および外部ガスマニホールド温
度の温度制御は、後記プロセスにおける非常に鋭敏な不
安定ガス例えばオゾンおよびTEOSの有利な使用を可
能にする。
度の温度制御は、後記プロセスにおける非常に鋭敏な不
安定ガス例えばオゾンおよびTEOSの有利な使用を可
能にする。
すなわち、本発明また基板を真空室内で、室10内の全
ガス圧10〜200トルおよび約200〜500℃の範
囲内の基板温度を用いてオゾン、酸素テトラエチルオル
トシリケートおよび担体ガスから形成した反応性種に暴
露することにより基板上に二酸化ケイ素の共形層を蒸着
させる方法に関する。好ましくは約375±20℃の基
板温度が最大蒸着速度を得るために使用され、室圧力は
約40〜120トルである。
ガス圧10〜200トルおよび約200〜500℃の範
囲内の基板温度を用いてオゾン、酸素テトラエチルオル
トシリケートおよび担体ガスから形成した反応性種に暴
露することにより基板上に二酸化ケイ素の共形層を蒸着
させる方法に関する。好ましくは約375±20℃の基
板温度が最大蒸着速度を得るために使用され、室圧力は
約40〜120トルである。
なお他の観点において、本発明は基板を室内で、約1〜
50トルの範囲内の全ガス圧、約200〜500℃の範
囲内の基板温度を用いてテトラエチルオルトシリケート
、酸素および担体ガスから形成したプラズマに暴露する
ことによりフィルムまたは基板上に二酸化ケイ素を蒸着
させる方法中に具体化される。好ましくは室圧力は8〜
12トルであり、基板温度は約375±20℃である。
50トルの範囲内の全ガス圧、約200〜500℃の範
囲内の基板温度を用いてテトラエチルオルトシリケート
、酸素および担体ガスから形成したプラズマに暴露する
ことによりフィルムまたは基板上に二酸化ケイ素を蒸着
させる方法中に具体化される。好ましくは室圧力は8〜
12トルであり、基板温度は約375±20℃である。
なお他の観点において、本発明は二酸化ケイ素表面を室
内で、約200〜500℃の範囲内のつ工−ハ温度を用
いて担体ガス中のフッ素化ガス例えばNF3、CF4お
よびCzFhから形成されたプラズマに暴露する段階を
含む二酸化ケイ素表面を等方性にエツチングする方法に
向けられる。
内で、約200〜500℃の範囲内のつ工−ハ温度を用
いて担体ガス中のフッ素化ガス例えばNF3、CF4お
よびCzFhから形成されたプラズマに暴露する段階を
含む二酸化ケイ素表面を等方性にエツチングする方法に
向けられる。
好ましくは室圧力は約200mT〜20トル、より好ま
しくは500mT〜10トルの範囲内である。
しくは500mT〜10トルの範囲内である。
本発明はまた、コーティングを、オゾン、酸素、テトラ
エチルオルトシリケートおよび担体ガスから形成された
反応性種(全室ガス圧は10〜200トルの適当な範囲
内にあり、基板温度は200〜500℃の適当な範囲内
にある)に暴露することによりコーティング上に二酸化
ケイ素の共形層を蒸着させ、それにより基板上に共形層
の複合体を形成し、生じた複合体層の外部表面を等方性
にエツチングすることにより真空室内で非プレーナ誘電
体コーティングまたは複合体をプレーナ化する方法に具
体化される。好ましくはこのプレーナ化プロセスは初め
に二酸化ケイ素の層を形成するプラズマ酸化物蒸着を用
い、また前記等方性エツチングを用いる。
エチルオルトシリケートおよび担体ガスから形成された
反応性種(全室ガス圧は10〜200トルの適当な範囲
内にあり、基板温度は200〜500℃の適当な範囲内
にある)に暴露することによりコーティング上に二酸化
ケイ素の共形層を蒸着させ、それにより基板上に共形層
の複合体を形成し、生じた複合体層の外部表面を等方性
にエツチングすることにより真空室内で非プレーナ誘電
体コーティングまたは複合体をプレーナ化する方法に具
体化される。好ましくはこのプレーナ化プロセスは初め
に二酸化ケイ素の層を形成するプラズマ酸化物蒸着を用
い、また前記等方性エツチングを用いる。
本発明の前記および他の観点および利点は次に図面に関
連して説明される。
連して説明される。
発明の詳細な説明
1、CVD/PECVDリアクター
第1図および第2図はそれぞれ軸支カバーを開いて示し
た本発明の単個ウェーハリアクター10の好ましい平面
図およびリアクター10の縦断面図である。
た本発明の単個ウェーハリアクター10の好ましい平面
図およびリアクター10の縦断面図である。
初めにこれらの2図面および他の指示挿入図を参照す葛
と、リアクター設備10は、典型的にはアルミニウムで
作られ、プラズマ処理領域14(第6図)を有する内部
真空室13を規定するハウジング(また「室」と称され
る)を含む。リアフター設備10はまたウェーハ保持サ
セプター16並びに、垂直可動ウェーハ支持フィンガ2
0およびサセプター支持フィンガ22を含む特有ウェー
ハ輸送設備18(第1図)を含む。これらのフィンガは
ウェーハ15を処理領域または室14へ導入し、ウェー
ハ15を処理のためにサセプター16上に置き、次いで
ウェーハ15をサセプター16および室12から取出す
外部ロボットブレード24 (第1図)と協働する。リ
アクター設備10はさらに、プロセスガスおよびパージ
ガスを室13に適用するプロセス/パージガスマニホー
ルドまたは「ボックス」26、入口ガスからプロセスガ
スプラズマを生成および維持するRF出力供給および整
合回路M428、並びにウェーハ上の蒸着を行なうため
サセプター16およびサセプター上に配置したウェーハ
15を加熱するランプ加熱装置30を含む。好ましくは
13.56MHzの高周波RF小出力使用されるが、し
かし低周波を用いることができる。
と、リアクター設備10は、典型的にはアルミニウムで
作られ、プラズマ処理領域14(第6図)を有する内部
真空室13を規定するハウジング(また「室」と称され
る)を含む。リアフター設備10はまたウェーハ保持サ
セプター16並びに、垂直可動ウェーハ支持フィンガ2
0およびサセプター支持フィンガ22を含む特有ウェー
ハ輸送設備18(第1図)を含む。これらのフィンガは
ウェーハ15を処理領域または室14へ導入し、ウェー
ハ15を処理のためにサセプター16上に置き、次いで
ウェーハ15をサセプター16および室12から取出す
外部ロボットブレード24 (第1図)と協働する。リ
アクター設備10はさらに、プロセスガスおよびパージ
ガスを室13に適用するプロセス/パージガスマニホー
ルドまたは「ボックス」26、入口ガスからプロセスガ
スプラズマを生成および維持するRF出力供給および整
合回路M428、並びにウェーハ上の蒸着を行なうため
サセプター16およびサセプター上に配置したウェーハ
15を加熱するランプ加熱装置30を含む。好ましくは
13.56MHzの高周波RF小出力使用されるが、し
かし低周波を用いることができる。
ガスマニホールド26はウェーハ15を1切って一様に
半径方向に外方へプロセスガスを流してウェーハを横切
って一様な蒸着を促進し、使用済ガスおよび連行生成物
をウェーハ15の縁部からその上部および底部で半径方
向に外方ヘバージし、ガスマニホールドまたはボックス
26および室12上(および内)の蒸着を実質的に排除
するように設計された特有のプロセスおよびパージガス
整流装置32(第2図および第10図)の一部である。
半径方向に外方へプロセスガスを流してウェーハを横切
って一様な蒸着を促進し、使用済ガスおよび連行生成物
をウェーハ15の縁部からその上部および底部で半径方
向に外方ヘバージし、ガスマニホールドまたはボックス
26および室12上(および内)の蒸着を実質的に排除
するように設計された特有のプロセスおよびパージガス
整流装置32(第2図および第10図)の一部である。
液体冷却設備34は、殊にガスマニホールドまたはボッ
クス26の温度を含め、室12の要素の温度を制御する
。ガスボックス要素の温度は処理室14から上流のガス
ボックス/マニホールド26内の早期蒸着を排除するよ
うに選ばれる。
クス26の温度を含め、室12の要素の温度を制御する
。ガスボックス要素の温度は処理室14から上流のガス
ボックス/マニホールド26内の早期蒸着を排除するよ
うに選ばれる。
リアクター設備10は、プロセスおよびパージガスを電
気接地供給からRF駆動マニホールド26へプロセスガ
スおよびパージガスを供給する特有のRF/ガスフィー
ドスルー装置36(第2図および第12図)を含む。
気接地供給からRF駆動マニホールド26へプロセスガ
スおよびパージガスを供給する特有のRF/ガスフィー
ドスルー装置36(第2図および第12図)を含む。
ガスボックスまたはマニホールド26に対するRFエネ
ルギーの適用はウェーハの接地対向電極またはサセプタ
ー16上にある利点を有し、それが、RFエネルギーを
ウェーハに適用しガスボックスを接地すれば達成できな
い高度のプラズマ幽閉を可能にする。さらに、ハードウ
ェアは、ウェーハ/サセプターと室との間に電気的距離
を必要としない(または許される)ので、機械的および
電気的に簡単である。高周波電気および磁気界の存在下
のサセプター/ウェーへの温度測定および制御は接地し
たサセプター16で単純化される。
ルギーの適用はウェーハの接地対向電極またはサセプタ
ー16上にある利点を有し、それが、RFエネルギーを
ウェーハに適用しガスボックスを接地すれば達成できな
い高度のプラズマ幽閉を可能にする。さらに、ハードウ
ェアは、ウェーハ/サセプターと室との間に電気的距離
を必要としない(または許される)ので、機械的および
電気的に簡単である。高周波電気および磁気界の存在下
のサセプター/ウェーへの温度測定および制御は接地し
たサセプター16で単純化される。
またフィードスルー36は剛質であり、可撓性のガス接
続を排除し、パージガス流路は安全に漏洩プロセスガス
を室内ないし室排出へ運ぶ。RF比出力ガスマニホール
ドへ適用する能力は(高電位RF操作のフィードスルー
内のプラズマの蒸着を生ずる固有の傾向にもかかわらず
)RF電位をフィードスルーの長さに沿つって一様に低
下させ、従って内部のプラズマ放電を防ぐフィードスル
ーの特有設計により可能にされる。
続を排除し、パージガス流路は安全に漏洩プロセスガス
を室内ないし室排出へ運ぶ。RF比出力ガスマニホール
ドへ適用する能力は(高電位RF操作のフィードスルー
内のプラズマの蒸着を生ずる固有の傾向にもかかわらず
)RF電位をフィードスルーの長さに沿つって一様に低
下させ、従って内部のプラズマ放電を防ぐフィードスル
ーの特有設計により可能にされる。
B、ウェーハ輸送設備18
前記のように、この設備は個々のウェーハ15を外部ブ
レード(第2図)とサセプター16との間に移動させ、
またサセプター16およびウェーハ15を処理のために
配置するように設計される。
レード(第2図)とサセプター16との間に移動させ、
またサセプター16およびウェーハ15を処理のために
配置するように設計される。
さらに第1図を参照すると、ウェーハ輸送設備18は複
数の半径方向に延びるウェーハ支持フィンガ20を含み
、それはサセプター16の周辺に配置され、間隔が置か
れ、半円形装備バーまたはブラケット38に装備される
。同様に、半径方向に延びるサセプター支持フィンガ2
2がサセプターの周辺に間隔を置かれ、ウェーハ支持フ
ィンガ20と相互間通され、バー38のすぐ外側に配置
された半円形バーに装備される。弧状装備バー38およ
び40はハウジング内に形成された一般に半円形溝42
内に装備され、それぞれ垂直可動エレベータ設備44お
よび46により作動される。
数の半径方向に延びるウェーハ支持フィンガ20を含み
、それはサセプター16の周辺に配置され、間隔が置か
れ、半円形装備バーまたはブラケット38に装備される
。同様に、半径方向に延びるサセプター支持フィンガ2
2がサセプターの周辺に間隔を置かれ、ウェーハ支持フ
ィンガ20と相互間通され、バー38のすぐ外側に配置
された半円形バーに装備される。弧状装備バー38およ
び40はハウジング内に形成された一般に半円形溝42
内に装備され、それぞれ垂直可動エレベータ設備44お
よび46により作動される。
第3図に示されるように、サセプターエレベータ設備4
4にはバー38を上部に装備する垂直可動シャフト48
が含まれる。シャフトは空気シリンダーまたは、好まし
くは適当なギヤ駆動により作動するステッパーモータを
含む種々の可動装置56により垂直に上下に移動できる
。ウェーハエレベータ設備46はエレベータ44と同様
である。
4にはバー38を上部に装備する垂直可動シャフト48
が含まれる。シャフトは空気シリンダーまたは、好まし
くは適当なギヤ駆動により作動するステッパーモータを
含む種々の可動装置56により垂直に上下に移動できる
。ウェーハエレベータ設備46はエレベータ44と同様
である。
ウェーハ輸送設備18の作用は第4図〜第8図中に略示
した系列により要約される。第4図において外部ブレー
ド24 (処理されるウェーハ15を上に支持して)が
開口56を経て室13中へ、サセプター16の上方位置
に挿入される。適当なブレード24および関連するロボ
ントウエーハ取扱設備(および扉25、第6図)はメイ
ダンはか(Dan Maydan、5assan So
mekh、David N、に、Wang。
した系列により要約される。第4図において外部ブレー
ド24 (処理されるウェーハ15を上に支持して)が
開口56を経て室13中へ、サセプター16の上方位置
に挿入される。適当なブレード24および関連するロボ
ントウエーハ取扱設備(および扉25、第6図)はメイ
ダンはか(Dan Maydan、5assan So
mekh、David N、に、Wang。
David Cheng+Masato Tohima
、l5aac t(arari、andPeter H
oppe)の名で共同提出された同時係属、共通譲渡米
国特許出願(A−44944)、名称「多室一体化処理
設備(Multiple Chamer Integr
atedProcess System) Jに記載さ
れ、その出願のすべてがここに参照される。この出発位
置において、ウェーハフィンガ20−20はサセプター
16とブレード24との間に配置される。
、l5aac t(arari、andPeter H
oppe)の名で共同提出された同時係属、共通譲渡米
国特許出願(A−44944)、名称「多室一体化処理
設備(Multiple Chamer Integr
atedProcess System) Jに記載さ
れ、その出願のすべてがここに参照される。この出発位
置において、ウェーハフィンガ20−20はサセプター
16とブレード24との間に配置される。
次に第5図に示されるように、ウェーハエレベータ設備
44がウェーハ支持フィンガ20−20をブレード24
のより上に上げてウェーハ15を載せる。次いでブレー
ド24が室13から引出される。
44がウェーハ支持フィンガ20−20をブレード24
のより上に上げてウェーハ15を載せる。次いでブレー
ド24が室13から引出される。
第6図に示されるように、ブレード24の引込み後、空
気シリンダーが扉25をグレードアクセメロ56上に閉
鎖し、室13を密封する。サセプターエレベータ設備4
6が作動してサセプター支持フィンガ22およびサセプ
ター16を持上げてサセプター16がウェーハ15をフ
ィンガ2〇−20からガス整流マニホールド26に隣接
する領域14中の処理位置中へ上げる。ウェーハ15と
マニホールド26との間の間隔dはエレベータ46の移
動の調整により容易に選択される。同時に、サセプター
フィンガ22およびエレベータ設備46がサセプター1
6およびウェーハ15の水平配置並びにウェーハ15と
マニホールド26との間の平行を間隔dと無関係に維持
する。
気シリンダーが扉25をグレードアクセメロ56上に閉
鎖し、室13を密封する。サセプターエレベータ設備4
6が作動してサセプター支持フィンガ22およびサセプ
ター16を持上げてサセプター16がウェーハ15をフ
ィンガ2〇−20からガス整流マニホールド26に隣接
する領域14中の処理位置中へ上げる。ウェーハ15と
マニホールド26との間の間隔dはエレベータ46の移
動の調整により容易に選択される。同時に、サセプター
フィンガ22およびエレベータ設備46がサセプター1
6およびウェーハ15の水平配置並びにウェーハ15と
マニホールド26との間の平行を間隔dと無関係に維持
する。
処理後、第7図を参照して、サセプターエレベータ設備
46がサセプターフィンガ22およびサセプター16を
下げ、ウェーハ支持フィンガ20−20上にウェーハ1
5を載せる。次いで扉25が開けられ、ブレード24が
再び室13中へ挿入される。次いで第8図に示されるよ
うに、エレベータ設備44がウェーハ支持フィンガ20
−20を下げてウェーハ15をブレード24上に載せる
。
46がサセプターフィンガ22およびサセプター16を
下げ、ウェーハ支持フィンガ20−20上にウェーハ1
5を載せる。次いで扉25が開けられ、ブレード24が
再び室13中へ挿入される。次いで第8図に示されるよ
うに、エレベータ設備44がウェーハ支持フィンガ20
−20を下げてウェーハ15をブレード24上に載せる
。
フィンガ20−20が明らかにブレード24より下へ移
動した後、ブレードを引込め、フィンガ20および22
を、他のウェーハ挿入、処理および取出サイクルに先立
って第4図に示される位置に残す。
動した後、ブレードを引込め、フィンガ20および22
を、他のウェーハ挿入、処理および取出サイクルに先立
って第4図に示される位置に残す。
C0近IR放射加熱装置30
第2図および第9図に示される放射加熱装置は、均一な
ウェーハ温度、正確な絶対ウェーハ温度および低温、好
ましくはく600℃における迅速熱応答を与えるように
円形サセプター16およびウェーハ15(例えばシリコ
ン)を加熱する信頼性、効率性および高価でない装置を
与える。これらの目的の達成には多くの要件を満たさね
ばならない。
ウェーハ温度、正確な絶対ウェーハ温度および低温、好
ましくはく600℃における迅速熱応答を与えるように
円形サセプター16およびウェーハ15(例えばシリコ
ン)を加熱する信頼性、効率性および高価でない装置を
与える。これらの目的の達成には多くの要件を満たさね
ばならない。
第1に、均なウェーハ温度を達成するにはウェーハの縁
部における輻射損失を補償する必要がある。
部における輻射損失を補償する必要がある。
第2に、シリコンウェーハは近赤外スペクトル中で低温
で低輻射能を有するので、低いウェーハ温度(<600
℃)における高い能力は高輻射能、高伝熱性サセプター
を必要とする。さらに、近赤外放射が迅速熱応答を得、
高価でない材料例えば石英窓70を通す伝達に使用され
る。円形の薄いサセプター16は迅速な加熱および冷却
応答に対して低い熱キャパシタンスである。これらおよ
び後記の他の目的は第2図および第9図に示される放射
加熱装置30により達成される。
で低輻射能を有するので、低いウェーハ温度(<600
℃)における高い能力は高輻射能、高伝熱性サセプター
を必要とする。さらに、近赤外放射が迅速熱応答を得、
高価でない材料例えば石英窓70を通す伝達に使用され
る。円形の薄いサセプター16は迅速な加熱および冷却
応答に対して低い熱キャパシタンスである。これらおよ
び後記の他の目的は第2図および第9図に示される放射
加熱装置30により達成される。
加熱装置30は、好ましくは電磁スペクトルの近赤外部
に放射を与える小形、低廉、車端直立配置ランプ58−
58の環状配列を含む。ランプ58−58は、好ましく
はアルミニウムの環状円形反射器モジュール60内に装
備される。モジュールベース60はアルミニウムのブロ
ックカラ形成され、その中に機械加工研磨環状反射溝6
2を有する。溝62は弧状の一般に半円形反射底64を
有する。モジュール60およびランプ58−58はコリ
メート環状反射器62内に形成される環状冷却路66に
より冷却される。典型的には加圧供給からの冷却水であ
る冷却液の入口および出口に対して接続が与えられる。
に放射を与える小形、低廉、車端直立配置ランプ58−
58の環状配列を含む。ランプ58−58は、好ましく
はアルミニウムの環状円形反射器モジュール60内に装
備される。モジュールベース60はアルミニウムのブロ
ックカラ形成され、その中に機械加工研磨環状反射溝6
2を有する。溝62は弧状の一般に半円形反射底64を
有する。モジュール60およびランプ58−58はコリ
メート環状反射器62内に形成される環状冷却路66に
より冷却される。典型的には加圧供給からの冷却水であ
る冷却液の入口および出口に対して接続が与えられる。
出力はランプソケット63に供給され、典型的には、個
々の蒸着プロセスの要件に適する予定プログラム設定に
基いてランプ出力を自動的に変える可変出力供給から電
気供給ケーブル68によりランプ58に連結される。
々の蒸着プロセスの要件に適する予定プログラム設定に
基いてランプ出力を自動的に変える可変出力供給から電
気供給ケーブル68によりランプ58に連結される。
直立配置ランプ58−58の環状平行光は石英窓70を
経て室中へ通される。石英は近IR放射線に対し透明で
ある。透明石英窓70は窓70とハウジングとの間に真
空気密界面を与えるように環状シール72−72を用い
処理室13の底でハウジング12に装備される。この装
備配列は室13外部の放射エネルギー加熱源30を大気
圧に配置し、処理室の真空右よびその中の微粒子感受性
処理をランプから分離する。ブラケット74をランプ装
備ベース60に連結し、枢軸ビン76により枢軸で装備
して、ハウジング12に連結されたブラケット78に合
わせることができる。(あるいはランプモジュールを適
所にボルト固定することができる。処理室13の外部の
それと分離したランプ装置30のこの枢軸装備の結果、
ランプ装置は保守、ランプ置換などに対し、簡単にクラ
ンプ79を係脱し、装置をピン76の周りに下方へ回転
させることにより接近できる。
経て室中へ通される。石英は近IR放射線に対し透明で
ある。透明石英窓70は窓70とハウジングとの間に真
空気密界面を与えるように環状シール72−72を用い
処理室13の底でハウジング12に装備される。この装
備配列は室13外部の放射エネルギー加熱源30を大気
圧に配置し、処理室の真空右よびその中の微粒子感受性
処理をランプから分離する。ブラケット74をランプ装
備ベース60に連結し、枢軸ビン76により枢軸で装備
して、ハウジング12に連結されたブラケット78に合
わせることができる。(あるいはランプモジュールを適
所にボルト固定することができる。処理室13の外部の
それと分離したランプ装置30のこの枢軸装備の結果、
ランプ装置は保守、ランプ置換などに対し、簡単にクラ
ンプ79を係脱し、装置をピン76の周りに下方へ回転
させることにより接近できる。
前記のように、ランプ58−58は所要近赤外放射を与
える小、車端市販石英−タングステンーハロゲンランプ
である。適当なランプの1つはシルバニア(Sylva
nia、G、E、) 、ウシオ(Ushio)またはフ
ィリップス(Phillips)により供給されるAn
si型rFELJである。現在、約0.9〜1.5ミク
ロンの波長を与える14個の0.5〜1キロワット石英
−タングステンーハロゲンランプがランプモジュールの
上部に約94W/cdまでの環状平行出力密度を与える
。サセプターにおける最大出力密度(直径6 inのサ
セプターの外側〜1.5 in半径に実質的に向かう)
は全効率を考慮して〜17W/cniである(効率〜1
5〜16%)。より一般的には、約0.7〜2.5ミク
ロンの波長範囲にその放射を集中するランプが殊に有用
である。アルミニウムベース60および開底環状溝62
は中央よりもサセプター縁部に高い放射出力密度を向か
わせる高い収集効率のコリメート反射器を与える。この
不均一集中放射がサセプターウェーハの周辺を加熱し、
それがウェーハ端部熱損を補償し、従って、広範囲の室
ガス圧力およびウェーハ温度にわたり均一なウェーハ温
度を与える。
える小、車端市販石英−タングステンーハロゲンランプ
である。適当なランプの1つはシルバニア(Sylva
nia、G、E、) 、ウシオ(Ushio)またはフ
ィリップス(Phillips)により供給されるAn
si型rFELJである。現在、約0.9〜1.5ミク
ロンの波長を与える14個の0.5〜1キロワット石英
−タングステンーハロゲンランプがランプモジュールの
上部に約94W/cdまでの環状平行出力密度を与える
。サセプターにおける最大出力密度(直径6 inのサ
セプターの外側〜1.5 in半径に実質的に向かう)
は全効率を考慮して〜17W/cniである(効率〜1
5〜16%)。より一般的には、約0.7〜2.5ミク
ロンの波長範囲にその放射を集中するランプが殊に有用
である。アルミニウムベース60および開底環状溝62
は中央よりもサセプター縁部に高い放射出力密度を向か
わせる高い収集効率のコリメート反射器を与える。この
不均一集中放射がサセプターウェーハの周辺を加熱し、
それがウェーハ端部熱損を補償し、従って、広範囲の室
ガス圧力およびウェーハ温度にわたり均一なウェーハ温
度を与える。
簡単に記載すると、容易に冷却されて低温に維持され、
めっきを必要としないコンパクトな単一アルミニウムモ
ジュール60中に装備した小形の高価でないランプによ
り所望の均一な放射ウェル加熱が与えられる。さらに、
近IRランプおよび薄い低質量、低熱容量、高輻射能サ
セプターの材料例えば黒鉛の使用が最高効率、迅速熱応
答、優れた温度均一性および石英窓70透過を与える。
めっきを必要としないコンパクトな単一アルミニウムモ
ジュール60中に装備した小形の高価でないランプによ
り所望の均一な放射ウェル加熱が与えられる。さらに、
近IRランプおよび薄い低質量、低熱容量、高輻射能サ
セプターの材料例えば黒鉛の使用が最高効率、迅速熱応
答、優れた温度均一性および石英窓70透過を与える。
他のサセプター材料には陽極処理アルミニウム、酸化ア
ルミニウム(AjlzO*)または炭化ケイ素のような
層でコートした黒鉛、あるいはA l t03またはS
iCでコートした複合セラミックあるいは他の材料が含
まれる。また種々の高さまたは直径を有する交換可能な
モジュール60を種々のサセプターおよびウェーハ直径
の適応に使用することができる。リアクターのこの変形
は5〜6 inのウェーハに対して設計される。しかし
、異なるランプモジュールをモジュール高さ並びに(ま
たは)モジュールの半径およびフィラメントサークルの
変更により小または大直径のウェーハに対して提供する
ことができる。最後に、加熱装置30は、制御器(図示
なし)例えば位相角出力制御を用いる閉環温度制御装置
を用いて迅速熱応答および迅速ウェーハ温度安定性を与
えることができる。
ルミニウム(AjlzO*)または炭化ケイ素のような
層でコートした黒鉛、あるいはA l t03またはS
iCでコートした複合セラミックあるいは他の材料が含
まれる。また種々の高さまたは直径を有する交換可能な
モジュール60を種々のサセプターおよびウェーハ直径
の適応に使用することができる。リアクターのこの変形
は5〜6 inのウェーハに対して設計される。しかし
、異なるランプモジュールをモジュール高さ並びに(ま
たは)モジュールの半径およびフィラメントサークルの
変更により小または大直径のウェーハに対して提供する
ことができる。最後に、加熱装置30は、制御器(図示
なし)例えば位相角出力制御を用いる閉環温度制御装置
を用いて迅速熱応答および迅速ウェーハ温度安定性を与
えることができる。
加熱装置30の単純性、低質量および高性能特性が、典
型的には二重端石英−タングステン−ハロゲンランプの
方形配置を使用する従来のウェーハ加熱方法と対照的で
あることに注意すべきである。従来の放射加熱慣例はよ
り大きいサセプターの使用、また優秀な温度均一性が必
要であればウェーハ中心からのブロック放射をしなけれ
ばならず、従って放射の方向変換よりもむしろ効率を犠
牲にする。放射加熱装置30の慣例に比べた利点には前
記均一ウェーハ温度および一層早い応答時間(加熱およ
び冷却)に加えて、小形のかさばらない一層容易に維持
される高信頼性の装置(長いランプ寿命)、一層効率的
な作用、および低いコストが含まれる。
型的には二重端石英−タングステン−ハロゲンランプの
方形配置を使用する従来のウェーハ加熱方法と対照的で
あることに注意すべきである。従来の放射加熱慣例はよ
り大きいサセプターの使用、また優秀な温度均一性が必
要であればウェーハ中心からのブロック放射をしなけれ
ばならず、従って放射の方向変換よりもむしろ効率を犠
牲にする。放射加熱装置30の慣例に比べた利点には前
記均一ウェーハ温度および一層早い応答時間(加熱およ
び冷却)に加えて、小形のかさばらない一層容易に維持
される高信頼性の装置(長いランプ寿命)、一層効率的
な作用、および低いコストが含まれる。
容易に接近できる外部放射熱B30の使用はガスパージ
装置により容易にされ、維持される。次節に記載するよ
うに、この装置はパージガス流を石英窓70の真空側を
横切らせ、窓上の蒸着を防ぎ窓を清浄に保つ。従って、
パージはランプ効率に対して主要な貢献をする。これは
清浄化間のプロセスサイクルを増大し、その結果浄化に
要する関連設備の非稼働時間を低下させる。
装置により容易にされ、維持される。次節に記載するよ
うに、この装置はパージガス流を石英窓70の真空側を
横切らせ、窓上の蒸着を防ぎ窓を清浄に保つ。従って、
パージはランプ効率に対して主要な貢献をする。これは
清浄化間のプロセスサイクルを増大し、その結果浄化に
要する関連設備の非稼働時間を低下させる。
D、ガスマニホールド26および関連整流装置ガス整流
装置32は少くとも4つの構造特徴の特有の組合せを与
えるように構成される。第1に、ガスマニホールド26
は電極対の一方(出力供給側)である。第2に、ガスマ
ニホールド26および他のガス整流表面が制御された温
度であり、それがウェーハ15上の均一な蒸着に寄与し
、〜35℃で凝縮し、〜75℃で分解またはオゾンと反
応する反応物ガス例えばTE01の使用にもかかわらず
、プラズマ処理領域14の上流のガス整流装置内のガス
の分解、蒸着または凝縮を防ぐ。外部マニホールド温度
は例えば〉100℃に制御して薄片状微粒子生成蒸着物
の蒸着の析出を防ぐ。第3に、ガスマニホールド26お
よびガス整流装置32が清浄な均一蒸着処理を与える。
装置32は少くとも4つの構造特徴の特有の組合せを与
えるように構成される。第1に、ガスマニホールド26
は電極対の一方(出力供給側)である。第2に、ガスマ
ニホールド26および他のガス整流表面が制御された温
度であり、それがウェーハ15上の均一な蒸着に寄与し
、〜35℃で凝縮し、〜75℃で分解またはオゾンと反
応する反応物ガス例えばTE01の使用にもかかわらず
、プラズマ処理領域14の上流のガス整流装置内のガス
の分解、蒸着または凝縮を防ぐ。外部マニホールド温度
は例えば〉100℃に制御して薄片状微粒子生成蒸着物
の蒸着の析出を防ぐ。第3に、ガスマニホールド26お
よびガス整流装置32が清浄な均一蒸着処理を与える。
第4に、合流周辺パージガス流がガス整流領域の外部、
すなわちウェーハ外部の内部室表面、および整流装置表
面上の蒸着を防ぐ。
すなわちウェーハ外部の内部室表面、および整流装置表
面上の蒸着を防ぐ。
ガス整流装置32の上記特徴は第2図の縦断面図および
第10図の拡大縦断面図に最も明らかに示される。ガス
マニホールド26および関連整流装置はハウジングカバ
ー80の一部であり、枢軸手段(図示なし)によりハウ
ジング12に軸支装備され、室13、プラズマ処理室1
4、並びにウェーハおよびサセプターエレベータ設備(
44,46)の関連内部要素を含むハウジングの内部へ
の到達を容易にする。
第10図の拡大縦断面図に最も明らかに示される。ガス
マニホールド26および関連整流装置はハウジングカバ
ー80の一部であり、枢軸手段(図示なし)によりハウ
ジング12に軸支装備され、室13、プラズマ処理室1
4、並びにウェーハおよびサセプターエレベータ設備(
44,46)の関連内部要素を含むハウジングの内部へ
の到達を容易にする。
フィードスルー36からプロセスガス流は多孔マニホー
ルド面板92により形成されたガスマニホールド室90
に連通ずる、すなわちそれに供給する入口孔88により
カバー80中へ向かわされる。特有設計のバッフルプレ
ート94はプロセスガスをパンフル94の端部の外側を
回り、次いでバッフルの底に沿って半径方向内方へ、マ
ニホールドプレート中の孔96−96を出てウェーハ1
5上のプラズマ処理領域14中へ進むように装置例えば
スタンドオフ(図示なし)によりガスマニホールド室9
0内に装備される。
ルド面板92により形成されたガスマニホールド室90
に連通ずる、すなわちそれに供給する入口孔88により
カバー80中へ向かわされる。特有設計のバッフルプレ
ート94はプロセスガスをパンフル94の端部の外側を
回り、次いでバッフルの底に沿って半径方向内方へ、マ
ニホールドプレート中の孔96−96を出てウェーハ1
5上のプラズマ処理領域14中へ進むように装置例えば
スタンドオフ(図示なし)によりガスマニホールド室9
0内に装備される。
マニホールド26を含むカバー80は、流体または液体
の内部流例えば脱イオン水により入口溝82、環状m8
4および出口溝86により規定された内部流路81に沿
って加熱(または冷却される)。好ましくはこの流れは
、プラズマに暴露されるガスマニホールドの面上の蒸着
が硬質フィルムであることを保証するために面板92を
100〜200℃の範囲内に保持する。この表面上に形
成される不十分なフィルムは微粒子を生ずることができ
、これは回避しなければならない。また流れはバッフル
94を、最も好ましくは35〜65℃の範囲内に保持し
、低蒸気圧プロセスガス例えばTE01の内部蒸着また
は凝縮を防ぎ、ガス例えばTE01およびオゾンの分解
および反応を防止する。そのような分解が時間、温度(
t、T)に正比例することに注意されたい。従って、プ
レート94と92との間の約0.1〜0.2インチの非
常に小さい間隙rdJもまた内部分解に対する傾向を低
下する。
の内部流例えば脱イオン水により入口溝82、環状m8
4および出口溝86により規定された内部流路81に沿
って加熱(または冷却される)。好ましくはこの流れは
、プラズマに暴露されるガスマニホールドの面上の蒸着
が硬質フィルムであることを保証するために面板92を
100〜200℃の範囲内に保持する。この表面上に形
成される不十分なフィルムは微粒子を生ずることができ
、これは回避しなければならない。また流れはバッフル
94を、最も好ましくは35〜65℃の範囲内に保持し
、低蒸気圧プロセスガス例えばTE01の内部蒸着また
は凝縮を防ぎ、ガス例えばTE01およびオゾンの分解
および反応を防止する。そのような分解が時間、温度(
t、T)に正比例することに注意されたい。従って、プ
レート94と92との間の約0.1〜0.2インチの非
常に小さい間隙rdJもまた内部分解に対する傾向を低
下する。
例として、二酸化ケイ素の蒸着に関連する1プロセスの
適用において、酸素、TE01および担体ガスがマニホ
ールド26から室14へ、0.5〜200トルの室圧力
で装入され蒸着用反応物種が形成される。ウェーハ15
は375℃に加熱され、熱脱イオン水(水温40〜65
℃)は流路81に沿ってプレート92をく65℃に保ち
、プレート94を〉100℃に保つ適当な流れで装入さ
れる。
適用において、酸素、TE01および担体ガスがマニホ
ールド26から室14へ、0.5〜200トルの室圧力
で装入され蒸着用反応物種が形成される。ウェーハ15
は375℃に加熱され、熱脱イオン水(水温40〜65
℃)は流路81に沿ってプレート92をく65℃に保ち
、プレート94を〉100℃に保つ適当な流れで装入さ
れる。
(脱イオン水はマニホールド26がRF出力カソードで
あり、脱イオン水が不導体であるので使用される)。よ
り一般には、水の装入温度はガスボックス90の内部表
面および外部表面の両方を所望温度に維持するために個
々の蒸着プロセスおよびその関連ガス化学並びに他のパ
ラメータに必要なように選ばれる。
あり、脱イオン水が不導体であるので使用される)。よ
り一般には、水の装入温度はガスボックス90の内部表
面および外部表面の両方を所望温度に維持するために個
々の蒸着プロセスおよびその関連ガス化学並びに他のパ
ラメータに必要なように選ばれる。
重ねて記載すると、プロセスガス流は流路91に沿って
入口孔88を通ってマニホールド室90中へ、パンフル
94の縁部へ半径方向に外方へ、そしてパンフル周辺を
回ってその底部へ、次いでパンフル94とマニホールド
プレート96との間を半径方向に内方へ、孔96−96
を出てウェーハ15上のプラズマ処理領域14中へ規定
される。
入口孔88を通ってマニホールド室90中へ、パンフル
94の縁部へ半径方向に外方へ、そしてパンフル周辺を
回ってその底部へ、次いでパンフル94とマニホールド
プレート96との間を半径方向に内方へ、孔96−96
を出てウェーハ15上のプラズマ処理領域14中へ規定
される。
孔96−96から出る蒸着ガスの流路は一般にウェーハ
を半径方向に外方へ横切る。
を半径方向に外方へ横切る。
さらに、小容積の真空処理室14および約0.5トル〜
近大気圧の範囲の、高い有用室圧力もまたウェーハ上に
均一な蒸着をなし、ウェーハ上以外に蒸着しないでパー
ジするウェーハ15の中心から半径方向に外方へ向う均
一な流れを与える傾向に寄与する。
近大気圧の範囲の、高い有用室圧力もまたウェーハ上に
均一な蒸着をなし、ウェーハ上以外に蒸着しないでパー
ジするウェーハ15の中心から半径方向に外方へ向う均
一な流れを与える傾向に寄与する。
マニホールド孔96−96は、蒸着のこの均一性を助長
するように設計される。孔(並びに前記マニホールド温
度)もまたマニホールド外部(底)表面97上の蒸着の
形成を回避し、殊に処理中および後に薄片になってウェ
ーハ上に落下できる表面97上の軟質蒸着物の析出を防
ぐように設計される。簡単に記載すると、孔の配列は孔
96−96の一般に同心環の1つである。隣接環間の距
離(環対環間隔)はおよそ等しく、各環内の孔対孔間隔
はおよそ等しい。しかし、パターンは単に2つ(または
若干の他の数)の隣接孔が半径方向・に整列するにすぎ
ないように角度がずらされる。すなわち、ガス整流プレ
ート92中の孔は孔位置が半径方向に直線を形成せず、
それによりガス整流プレート自体上の蒸着を実質的に低
下し、均一なガス流およびウェーハ上の蒸着を確立する
ように円上に等間隔にある。
するように設計される。孔(並びに前記マニホールド温
度)もまたマニホールド外部(底)表面97上の蒸着の
形成を回避し、殊に処理中および後に薄片になってウェ
ーハ上に落下できる表面97上の軟質蒸着物の析出を防
ぐように設計される。簡単に記載すると、孔の配列は孔
96−96の一般に同心環の1つである。隣接環間の距
離(環対環間隔)はおよそ等しく、各環内の孔対孔間隔
はおよそ等しい。しかし、パターンは単に2つ(または
若干の他の数)の隣接孔が半径方向・に整列するにすぎ
ないように角度がずらされる。すなわち、ガス整流プレ
ート92中の孔は孔位置が半径方向に直線を形成せず、
それによりガス整流プレート自体上の蒸着を実質的に低
下し、均一なガス流およびウェーハ上の蒸着を確立する
ように円上に等間隔にある。
マニホールド92を通る孔の長さ、すなわちマニホール
ドプレート92の厚さ、および横断孔直径もまた均一な
蒸着を助長するように選ばれる。
ドプレート92の厚さ、および横断孔直径もまた均一な
蒸着を助長するように選ばれる。
孔の長さの増大/減少は孔直径の増大/減少と同様に蒸
着物の厚さを内から外/外から内に低下する効果を有す
る。
着物の厚さを内から外/外から内に低下する効果を有す
る。
現在用いた配置において、約3400孔の96−96が
使用される。孔の深さは0.100〜0.150inで
あり孔の直径は0.028〜0.035 inであり、
半径方向不対称孔が約0.090 in中心で配置され
る。これらの次元および関連配置は均一な流れパターン
ヲ与え、マニホールドプレート92上の蒸着を実質的に
減少する。この〜5 inマニホールド直径は〜(i
in程度の大きさのウェーハ直径に適合する。大きいマ
ニホールド26、サセプター16、大直径サセプター1
6およびウェーハ支持フィン′ガ配列に変えることによ
り、またランプモジュール30を前記のように変更する
ことにより一層大きいウェーハを処理することができる
。
使用される。孔の深さは0.100〜0.150inで
あり孔の直径は0.028〜0.035 inであり、
半径方向不対称孔が約0.090 in中心で配置され
る。これらの次元および関連配置は均一な流れパターン
ヲ与え、マニホールドプレート92上の蒸着を実質的に
減少する。この〜5 inマニホールド直径は〜(i
in程度の大きさのウェーハ直径に適合する。大きいマ
ニホールド26、サセプター16、大直径サセプター1
6およびウェーハ支持フィン′ガ配列に変えることによ
り、またランプモジュール30を前記のように変更する
ことにより一層大きいウェーハを処理することができる
。
さらに第10図を参照すると、矢93.95.97によ
り示されるように、第1上部パージガス流路がカバー8
0およびマニホールド26中に備えられる。すなわち、
RF/ガスフィードスルー36からパージガス流はカバ
ー80中の入口孔98中へ導びかれ(矢93)、それが
半径方向の流路または溝100中へ供給し、次いでそれ
がマニホールド室90と同心、直上でその外部のカバー
中に形成された環状溝102中へ供給する(矢95)、
環状流ターナ−104がマニホールドプレートリム10
5の内部に同心的に装備され、環状溝102をマニホー
ルドプレート92中の孔108の3出口矢列に連結する
マニホールドリムの内側の周辺溝106を形成する。
り示されるように、第1上部パージガス流路がカバー8
0およびマニホールド26中に備えられる。すなわち、
RF/ガスフィードスルー36からパージガス流はカバ
ー80中の入口孔98中へ導びかれ(矢93)、それが
半径方向の流路または溝100中へ供給し、次いでそれ
がマニホールド室90と同心、直上でその外部のカバー
中に形成された環状溝102中へ供給する(矢95)、
環状流ターナ−104がマニホールドプレートリム10
5の内部に同心的に装備され、環状溝102をマニホー
ルドプレート92中の孔108の3出口矢列に連結する
マニホールドリムの内側の周辺溝106を形成する。
第11図に示されるように、パージ孔108−108は
プロセスガス孔106−106と同様に、一般におよそ
等しい環対環距離に間隔をあけた同心環中に配列される
。環内孔間隔はパージ孔108−10.8が千鳥半径方
向線を形成するように、すなわち2隣接パージ孔が半径
方向線に沿わないように選ばれる。上記の典型的なマニ
ホールドに対してガスは約600孔から配分され、次の
パージ孔次元が使用される:環間間隔0.090 in
;孔直径0.025 in ;および孔長0.040
in。
プロセスガス孔106−106と同様に、一般におよそ
等しい環対環距離に間隔をあけた同心環中に配列される
。環内孔間隔はパージ孔108−10.8が千鳥半径方
向線を形成するように、すなわち2隣接パージ孔が半径
方向線に沿わないように選ばれる。上記の典型的なマニ
ホールドに対してガスは約600孔から配分され、次の
パージ孔次元が使用される:環間間隔0.090 in
;孔直径0.025 in ;および孔長0.040
in。
第2図を参照すると、第2の下部パージ流路101.1
03.105がハウジング12の側面に形成された入口
孔110を経て設けられ、それは石英窓70の直上に処
理室13の下部の周りに一般に同心的に形成された環状
n112中へ連結または供給する。溝112は室13の
下部領域の周りに間隔を置いた孔、または石英窓70を
均一に横切り(矢103参照)、ウェーハ15の下縁を
周り(矢105)、ウェーハ処理室14の直下の室13
をとり巻く水平石英カバープレート114を横切る下部
パージガスを供給する特徴を生ずる等個物を有する。ま
た第1図を参照すると、プレート114は環状パターン
の孔116を有し、その中に環状ガス出口溝118が配
列される。この溝は出口孔121を経て普通の真空ポン
プ装置(図示なし)に連結され、それが室内の真空を確
立し、使用済ガスおよび連行生成物を室から排除する。
03.105がハウジング12の側面に形成された入口
孔110を経て設けられ、それは石英窓70の直上に処
理室13の下部の周りに一般に同心的に形成された環状
n112中へ連結または供給する。溝112は室13の
下部領域の周りに間隔を置いた孔、または石英窓70を
均一に横切り(矢103参照)、ウェーハ15の下縁を
周り(矢105)、ウェーハ処理室14の直下の室13
をとり巻く水平石英カバープレート114を横切る下部
パージガスを供給する特徴を生ずる等個物を有する。ま
た第1図を参照すると、プレート114は環状パターン
の孔116を有し、その中に環状ガス出口溝118が配
列される。この溝は出口孔121を経て普通の真空ポン
プ装置(図示なし)に連結され、それが室内の真空を確
立し、使用済ガスおよび連行生成物を室から排除する。
前記のように(第10図参照)、上部パージガス流は入
口98 (矢93)、溝100.102および106
(矢95)を通り、次いでパージ環孔108−108を
処理配置ウェーハ15の外側上端にでる。同様に(第2
図参照)、下部ガス流は入口110および環状環112
を通り石英窓70を横切って窓を清浄に洗い流しく矢1
03)、次いで上方へ、ウェーハ15の下部周辺底縁へ
向う(矢105)。第10図を参照すると、上部および
下部ガスパージ流97および105はウェーハの端部で
合流し、矢107により示されるように外方へプレート
114を横切り、孔116を通り、環状排出溝118中
へ入り流路109に沿って室から出る(第2図)。この
上部および下部の合流パターンは石英窓70を清浄に保
つだけでなく、また使用済ガス、連行微粒子などを室1
3の外へ洗い流す。内部石英窓字面および周辺ウェーハ
縁と共形の上部および下部ガス流の合流並びに高い室圧
力(PECVDに対し異常に高い)が非常に有効なパー
ジを与え、ウェーハ外の蒸着を防止する。
口98 (矢93)、溝100.102および106
(矢95)を通り、次いでパージ環孔108−108を
処理配置ウェーハ15の外側上端にでる。同様に(第2
図参照)、下部ガス流は入口110および環状環112
を通り石英窓70を横切って窓を清浄に洗い流しく矢1
03)、次いで上方へ、ウェーハ15の下部周辺底縁へ
向う(矢105)。第10図を参照すると、上部および
下部ガスパージ流97および105はウェーハの端部で
合流し、矢107により示されるように外方へプレート
114を横切り、孔116を通り、環状排出溝118中
へ入り流路109に沿って室から出る(第2図)。この
上部および下部の合流パターンは石英窓70を清浄に保
つだけでなく、また使用済ガス、連行微粒子などを室1
3の外へ洗い流す。内部石英窓字面および周辺ウェーハ
縁と共形の上部および下部ガス流の合流並びに高い室圧
力(PECVDに対し異常に高い)が非常に有効なパー
ジを与え、ウェーハ外の蒸着を防止する。
同様に重要な、均一な半径方向ガス流が、ウェーハ15
の周り周辺に整流プレート114中に形成された複数の
孔116−116 (5つ例示)によりウェーハ15を
横切って与えられる。これらの孔116は大半円形排出
溝118に連通し、それが単一出口連結121を経て真
空排出ポンプ装置に連結される。溝118はその比較的
大きい容積のために孔116−116に比べて大きい伝
導力を有し、それが簡単な1点ポンプ連結でウェーハか
ら容易に全地点に均一なポンプ吸出を与える。
の周り周辺に整流プレート114中に形成された複数の
孔116−116 (5つ例示)によりウェーハ15を
横切って与えられる。これらの孔116は大半円形排出
溝118に連通し、それが単一出口連結121を経て真
空排出ポンプ装置に連結される。溝118はその比較的
大きい容積のために孔116−116に比べて大きい伝
導力を有し、それが簡単な1点ポンプ連結でウェーハか
ら容易に全地点に均一なポンプ吸出を与える。
マニホールド26により与えられる均一なガス流整流入
口バターンとの組合せで、この均一な半径方向吸出がウ
ェーハ15を横切る均一なガス流をすべての圧で、従っ
て非常に高い室圧力例えば200トルおよびそれ以上で
も均一な蒸着を、与える。
口バターンとの組合せで、この均一な半径方向吸出がウ
ェーハ15を横切る均一なガス流をすべての圧で、従っ
て非常に高い室圧力例えば200トルおよびそれ以上で
も均一な蒸着を、与える。
またマニホールド26は異常に高い圧力で均一なグロー
放電プラズマに対する電極として使用することができ、
それが非常に高い蒸着速度および有効なパージ流をとも
に可能にする。
放電プラズマに対する電極として使用することができ、
それが非常に高い蒸着速度および有効なパージ流をとも
に可能にする。
E、抗電気分解ガスフィードスルー36前記のように、
出力RF電極としてガスボックス26を用いる利点には
、RFエネルギーをウェーハに与えてガスボックスを接
地すれば達成できない高度のプラズマ幽閉を可能にする
接地対向電極上にあろウェーハが含まれる。さらに、ハ
ードウェアは、ウェーハ/サセプターおよび室内の電気
絶縁が必要でない(または許される)ので、機械的およ
び電気的に簡単である。高周波電気および磁場鼻下のサ
セプター/ウェーハの温度測定および制御はサセプター
を接地すると非常に単純化される。
出力RF電極としてガスボックス26を用いる利点には
、RFエネルギーをウェーハに与えてガスボックスを接
地すれば達成できない高度のプラズマ幽閉を可能にする
接地対向電極上にあろウェーハが含まれる。さらに、ハ
ードウェアは、ウェーハ/サセプターおよび室内の電気
絶縁が必要でない(または許される)ので、機械的およ
び電気的に簡単である。高周波電気および磁場鼻下のサ
セプター/ウェーハの温度測定および制御はサセプター
を接地すると非常に単純化される。
しかし、高出力高周波の大電位RFエネルギーの普通の
ガス整流設備に対する、殊に金属ガス配管とガスマニホ
ールドとの間の短距離を横切る適用は、通常プラズマ処
理室の上流の整流装置内のプロセスガスの早気電気分解
を生ずる。換言すれば、従来の装置においてRF雷電圧
整流装置内にプラズマを生じ、それがガス整流装置の内
部表面上にプロセスガスの好ましくない蒸着を生ずる。
ガス整流設備に対する、殊に金属ガス配管とガスマニホ
ールドとの間の短距離を横切る適用は、通常プラズマ処
理室の上流の整流装置内のプロセスガスの早気電気分解
を生ずる。換言すれば、従来の装置においてRF雷電圧
整流装置内にプラズマを生じ、それがガス整流装置の内
部表面上にプロセスガスの好ましくない蒸着を生ずる。
我々のRF/ガスフィードスルー36(第12図)は、
プロセスガスの分解なく、またガス整流装置内の蒸着な
くRF出力をガスボックス26に適用する、すなわちガ
スボックスを出力RF電極として使用するように設計さ
れる。
プロセスガスの分解なく、またガス整流装置内の蒸着な
くRF出力をガスボックス26に適用する、すなわちガ
スボックスを出力RF電極として使用するように設計さ
れる。
また、小形コンパクトCVDリアクターを達成する全目
的の1つに一致して、電気分解を生ずる高局部電界を排
除するために高RF電位をガス流路に並行に適用して一
定距離に定電位勾配を生ぜしめる我々の設計目的にもか
かわらずRF/ガスフィードスルー36はコンパクトな
低プロフイル設計である。
的の1つに一致して、電気分解を生ずる高局部電界を排
除するために高RF電位をガス流路に並行に適用して一
定距離に定電位勾配を生ぜしめる我々の設計目的にもか
かわらずRF/ガスフィードスルー36はコンパクトな
低プロフイル設計である。
従来のガスフィードスルーが上記目的を達成できないこ
とに言及しなければならない。そのような従来技術の方
法が2つ我々に知られている。第1の方法はガスを高電
位差にある表面間を絶縁管内ニ流す。第2の方法はプロ
セスガスを高電位差の表面間を絶縁体内に電気分解が生
じないように十分高いガス圧で流す。第1の方法はコン
パクトでなく、また電気分解が一層生じやすい高周波で
行なわれない(例えば第14図、曲線201および20
0参照)。またこの第1の方法は小さいp・d(圧力・
距離)積がある場合に運転できない。
とに言及しなければならない。そのような従来技術の方
法が2つ我々に知られている。第1の方法はガスを高電
位差にある表面間を絶縁管内ニ流す。第2の方法はプロ
セスガスを高電位差の表面間を絶縁体内に電気分解が生
じないように十分高いガス圧で流す。第1の方法はコン
パクトでなく、また電気分解が一層生じやすい高周波で
行なわれない(例えば第14図、曲線201および20
0参照)。またこの第1の方法は小さいp・d(圧力・
距離)積がある場合に運転できない。
第2の方法は第1の方法よりもコンパクトであり、高周
波において電気分解されることが少ないが、しかしまた
小圧力・距離績がある場合に電気分解をうけ易い。
波において電気分解されることが少ないが、しかしまた
小圧力・距離績がある場合に電気分解をうけ易い。
次に第2図およびまず第12図を参照すると、フィード
スルー36は入口端連結具またはマニホールド120、
出口端連結具またはマニホールド122、並びに石英管
126および長い絶縁変遷ハウジング128を含む中間
ガスフィード構造物124を含む。ブロック128は端
連結具120と122との間に真空気密装備を与えるO
−リングシール130−130を用いてそれに装備され
る。石英管126の内部孔127は入口端連結具申のガ
ス入口134および出口端連結具申のガス出口孔136
に連通ずる。
スルー36は入口端連結具またはマニホールド120、
出口端連結具またはマニホールド122、並びに石英管
126および長い絶縁変遷ハウジング128を含む中間
ガスフィード構造物124を含む。ブロック128は端
連結具120と122との間に真空気密装備を与えるO
−リングシール130−130を用いてそれに装備され
る。石英管126の内部孔127は入口端連結具申のガ
ス入口134および出口端連結具申のガス出口孔136
に連通ずる。
オゾン発生器、液体TEO5蒸発器、および普通の加圧
ガスタンクまたはボトルのような源からの圧力下のプロ
セスガスおよびパージガスが普通の弁または制御流量適
用のための自動流れ制御装置を通して入口マニホールド
120へ導かれる。
ガスタンクまたはボトルのような源からの圧力下のプロ
セスガスおよびパージガスが普通の弁または制御流量適
用のための自動流れ制御装置を通して入口マニホールド
120へ導かれる。
矢131−135に示されるように、プロセスガスは入
口孔134を経てフィードスルー装置36を通り、石英
管126を通って出口孔136を出、ガス整流ヘッド3
2中の適合入口孔88中へ導かれる。第12図には例示
を容易にするために拡大して示されていることに注意さ
れたい。実際のフィードスルー36はガス出口136お
よび146がリアクターカバー80中の適合溝または孔
88および98と整合するような大きさである。
口孔134を経てフィードスルー装置36を通り、石英
管126を通って出口孔136を出、ガス整流ヘッド3
2中の適合入口孔88中へ導かれる。第12図には例示
を容易にするために拡大して示されていることに注意さ
れたい。実際のフィードスルー36はガス出口136お
よび146がリアクターカバー80中の適合溝または孔
88および98と整合するような大きさである。
パージガスは、プロセスガス流路131−133−13
5に沿って漏洩があればプロセスガスがパージガスとと
もに処理室14中へ無害に輸送され、従って周囲に漏出
しないようにプロセスガス流路と共軸にフィードスルー
を通して導かれる。
5に沿って漏洩があればプロセスガスがパージガスとと
もに処理室14中へ無害に輸送され、従って周囲に漏出
しないようにプロセスガス流路と共軸にフィードスルー
を通して導かれる。
入来パージガスは入口端連結具120中の入口孔138
に適用される。孔138は石英管126の入口端近くに
装備されるスプール型取付具140中に形成された環状
溝139に連通ずる。このスプール取付具140は円筒
形フランジ取付具142および0−リングシール144
によりその外端で密封される。環状溝139は孔(図示
なし)を経て管126と同心ブロック128との間の環
状路147に連通ずる。流路147は同様に管126の
出口端における取付具140中の環状室139中へ供給
する。管126は入口端に関して記載したと同様に出口
端に装備され、密封される。出口端における室139は
出口孔136へ供給する。
に適用される。孔138は石英管126の入口端近くに
装備されるスプール型取付具140中に形成された環状
溝139に連通ずる。このスプール取付具140は円筒
形フランジ取付具142および0−リングシール144
によりその外端で密封される。環状溝139は孔(図示
なし)を経て管126と同心ブロック128との間の環
状路147に連通ずる。流路147は同様に管126の
出口端における取付具140中の環状室139中へ供給
する。管126は入口端に関して記載したと同様に出口
端に装備され、密封される。出口端における室139は
出口孔136へ供給する。
この配列は矢148−156により示されるように、フ
ィードスルー36を通る分離したパージガス流路を与え
る。
ィードスルー36を通る分離したパージガス流路を与え
る。
前記共軸ガスフィード装置は、雰囲気中への可能な有毒
プロセスガスの漏洩を防ぐ二重バリヤを与える。すなわ
ち、適合表面間の周囲への途は2組のO−リングシール
130および1゛44により遮断される。これらのシー
ルは周囲への可能な途に沿って直列に配置される。また
上記のように、内部装置漏洩例えは石英管126中の漏
洩の場合に、パージガス流がプロセスガスを処理室14
中へ運び、そこでともに室真空装置により排出される。
プロセスガスの漏洩を防ぐ二重バリヤを与える。すなわ
ち、適合表面間の周囲への途は2組のO−リングシール
130および1゛44により遮断される。これらのシー
ルは周囲への可能な途に沿って直列に配置される。また
上記のように、内部装置漏洩例えは石英管126中の漏
洩の場合に、パージガス流がプロセスガスを処理室14
中へ運び、そこでともに室真空装置により排出される。
さらに、プロセスガスおよびパージガスは完全に室要素
を通り、すなわち、実質的なブロック/本体、例えばア
ルミニウム連結具120および122、並びに絶縁ブロ
ック128を通って導かれる。従って、外部の、典型的
には柔軟な、ガス配管がリアクターに排除される。非常
に厚い、強固な流路固定具、二重シールバリヤー、およ
びパージガス流がプロセスガス流を包囲する共軸ガス流
が安全強固なガス流路をリアクターおよびフィードスル
ー装置中に与える。
を通り、すなわち、実質的なブロック/本体、例えばア
ルミニウム連結具120および122、並びに絶縁ブロ
ック128を通って導かれる。従って、外部の、典型的
には柔軟な、ガス配管がリアクターに排除される。非常
に厚い、強固な流路固定具、二重シールバリヤー、およ
びパージガス流がプロセスガス流を包囲する共軸ガス流
が安全強固なガス流路をリアクターおよびフィードスル
ー装置中に与える。
第12図に示されるように、入口端連結具120は接地
に連結される。出口端連結具122はRF出力供給12
8に連結され、RFエネルギーをカバー80およびマニ
ホールドプレート92に連結する。定電位勾配は、接地
連結具120と駆動連結具122との間のプロセスガス
のカラム沿いに、石英絶縁管26の表面に沿って3つの
型の定電圧勾配要素;抵抗、誘導または容量性(実効)
インピーダンスを与えるラジオ周波コイル、抵抗フィル
ムあるいは抵抗スリーブ、の1つを設けることにより与
えられる。
に連結される。出口端連結具122はRF出力供給12
8に連結され、RFエネルギーをカバー80およびマニ
ホールドプレート92に連結する。定電位勾配は、接地
連結具120と駆動連結具122との間のプロセスガス
のカラム沿いに、石英絶縁管26の表面に沿って3つの
型の定電圧勾配要素;抵抗、誘導または容量性(実効)
インピーダンスを与えるラジオ周波コイル、抵抗フィル
ムあるいは抵抗スリーブ、の1つを設けることにより与
えられる。
第13A図に示されるように、RFコイル160は石英
ガス管に、その端間に巻き回したワイヤコイルおよび管
長を横切って適用される高電圧である。好ましくはワイ
ヤコイル160は隘24〜Ni126AWGアルミニウ
ムコイルである。操作周波における所望の電気インピー
ダンスはワイヤ材料、直径、巻き数毎単位長、長さおよ
び巻付は技術の選択により得られる。このACインピー
ダンスは純誘導/抵抗インピーダンスまたは純容量性/
抵抗インピーダンスを有するように、あるいは純粋に抵
抗性(共鳴)であるように選ぶことができる。
ガス管に、その端間に巻き回したワイヤコイルおよび管
長を横切って適用される高電圧である。好ましくはワイ
ヤコイル160は隘24〜Ni126AWGアルミニウ
ムコイルである。操作周波における所望の電気インピー
ダンスはワイヤ材料、直径、巻き数毎単位長、長さおよ
び巻付は技術の選択により得られる。このACインピー
ダンスは純誘導/抵抗インピーダンスまたは純容量性/
抵抗インピーダンスを有するように、あるいは純粋に抵
抗性(共鳴)であるように選ぶことができる。
ACおよびDC抵抗を選ぶことができる(ワイヤ直径、
長さおよび材料を用いて)。典型的な適用には高誘導抵
抗を有するが、しかしDC短絡である。13.56 M
1]zのラジオ周波駆動装置に対し、RFコイル型ラフ
イードスルーインダクタンスに対する典型値は約10〜
15マイクロヘンリーである。抵抗フィルムまたはスリ
ーブフィードスルーに対しては100〜500Kohm
の抵抗が典型的である。
長さおよび材料を用いて)。典型的な適用には高誘導抵
抗を有するが、しかしDC短絡である。13.56 M
1]zのラジオ周波駆動装置に対し、RFコイル型ラフ
イードスルーインダクタンスに対する典型値は約10〜
15マイクロヘンリーである。抵抗フィルムまたはスリ
ーブフィードスルーに対しては100〜500Kohm
の抵抗が典型的である。
あるいは、第13B図に示すように制御された電気抵抗
および厚さの材料、例えば[エロダグ(Aerodag
)G J (イソプロピルアルコール分散媒中のミク
ロン大黒鉛粒子のコロイド懸Ka ’e )の抵抗フィ
ルム162を石英管126の外側にコートして所望の抵
抗インピーダンスを与え、広バンド(周波数)運転を可
能にする。
および厚さの材料、例えば[エロダグ(Aerodag
)G J (イソプロピルアルコール分散媒中のミク
ロン大黒鉛粒子のコロイド懸Ka ’e )の抵抗フィ
ルム162を石英管126の外側にコートして所望の抵
抗インピーダンスを与え、広バンド(周波数)運転を可
能にする。
第13C図に示される第3の選択は制御電気抵抗の複合
セラミックのような固体抵抗スリーブ164を用いて所
望の電気抵抗インピーダンスおよび広バンド周波数運転
を与える。
セラミックのような固体抵抗スリーブ164を用いて所
望の電気抵抗インピーダンスおよび広バンド周波数運転
を与える。
なお他の選択の固体抵抗スリーブ164の変形は、関心
の周波数で非常に高い透磁率を有する強磁性体であり、
制御電気抵抗を有する材料のスリーブが使用される。作
用は抵抗スリーブに対すると同様でああり、この追加利
点を有する。若干の条件のもとて分解が起れば、磁性材
料の存在並びに初期高電流および生ずる高磁界が電荷フ
ラックス(電流)に対する非常に大きい誘導抵抗を生じ
てそれが非常に速やかにプロセスガス中の電気伝導を低
下し、次いで消滅させる。
の周波数で非常に高い透磁率を有する強磁性体であり、
制御電気抵抗を有する材料のスリーブが使用される。作
用は抵抗スリーブに対すると同様でああり、この追加利
点を有する。若干の条件のもとて分解が起れば、磁性材
料の存在並びに初期高電流および生ずる高磁界が電荷フ
ラックス(電流)に対する非常に大きい誘導抵抗を生じ
てそれが非常に速やかにプロセスガス中の電気伝導を低
下し、次いで消滅させる。
第14図は定電圧勾配装置なしで石英管に対する電圧分
解の代表的ベンチ試験データを、低(100KHz)お
よび高(13,56KHz)ラジオ周波の両方において
圧力、トノへの関数としてキロボルトで示す。示される
ように、5〜20トルの範囲内の圧力に対する分解範囲
は低ラジオ周波に対し3〜7KV、高ラジオ周波に対し
約2〜5KVであった。
解の代表的ベンチ試験データを、低(100KHz)お
よび高(13,56KHz)ラジオ周波の両方において
圧力、トノへの関数としてキロボルトで示す。示される
ように、5〜20トルの範囲内の圧力に対する分解範囲
は低ラジオ周波に対し3〜7KV、高ラジオ周波に対し
約2〜5KVであった。
第15図は、管A1長さ10.5 cmで直径0.6
cmの定電圧勾配装置のない管、管B1±26AWGワ
イヤの160巻きからなるワイヤコイル型の定電圧勾配
装置160を有する同一管、に対する分解電圧のベンチ
試験データを圧力の関数として示す。管Cは管Bと同じ
であったが、しかし大きい長さ、13.4cm、を有し
た。これはRF/ガスフイードスルー36が実際のりア
クタ−運転条件下のプロセスガスの分解、次いで蒸着を
防ぐのに非常に有効であることを示す。事実、典型的に
は、リアクター設備10は200トルまでの圧力および
それ以上で使用され、その値で分解電圧が第14図に示
されるものより非常に高い。
cmの定電圧勾配装置のない管、管B1±26AWGワ
イヤの160巻きからなるワイヤコイル型の定電圧勾配
装置160を有する同一管、に対する分解電圧のベンチ
試験データを圧力の関数として示す。管Cは管Bと同じ
であったが、しかし大きい長さ、13.4cm、を有し
た。これはRF/ガスフイードスルー36が実際のりア
クタ−運転条件下のプロセスガスの分解、次いで蒸着を
防ぐのに非常に有効であることを示す。事実、典型的に
は、リアクター設備10は200トルまでの圧力および
それ以上で使用され、その値で分解電圧が第14図に示
されるものより非常に高い。
簡単に記載すると、本発明の抗電気分解ガスフィードス
ルー36は電荷蓄積なくプロセスガス流カラムに沿って
定電圧勾配が与えられる。さらに、フィードスルー36
は高電位差の接地および駆動表面間に抵抗、誘導または
容量性電気インピーダンスを組込むように設計される。
ルー36は電荷蓄積なくプロセスガス流カラムに沿って
定電圧勾配が与えられる。さらに、フィードスルー36
は高電位差の接地および駆動表面間に抵抗、誘導または
容量性電気インピーダンスを組込むように設計される。
インピーダンスの選択は装置の電気的要件、すなわち低
周波、高周波、広バンド、d、 c、操作などに依存す
る。この定電位勾配はガス整流装置32およびフィード
スルー36内の高または低ラジオ周波における早期分解
および蒸着を有効に防止する。さらに、共軸ガスフィー
ド(石英管の外側パージガス流および石英管の内側プロ
セスガス流)を含むフィードスルー36の構造がプロセ
スガス漏洩に非常に耐性であり、プロセスガス漏洩を設
備排出物中ヘパージする。
周波、高周波、広バンド、d、 c、操作などに依存す
る。この定電位勾配はガス整流装置32およびフィード
スルー36内の高または低ラジオ周波における早期分解
および蒸着を有効に防止する。さらに、共軸ガスフィー
ド(石英管の外側パージガス流および石英管の内側プロ
セスガス流)を含むフィードスルー36の構造がプロセ
スガス漏洩に非常に耐性であり、プロセスガス漏洩を設
備排出物中ヘパージする。
最後に、温度制御水をプロセスおよびパージ溝から分離
してフィードスルー装置36中の溝(図示なし)に通し
て流し、フィードスルー中のガスの温度を制御すること
ができる。オゾンまたはTE01のようなガスを有する
典型的な適用において、ガス温度を、例えば凝縮(フィ
ードスルーの過低温)、分解(過高温)、または化学反
応(過高温)が管内に生じないように制御することが重
要である。ガスフィードスルー装置内部に生ずる上記の
いずれも、所望のプロセスに重大な影響を与えることが
できる。さらに、ガスが石英管内で反応すれば、蒸着が
管内部に生ずることができる。
してフィードスルー装置36中の溝(図示なし)に通し
て流し、フィードスルー中のガスの温度を制御すること
ができる。オゾンまたはTE01のようなガスを有する
典型的な適用において、ガス温度を、例えば凝縮(フィ
ードスルーの過低温)、分解(過高温)、または化学反
応(過高温)が管内に生じないように制御することが重
要である。ガスフィードスルー装置内部に生ずる上記の
いずれも、所望のプロセスに重大な影響を与えることが
できる。さらに、ガスが石英管内で反応すれば、蒸着が
管内部に生ずることができる。
F、一定の鍵特徴の概要
(1) 均一なウェーハ加熱
我々のりアクタ−は適合させた不拘−近IR放射加熱パ
ターンおよび薄い低質量高輻射能サセプターを用い、熱
効率、迅速熱応答(加熱および冷却)、薄い円形ウェー
ハの固有の不均一熱損失にもかかわらず優れた温度均一
性、および石英の学窓を通す良好な伝達などを与える(
それは放射加熱モジュールの外部装備を可能にする)。
ターンおよび薄い低質量高輻射能サセプターを用い、熱
効率、迅速熱応答(加熱および冷却)、薄い円形ウェー
ハの固有の不均一熱損失にもかかわらず優れた温度均一
性、および石英の学窓を通す良好な伝達などを与える(
それは放射加熱モジュールの外部装備を可能にする)。
(2)ガス整流装置
RF出力ガスマニホールド26はプラズマの幽閉を可能
にする必要な高出力を与える。また、ガスマニホールド
および半径方向排出ポンプ吸出により与えられる均一ガ
ス入口法パターンが均一なガス装入および排出(パージ
)吸出並びにウェーハを横切る均一な半径方向ガス流を
与え、非常に高い圧力を含む広い圧力体制にわたる均一
な処理(蒸着/エツチング)を可能にする。半径方向吸
出および高い圧力能力はウエーノ\に対するプラズマ/
反応物種の幽閉を与え、有効パージを可能にすることで
ウェーハ上を除(室内の蒸着を防止する。正確に温度制
御したガスマニホールドの内部および外部表面がガスボ
ックス内の分解、反応、凝縮などを防止し、外部ガスボ
ックスの表面の好ましくない微粒子の生成、蒸着を排除
する。例えば、温度制御水が約35〜75℃の温度を維
持して約35℃以下のTEUSの内部蒸着または凝縮を
防ぎ、約70℃以上のオゾンとTE01との間の分解ま
たは反応を防ぎ、ガスマニホールドの外面を約100℃
以上に維持して薄片の外部蒸着を防ぐためにガスボック
ス内に循環される。
にする必要な高出力を与える。また、ガスマニホールド
および半径方向排出ポンプ吸出により与えられる均一ガ
ス入口法パターンが均一なガス装入および排出(パージ
)吸出並びにウェーハを横切る均一な半径方向ガス流を
与え、非常に高い圧力を含む広い圧力体制にわたる均一
な処理(蒸着/エツチング)を可能にする。半径方向吸
出および高い圧力能力はウエーノ\に対するプラズマ/
反応物種の幽閉を与え、有効パージを可能にすることで
ウェーハ上を除(室内の蒸着を防止する。正確に温度制
御したガスマニホールドの内部および外部表面がガスボ
ックス内の分解、反応、凝縮などを防止し、外部ガスボ
ックスの表面の好ましくない微粒子の生成、蒸着を排除
する。例えば、温度制御水が約35〜75℃の温度を維
持して約35℃以下のTEUSの内部蒸着または凝縮を
防ぎ、約70℃以上のオゾンとTE01との間の分解ま
たは反応を防ぎ、ガスマニホールドの外面を約100℃
以上に維持して薄片の外部蒸着を防ぐためにガスボック
ス内に循環される。
(3) ガスフィードスルー
ガスフィードスルーはガスボックスに対する高電圧RF
出力の適用、並びにガスボックスに対するパージガスお
よびプロセスガスのガス分解のない通用の可能性を与え
る。
出力の適用、並びにガスボックスに対するパージガスお
よびプロセスガスのガス分解のない通用の可能性を与え
る。
(4) ウェーハ輸送設備
1軸ロボツトサセプター/ウエーノ\支持および輸送設
備が外部ロボットブレード上およびそれからの室内の選
択位置におけるウエーノ\の装荷および装脱に適応され
る。この輸送設備はサセプター/ウェーハと上方にある
ガスマニホールドとの間に可変平行密間隔を与え、関連
サセプター支持フィンガの垂直移動およびサセプターエ
レベータ−設備の簡単な選択により可変間隔を与える。
備が外部ロボットブレード上およびそれからの室内の選
択位置におけるウエーノ\の装荷および装脱に適応され
る。この輸送設備はサセプター/ウェーハと上方にある
ガスマニホールドとの間に可変平行密間隔を与え、関連
サセプター支持フィンガの垂直移動およびサセプターエ
レベータ−設備の簡単な選択により可変間隔を与える。
平行は1側または他側に傾く傾向を排除することにより
プラズマの安定性および均一性を増大し、従って均一な
処理(蒸着およびエツチング)を可能にする。ガスマニ
ホールドの外側面とウェーハ表面との間の距離dの可変
間隔は種々の型のプロセスの実行を容易にする。また、
間隔は非常に小さい可変次元に、例えばl cn+、0
.5 crm、およびそれ以下でも、調節することがで
き、ガス整流装置とウェーハとの間のプラズマおよび(
または)ガス状反応物の幽閉を可能にする。この幽閉は
反応効率を増大し、反応(分解またはエツチング)の速
度を増し、ウェーハ上以外の場所の蒸着を、非常に高い
室圧力でも防ぐのに役立つ。
プラズマの安定性および均一性を増大し、従って均一な
処理(蒸着およびエツチング)を可能にする。ガスマニ
ホールドの外側面とウェーハ表面との間の距離dの可変
間隔は種々の型のプロセスの実行を容易にする。また、
間隔は非常に小さい可変次元に、例えばl cn+、0
.5 crm、およびそれ以下でも、調節することがで
き、ガス整流装置とウェーハとの間のプラズマおよび(
または)ガス状反応物の幽閉を可能にする。この幽閉は
反応効率を増大し、反応(分解またはエツチング)の速
度を増し、ウェーハ上以外の場所の蒸着を、非常に高い
室圧力でも防ぐのに役立つ。
(5)広い圧力範囲、高い圧力体制
高い圧力能力は、均一な半径方向吸出ガスマニホールド
により与えられる均一なガス流、電極間の可変間隔によ
り与えられる幽閉、およびガスマニホールドに対する高
出力密度RF比出力適用を含む多くの前記因子に起因す
る。
により与えられる均一なガス流、電極間の可変間隔によ
り与えられる幽閉、およびガスマニホールドに対する高
出力密度RF比出力適用を含む多くの前記因子に起因す
る。
G、多重処理能力に対する適切な特徴
前記鍵特徴は次のように要約することができる:fal
広い圧力、高い圧力体制;(b)サセプターウェー
ハの温度均一性;(c)均一な流れ分布;(d)電極(
入口ガスマニホールドおよびサセプター)の平行可変密
間隔;および(e)内部/外部ガス入口マニホールド表
面の温度制御。
広い圧力、高い圧力体制;(b)サセプターウェー
ハの温度均一性;(c)均一な流れ分布;(d)電極(
入口ガスマニホールドおよびサセプター)の平行可変密
間隔;および(e)内部/外部ガス入口マニホールド表
面の温度制御。
典型的には、これらの特徴の少くとも若干が我々のりア
クタ−を用いたそれぞれの型の処理に非常に重要である
。これらの鍵特徴はプロセス−プロセスペースで次に要
約される。
クタ−を用いたそれぞれの型の処理に非常に重要である
。これらの鍵特徴はプロセス−プロセスペースで次に要
約される。
(1)熱化学蒸着
(a) 広範な圧力、高い圧力体制、(b) サセ
プター/ウェーハの温度均一性、(c) 均一な流れ
分布、 (d) 電極の可変密間隔 (e) 内部/外部ガス入口マニホールド表面の温度
制御、殊に不安定ガス例えばTE01およびオゾンを用
いる場合。
プター/ウェーハの温度均一性、(c) 均一な流れ
分布、 (d) 電極の可変密間隔 (e) 内部/外部ガス入口マニホールド表面の温度
制御、殊に不安定ガス例えばTE01およびオゾンを用
いる場合。
(2)プラズマ強化CVD
(al 広範な圧力、高い圧力体制、(c1均一な流
れ分布、 (d) 電極の平行可変密間隔、 (e) 内部/外部ガス入口マニホールド表面の温度
制御。
れ分布、 (d) 電極の平行可変密間隔、 (e) 内部/外部ガス入口マニホールド表面の温度
制御。
ガスボックス外面の〉100℃に対する温度制御は多孔
性微粒子生成フィルムの蒸着の防止に重要である。
性微粒子生成フィルムの蒸着の防止に重要である。
高い圧力の運転はイオン散乱を増加し、それがウェーハ
の衝撃および損傷を低下し、ステップ被覆(保護)を増
強する。これは高い圧力の運転が、十分な速度で反応せ
ず表面上に粒子/好ましくない蒸着を生ずる地点に対す
るガス分子の濃度を希釈するためである。高い圧力能力
および関連する低衝撃が所定出力/電圧に対する衝撃水
準および反応速度の低下、あるいは所定衝撃水準に対し
て高い反応速度を得る高出力/電圧の使用を可能にする
。均一な流れ分布はまた、殊に我々のりアクタ−を用い
る1cm以下の密間隔で、それがプラズマを幽閉し、従
って静浄な運転を強化するので臨界的である。平行を保
って電極間隔を調整する能力は蒸着に必要なプラズマ安
定性を有する密間隔の使用を可能にする。
の衝撃および損傷を低下し、ステップ被覆(保護)を増
強する。これは高い圧力の運転が、十分な速度で反応せ
ず表面上に粒子/好ましくない蒸着を生ずる地点に対す
るガス分子の濃度を希釈するためである。高い圧力能力
および関連する低衝撃が所定出力/電圧に対する衝撃水
準および反応速度の低下、あるいは所定衝撃水準に対し
て高い反応速度を得る高出力/電圧の使用を可能にする
。均一な流れ分布はまた、殊に我々のりアクタ−を用い
る1cm以下の密間隔で、それがプラズマを幽閉し、従
って静浄な運転を強化するので臨界的である。平行を保
って電極間隔を調整する能力は蒸着に必要なプラズマ安
定性を有する密間隔の使用を可能にする。
(3) プラズマ促進エッチバック
(a) 広範な圧力、高い圧力体制、(dl 電極
の可変密間隔 大きな可変間隔は有効な高い圧力能力と組合せて有効な
エッチバックを可能にする。電極間の可変間隔は、エツ
チングまたは他の処理を蒸着に用いる電極間隔を変えな
いと最適化することが不可能であるので非常に重要であ
る。例えば、<laaの典型的な間隔が熱CVDおよび
PECVDに使用されるが、エッチバックは二4inま
たはl cmの間隔を必要とする。
の可変密間隔 大きな可変間隔は有効な高い圧力能力と組合せて有効な
エッチバックを可能にする。電極間の可変間隔は、エツ
チングまたは他の処理を蒸着に用いる電極間隔を変えな
いと最適化することが不可能であるので非常に重要であ
る。例えば、<laaの典型的な間隔が熱CVDおよび
PECVDに使用されるが、エッチバックは二4inま
たはl cmの間隔を必要とする。
(4) リアクター自己浄化
(al 広範な圧力体制
(bl 電極(入口ガスマニホールドおよびサセプタ
ー)の平行に保った可変密間隔 可変間隔は可変圧力と組合せて有効なりアクタ−自己浄
化を可能にする。このとき、所要間隔、d二o、4in
または1cm、は、例えば熱cVDおよびPECVDに
必要なものより大きい。比較的大きい間隔および広範な
圧力体制がプラズマをリアクター内に拡散しく蒸着プロ
セスに必要であるように幽閉されるよりも)、全リアク
ターの浄化を可能にする。典型的な自己浄化系列は50
0ワツトのRF比出力ガスNF3または他のフン素含有
化学を0.151mの典型的な流量、0.5トルの圧力
およびd二0.4inを用い、二〇、5ミクロン/合計
の減退速度(61)l) rate)を与えた。
ー)の平行に保った可変密間隔 可変間隔は可変圧力と組合せて有効なりアクタ−自己浄
化を可能にする。このとき、所要間隔、d二o、4in
または1cm、は、例えば熱cVDおよびPECVDに
必要なものより大きい。比較的大きい間隔および広範な
圧力体制がプラズマをリアクター内に拡散しく蒸着プロ
セスに必要であるように幽閉されるよりも)、全リアク
ターの浄化を可能にする。典型的な自己浄化系列は50
0ワツトのRF比出力ガスNF3または他のフン素含有
化学を0.151mの典型的な流量、0.5トルの圧力
およびd二0.4inを用い、二〇、5ミクロン/合計
の減退速度(61)l) rate)を与えた。
(5)スパッタリングトポグラフィ−修飾(a) 広
範な圧力、高い圧力体制、(b) 電極(入口ガスマ
ニホールドおよびサセプター)の平行に保った可変密間
隔。
範な圧力、高い圧力体制、(b) 電極(入口ガスマ
ニホールドおよびサセプター)の平行に保った可変密間
隔。
このとき、0.2in間隔と組合せた高い圧力能力並び
にガスボックスに対する高出力水準でのRF比出力適用
能力が物質例えば酸化物または他の誘導体のガス化学例
えばアルゴンまたは他の重分子ガス化学例えば5i(J
、を用いるスパッタリングを可能にする。典型的なスパ
ッタリングプロセスには700ワツトのRF比出力適用
、0.131R1のガス流量、10mtの圧力、0.2
〜0.5inの電極間隔dを含む。
にガスボックスに対する高出力水準でのRF比出力適用
能力が物質例えば酸化物または他の誘導体のガス化学例
えばアルゴンまたは他の重分子ガス化学例えば5i(J
、を用いるスパッタリングを可能にする。典型的なスパ
ッタリングプロセスには700ワツトのRF比出力適用
、0.131R1のガス流量、10mtの圧力、0.2
〜0.5inの電極間隔dを含む。
■ 多段階現場プレーナ化プロセスおよび段階ここに記
載の処理段階および多段階処理系列をリアクター10中
で行なった。温度感受性ガス例えばオゾンおよびTE0
1を用いる多段階処理、並びに種々の段階例えばCVD
、PECVD、’エツチングおよび自己浄化を現場で実
施する能力はりアクタ−10を特有の好ましいものとし
て認めさせる。しかし、ここに開示したプロセスは当業
者に、提供されるリアクターに単一プロセス中とはいえ
プロセス系列を実施することおよびそのようなりアクタ
−を例えばプロセスの使用に適応させることを可能にす
る。
載の処理段階および多段階処理系列をリアクター10中
で行なった。温度感受性ガス例えばオゾンおよびTE0
1を用いる多段階処理、並びに種々の段階例えばCVD
、PECVD、’エツチングおよび自己浄化を現場で実
施する能力はりアクタ−10を特有の好ましいものとし
て認めさせる。しかし、ここに開示したプロセスは当業
者に、提供されるリアクターに単一プロセス中とはいえ
プロセス系列を実施することおよびそのようなりアクタ
−を例えばプロセスの使用に適応させることを可能にす
る。
A、共形Singの低温熱CVD
高度に共形の二酸化ケイ素の熱化学蒸着はTE01およ
び酸素の熱分解を用いる方法の改良である。この熱CV
D発明は一部は改良された高度に共形の(〜100%)
二酸化ケイ素コーティングが、ランプ放射加熱を用いて
約200〜500℃のウェーハ温度を与える比較的低い
温度および高い圧力で反応物TEO3およびオゾンの熱
化学蒸着により形成されることを見出したことに基く。
び酸素の熱分解を用いる方法の改良である。この熱CV
D発明は一部は改良された高度に共形の(〜100%)
二酸化ケイ素コーティングが、ランプ放射加熱を用いて
約200〜500℃のウェーハ温度を与える比較的低い
温度および高い圧力で反応物TEO3およびオゾンの熱
化学蒸着により形成されることを見出したことに基く。
オゾンは反応速度論の活性化エネルギーを低下し、約2
00〜500℃の比較的低い温度でTE01とともに二
酸化ケイ素を形成する。市販の高圧、コロナ放電オゾン
発生器を用いて酸素中(4〜8)重量%オゾンの混合物
をガス配分装置に対して供給する。ヘリウム担体ガスを
液体TEO3に通してTE01を蒸発させ、He担体中
の希薄ガス状TEO3をガス整流装置へ供給する。
00〜500℃の比較的低い温度でTE01とともに二
酸化ケイ素を形成する。市販の高圧、コロナ放電オゾン
発生器を用いて酸素中(4〜8)重量%オゾンの混合物
をガス配分装置に対して供給する。ヘリウム担体ガスを
液体TEO3に通してTE01を蒸発させ、He担体中
の希薄ガス状TEO3をガス整流装置へ供給する。
殊に、熱化学蒸着プロセスは反応物オゾン(03)、酸
素およびテトラエチルオルトシリケート(TE01)を
約200〜500℃の範囲内の低温度で、約10〜20
0トノペ好ましくは約40〜120トルの範囲内の圧力
で用いてボイド、カスプおよび他のトポグラフィ−の不
規則性中を満たす非常に共形の二酸化ケイ素を蒸着させ
、それにより実質的に平坦な表面を与える。現存好まし
い態様において、オゾンは2〜351mの流量で供給さ
れ、ヘリウム担体ガス流量は5Qsccm〜1.551
mの流量であり、室圧力は40〜120トルであり、ウ
ェーハ温度は375±20℃であり、それにより3.0
00オングストロ一ム/分の蒸着速度で高度に共形の非
ドーブニ酸化ケイ素コーティングを与える。
素およびテトラエチルオルトシリケート(TE01)を
約200〜500℃の範囲内の低温度で、約10〜20
0トノペ好ましくは約40〜120トルの範囲内の圧力
で用いてボイド、カスプおよび他のトポグラフィ−の不
規則性中を満たす非常に共形の二酸化ケイ素を蒸着させ
、それにより実質的に平坦な表面を与える。現存好まし
い態様において、オゾンは2〜351mの流量で供給さ
れ、ヘリウム担体ガス流量は5Qsccm〜1.551
mの流量であり、室圧力は40〜120トルであり、ウ
ェーハ温度は375±20℃であり、それにより3.0
00オングストロ一ム/分の蒸着速度で高度に共形の非
ドーブニ酸化ケイ素コーティングを与える。
前記のように、リアクター10のガス整流マニホールド
(ガス整流装置26)は流路、を循環する20〜50℃
の温度の脱イオン水により制御してガス整流装置26の
内面を約35〜75℃の狭い範囲内、すなわちTE01
の分解およびTE01とオゾンとの間の反応を防ぐため
約75℃以下の温度に、またガス整流装置内部のTE0
1の凝縮を防ぐため35℃以上に維持する。
(ガス整流装置26)は流路、を循環する20〜50℃
の温度の脱イオン水により制御してガス整流装置26の
内面を約35〜75℃の狭い範囲内、すなわちTE01
の分解およびTE01とオゾンとの間の反応を防ぐため
約75℃以下の温度に、またガス整流装置内部のTE0
1の凝縮を防ぐため35℃以上に維持する。
温度制御ガス整流装置から基板の表面までの距離dは好
ましくは約1 amまたはそれ以下である。
ましくは約1 amまたはそれ以下である。
l cmまたはそれ以下の距離がプラズマまたはガス状
反応物をガス整流装置26とウェーハ15との間に幽閉
する。これは反応効率を高め、反応(蒸着)の速度を増
大し、ウェーハ上を除くすべての場所の蒸着を防止する
。
反応物をガス整流装置26とウェーハ15との間に幽閉
する。これは反応効率を高め、反応(蒸着)の速度を増
大し、ウェーハ上を除くすべての場所の蒸着を防止する
。
本発明の熱CVDプロセスは通常高い蒸着室圧力を用い
:少くともλ10トル、約20〜200トルの好ましい
圧力が使用される。この範囲の低部でもTE01を用い
るプロセスに通常使用される全圧より20倍以上大きい
。高い圧力は有効反応性種の密度を増し、従って高い蒸
着速度を与える。
:少くともλ10トル、約20〜200トルの好ましい
圧力が使用される。この範囲の低部でもTE01を用い
るプロセスに通常使用される全圧より20倍以上大きい
。高い圧力は有効反応性種の密度を増し、従って高い蒸
着速度を与える。
さらに、高い圧力の使用は有効なパージを可能にする。
高いパージ流量は廃ガス、連行微粒子などを、字表面上
の好ましくない蒸着なく除去することを可能にする。前
記底部パージ流がサセプターウェーハの底側を横切り半
径方向に外方へ洗い流す。底部流はウェーハの周辺で下
方へ向う上部パージ流により合流される。合流した流れ
はウェーハの周辺から半径方向に外方へ流れ、蒸着ガス
を半径方向に外方へ、次いで非常に高い流量で室の排出
装置を通して流れさせる。例えば有用な上部パージガス
流量(好ましくは窒素)は1〜1051mであることが
でき、底部パージガス流量(また窒素)は1〜2051
mであることができる。これらの高い圧力、高流量の上
部および底部流が好ましくないガスおよび微粒子をすべ
ての場所でつ工−ハの上部の均一な蒸着ガス分布を乱す
ことなくパージする。
の好ましくない蒸着なく除去することを可能にする。前
記底部パージ流がサセプターウェーハの底側を横切り半
径方向に外方へ洗い流す。底部流はウェーハの周辺で下
方へ向う上部パージ流により合流される。合流した流れ
はウェーハの周辺から半径方向に外方へ流れ、蒸着ガス
を半径方向に外方へ、次いで非常に高い流量で室の排出
装置を通して流れさせる。例えば有用な上部パージガス
流量(好ましくは窒素)は1〜1051mであることが
でき、底部パージガス流量(また窒素)は1〜2051
mであることができる。これらの高い圧力、高流量の上
部および底部流が好ましくないガスおよび微粒子をすべ
ての場所でつ工−ハの上部の均一な蒸着ガス分布を乱す
ことなくパージする。
リアクター10を用いるときヘリウムガス(TE01の
担体)の現在意図する有用な流量範囲は100 sec
m〜5 slm (sccm=標準立方センチメート
ル毎分;sln+=標準リットル毎分)であり、関連す
るオゾン(03)流は約1003ccI11〜1051
mで流れる酸素中の4〜8重量%オゾンの組成により与
えられる。パージガスを含まない全ガス流量は典型的に
は200 sccm〜1551mの範囲内にあることが
できる。
担体)の現在意図する有用な流量範囲は100 sec
m〜5 slm (sccm=標準立方センチメート
ル毎分;sln+=標準リットル毎分)であり、関連す
るオゾン(03)流は約1003ccI11〜1051
mで流れる酸素中の4〜8重量%オゾンの組成により与
えられる。パージガスを含まない全ガス流量は典型的に
は200 sccm〜1551mの範囲内にあることが
できる。
前記ガス流、室圧力、および生ずる室温は約500〜4
.000オングストロ一ム/分の二酸化ケイ素蒸着速度
を与える。
.000オングストロ一ム/分の二酸化ケイ素蒸着速度
を与える。
500〜4.000オングストロ一ム/分の有用な蒸着
速度は第20図に示されるように200〜500℃の相
応する温度で達成されたけれども、蒸着速度は約375
±20℃でピークに達する。
速度は第20図に示されるように200〜500℃の相
応する温度で達成されたけれども、蒸着速度は約375
±20℃でピークに達する。
ピークより上および下の低い蒸着は基板の表面における
好ましくない反応速度の結果である。好運にもピーク温
度はまたアルミニウム含有多重導体構造に対する約40
0℃の最大処理温度に接近する。〜400℃以上でアル
ミニウム中にヒルロック(hillock)が生ずる。
好ましくない反応速度の結果である。好運にもピーク温
度はまたアルミニウム含有多重導体構造に対する約40
0℃の最大処理温度に接近する。〜400℃以上でアル
ミニウム中にヒルロック(hillock)が生ずる。
〜500℃以上でアルミニウムが軟化する。
第21図を参照すると、(オゾンおよび酸素):ヘリウ
ム流量比2:1 (酸素中8重量%オゾン251w+:
He担体およびTEO31sla+)を用いると蒸着速
度はa、 o o oオングストローム/分で、80ト
ルの室圧力で、および375℃のウェーハ表面温度(3
5℃のTEO3温度使用)で飽和し、高い圧力で非常に
わずかに上昇する。80トルにおいて温度を200〜3
75℃に低下すると蒸着速度はそれぞれ1.000〜3
,000オングストロ一ム/分に低下する。20トルで
200〜375℃の?L 度が500〜1.000オン
グストロ一ム/分の蒸着速度を与える。約120トル以
上の圧力で、ガス相反応は粒子を増加する。これはウェ
ーハ温度の低下、または希釈剤流量の増加により制御で
きるが、しかしこれらの段階は蒸着速度を低下する。
ム流量比2:1 (酸素中8重量%オゾン251w+:
He担体およびTEO31sla+)を用いると蒸着速
度はa、 o o oオングストローム/分で、80ト
ルの室圧力で、および375℃のウェーハ表面温度(3
5℃のTEO3温度使用)で飽和し、高い圧力で非常に
わずかに上昇する。80トルにおいて温度を200〜3
75℃に低下すると蒸着速度はそれぞれ1.000〜3
,000オングストロ一ム/分に低下する。20トルで
200〜375℃の?L 度が500〜1.000オン
グストロ一ム/分の蒸着速度を与える。約120トル以
上の圧力で、ガス相反応は粒子を増加する。これはウェ
ーハ温度の低下、または希釈剤流量の増加により制御で
きるが、しかしこれらの段階は蒸着速度を低下する。
生ずるフィルムは改良された物理的および電気的性質例
えばひび割れ抵抗、密度、屈折率、収縮、エツチング速
度、破壊電圧、フラットバンド電圧、可動イオン汚染、
ピンホール密度および二酸化ケイ素純度、を有すると確
認されたけれども、決定的観点はステップの垂直および
水平面上および他の表面不規則上の優れた〜100%共
形カバーである。従って、オーバハング、カスブおよび
ボイドのような問題が非常に苛酷でなく、それによりそ
のような問題の除去およびプレーナ化の達成にしなけれ
ばならないフォローアツプ処理の量が最小化され、また
は排除さえされる。
えばひび割れ抵抗、密度、屈折率、収縮、エツチング速
度、破壊電圧、フラットバンド電圧、可動イオン汚染、
ピンホール密度および二酸化ケイ素純度、を有すると確
認されたけれども、決定的観点はステップの垂直および
水平面上および他の表面不規則上の優れた〜100%共
形カバーである。従って、オーバハング、カスブおよび
ボイドのような問題が非常に苛酷でなく、それによりそ
のような問題の除去およびプレーナ化の達成にしなけれ
ばならないフォローアツプ処理の量が最小化され、また
は排除さえされる。
さらに、この高度共形カバーが非ドープ酸化物コーティ
ングを用いて与えられる。従来の方法は蒸着酸化物を平
滑にするためにレフロー(ref low)を用い、リ
ンまたはホウ素ドーピングを(ホスホシリケートガラス
、PS01ポロシリケートガラス、BSG、およびポロ
ホスホシリケートガラス、B P S G)を混合して
レフロ一温度を低下させる。
ングを用いて与えられる。従来の方法は蒸着酸化物を平
滑にするためにレフロー(ref low)を用い、リ
ンまたはホウ素ドーピングを(ホスホシリケートガラス
、PS01ポロシリケートガラス、BSG、およびポロ
ホスホシリケートガラス、B P S G)を混合して
レフロ一温度を低下させる。
我々の熱CvDプロセスはレフローの必要、従ってPS
G、BSGおよびBPSGの使用並びに関連する問題例
えばアルミニウム腐食を排除する。
G、BSGおよびBPSGの使用並びに関連する問題例
えばアルミニウム腐食を排除する。
しかし、望むならば、我々の熱CVDプロセスにおいて
共形酸化物を低水準例えば1〜10重四%のリンおよび
(または)ホウ素を反応物例えばTMS (テトラメチ
ルホスファイト)および(または)TMB (テトラメ
チルボレート)に混合することによりドープすることが
できる。低濃度ドーピング水準が十分なレフロー特性を
与える。
共形酸化物を低水準例えば1〜10重四%のリンおよび
(または)ホウ素を反応物例えばTMS (テトラメチ
ルホスファイト)および(または)TMB (テトラメ
チルボレート)に混合することによりドープすることが
できる。低濃度ドーピング水準が十分なレフロー特性を
与える。
8.2段階プレーナ化プロセス
1観点において、本発明の方法は二酸化ケイ素をプレー
ナ化する従来の方法、例えばスピン・オン・グラス(s
pin−on glass)およびポリイミド蒸着をエ
ッチバックとともに用いる従来の方法、の改良である。
ナ化する従来の方法、例えばスピン・オン・グラス(s
pin−on glass)およびポリイミド蒸着をエ
ッチバックとともに用いる従来の方法、の改良である。
本発明の他の観点は誘電体層を実質的にプレーナ化する
前記熱CVD二酸化ケイ素蒸着プロセスの使用し次いで
等方性湿式または乾式エツチングの好ましくは高エツチ
ング速度を使用してプレーナ化プロセスを完成する。前
記低温熱CVD、共形酸化′物蒸着プロセスの、種々の
湿式または乾式等方性エツチングプロセスに協同させた
組合せは意外に共形のプレーナ化誘電体層を与え、それ
が現在開発中であり将来開発される小幾何学形態の多水
重金属化構造に十分に役立つ。下記は同一の多段階室と
いわれる現場で行なうことができる現在好ましい乾式等
方性エツチングプロセスである。
前記熱CVD二酸化ケイ素蒸着プロセスの使用し次いで
等方性湿式または乾式エツチングの好ましくは高エツチ
ング速度を使用してプレーナ化プロセスを完成する。前
記低温熱CVD、共形酸化′物蒸着プロセスの、種々の
湿式または乾式等方性エツチングプロセスに協同させた
組合せは意外に共形のプレーナ化誘電体層を与え、それ
が現在開発中であり将来開発される小幾何学形態の多水
重金属化構造に十分に役立つ。下記は同一の多段階室と
いわれる現場で行なうことができる現在好ましい乾式等
方性エツチングプロセスである。
C,3段階プレーナ化プロセス
他の観点において、本発明は3段階プロセスに具体化さ
れ、初めに二酸化ケイ素の層を、好ましくは高蒸着速度
で形成し、前記のオゾンおよびTEOSを基にした熱C
VD共形酸化物蒸着プロセスを第2段階として用い高度
に共形の酸化物コーティングを形成し、次いで3段階に
おいて、好ましい高速等方性エツチングを適用してプレ
ーナ化プロセスを速やかになしとげる。
れ、初めに二酸化ケイ素の層を、好ましくは高蒸着速度
で形成し、前記のオゾンおよびTEOSを基にした熱C
VD共形酸化物蒸着プロセスを第2段階として用い高度
に共形の酸化物コーティングを形成し、次いで3段階に
おいて、好ましい高速等方性エツチングを適用してプレ
ーナ化プロセスを速やかになしとげる。
D、好ましい3段階プレーナ化プロセス本発明の他の観
点において、前記熱CVD、共形酸化物蒸着プロセスが
3段階高蒸着速度、高処理量プレーナ化プロセスに、前
記多段階プロセスCVD/PECVD蒸着において現場
で使用される。プレーナ化プロセスは存在する誘電体層
上に適用でき、または単独で用いてプレーナ誘電体を形
成することができる。
点において、前記熱CVD、共形酸化物蒸着プロセスが
3段階高蒸着速度、高処理量プレーナ化プロセスに、前
記多段階プロセスCVD/PECVD蒸着において現場
で使用される。プレーナ化プロセスは存在する誘電体層
上に適用でき、または単独で用いてプレーナ誘電体を形
成することができる。
好ましい第1段階は、PECVD酸化物蒸着である。P
ECVD酸化物蒸着プロセスはTEOS。
ECVD酸化物蒸着プロセスはTEOS。
酸素、および担体ガスから希釈剤例えばヘリウムととも
にまたはそれなしで形成したプラズマを用いる。このプ
ロセスは約1〜約50トルの蒸着室圧力、約100〜1
.000 scc+++の酸素流量、100〜1.50
0 sec+sの不活性担体ガス(ヘリウム)流量、2
00 sec+n〜2.51毎分の全ガス流量(パージ
ガスを含めない)、および約200〜400ワツトの直
径〜6inのガス配分装置カソードに対するRF出力を
用いる。ガス配分装置/カソード26における出力密度
は擬似平行プレート配置に対する計算を基き約1ワフト
/cIaである。放射エネルギーは環状配列の直立ラン
プにより下からサセプターに向かわせ、蒸着プラズマを
発生させ、ウェーハ表面を300〜500℃の温度に加
熱する。それぞれ1〜1551mおよび1〜2051m
の上部(窒素とヘリウムとの混合物)および下部(窒素
のみ)パージガス流量が使用され、それぞれ2.5sl
n+および1105Lの上部および底部ガス流量が好ま
しい。これらのパラメーターは約5、000〜10.0
00オングストロ一ム/分のSing医着速度を与える
。典型的にはQ、4c11<d<(至)である。
にまたはそれなしで形成したプラズマを用いる。このプ
ロセスは約1〜約50トルの蒸着室圧力、約100〜1
.000 scc+++の酸素流量、100〜1.50
0 sec+sの不活性担体ガス(ヘリウム)流量、2
00 sec+n〜2.51毎分の全ガス流量(パージ
ガスを含めない)、および約200〜400ワツトの直
径〜6inのガス配分装置カソードに対するRF出力を
用いる。ガス配分装置/カソード26における出力密度
は擬似平行プレート配置に対する計算を基き約1ワフト
/cIaである。放射エネルギーは環状配列の直立ラン
プにより下からサセプターに向かわせ、蒸着プラズマを
発生させ、ウェーハ表面を300〜500℃の温度に加
熱する。それぞれ1〜1551mおよび1〜2051m
の上部(窒素とヘリウムとの混合物)および下部(窒素
のみ)パージガス流量が使用され、それぞれ2.5sl
n+および1105Lの上部および底部ガス流量が好ま
しい。これらのパラメーターは約5、000〜10.0
00オングストロ一ム/分のSing医着速度を与える
。典型的にはQ、4c11<d<(至)である。
6inウエー八に対するPECVD酸化物蒸着段階に対
する現在好ましい運転パラメーターは600sccn+
酸素、900secmヘリウム、16.000secm
全流(パージを含む;バージを除いて1.500sec
m) 、10±2トル圧力、および375±20℃ウェ
ーハ温度である。そのパラメーターはガス整流装置対ウ
ェーハ表面距離d二0.4 cmに対し、約8.500
オングストロ一ム/分のSiO□蒸着速度を与える。
する現在好ましい運転パラメーターは600sccn+
酸素、900secmヘリウム、16.000secm
全流(パージを含む;バージを除いて1.500sec
m) 、10±2トル圧力、および375±20℃ウェ
ーハ温度である。そのパラメーターはガス整流装置対ウ
ェーハ表面距離d二0.4 cmに対し、約8.500
オングストロ一ム/分のSiO□蒸着速度を与える。
全く明らかに、TEOSガス化学に基き、決定的な共形
二酸化ケイ素蒸着段階として実質的に同一の室要件を有
するPECVD酸化物蒸着プロセスは同一の室の使用を
可能にするだけでなく、また好ましい。
二酸化ケイ素蒸着段階として実質的に同一の室要件を有
するPECVD酸化物蒸着プロセスは同一の室の使用を
可能にするだけでなく、また好ましい。
シランおよび酸素の使用、並びに700〜800℃の範
囲内の温度で、約5トル未満の圧力におけるテトラエチ
ルオルトシリケート(TE01)の熱分解を含む化学蒸
着による二酸化ケイ素を薫発する若干の方法が知られて
いる。この熱分解プロセスは窒化ケイ素、シランおよび
アンモニアプラズマ、またはオキシニトリド、シラン、
アンモニアおよびN、Oプラズマで用いることができる
。
囲内の温度で、約5トル未満の圧力におけるテトラエチ
ルオルトシリケート(TE01)の熱分解を含む化学蒸
着による二酸化ケイ素を薫発する若干の方法が知られて
いる。この熱分解プロセスは窒化ケイ素、シランおよび
アンモニアプラズマ、またはオキシニトリド、シラン、
アンモニアおよびN、Oプラズマで用いることができる
。
TE01を1トル以下の圧力で用いるプラズマ促進化学
蒸着はまたスピンオングラスおよびポリイミドの使用を
含む変形で使用される。
蒸着はまたスピンオングラスおよびポリイミドの使用を
含む変形で使用される。
現在のPECVDプロセスはCVD蒸着二酸化ケイ素蒸
着速度、ひび割れ抵抗、物理的および電気的性質を改良
する方法を与える。本発明はまた改良されたステップカ
バーおよび低応力の蒸着層が得られる二酸化ケイ素を蒸
着する改良された方法を与える。
着速度、ひび割れ抵抗、物理的および電気的性質を改良
する方法を与える。本発明はまた改良されたステップカ
バーおよび低応力の蒸着層が得られる二酸化ケイ素を蒸
着する改良された方法を与える。
殊に、蒸着の好ましい条件が通常の圧力(50トルまで
)より高く、約200〜400 ”cの温度である前記
の有利な改良を得るTE01のプラズマ強化蒸着に対す
る改良された方法を与える。
)より高く、約200〜400 ”cの温度である前記
の有利な改良を得るTE01のプラズマ強化蒸着に対す
る改良された方法を与える。
TE01を用いるプラズマ強化化学蒸着に対する通常の
要件は約lトルまでの(担体ガスを含めて)全圧範囲内
にあり、プラズマ中の酸素は約0.4トルの圧力である
。蒸着中、基板温度は通常約室温から約400℃に徐々
に上昇する。例えばマツケンス(Mackens)ほか
、シン・ソリッド・フィルムズ(Thin 5olid
Films) 、97 : 53〜61(1982)
参照。
要件は約lトルまでの(担体ガスを含めて)全圧範囲内
にあり、プラズマ中の酸素は約0.4トルの圧力である
。蒸着中、基板温度は通常約室温から約400℃に徐々
に上昇する。例えばマツケンス(Mackens)ほか
、シン・ソリッド・フィルムズ(Thin 5olid
Films) 、97 : 53〜61(1982)
参照。
本発明による蒸着二酸化ケイ素コーティングはまた改良
されたひび割れ抵抗を有し、他の方法により蒸着された
二酸化ケイ素コーティングに比べて改良されたステップ
カバーを有する。ステップカバーの問題はステップ基板
のコーナーにおけるキノコ状蒸着オーバーハングの生成
を処理する。
されたひび割れ抵抗を有し、他の方法により蒸着された
二酸化ケイ素コーティングに比べて改良されたステップ
カバーを有する。ステップカバーの問題はステップ基板
のコーナーにおけるキノコ状蒸着オーバーハングの生成
を処理する。
によるプロセスの利用により、オーバーハングの問題が
非常に苛酷でなくなり、それによりこの問題を除去する
ための処理の量が最少化され、または排除さえされる。
非常に苛酷でなくなり、それによりこの問題を除去する
ための処理の量が最少化され、または排除さえされる。
本発明により形成される二酸化ケイ素コーティングはま
た低い応力を示し、一般に−IE9 (圧縮)〜+IE
9 (引張)の指数により確認され、好ましい指数は−
IE8である。本発明によるコーティングは改良された
物理的および電気的性質を示し、密度、屈折率、収縮パ
ラメーター、エツチング速度、破壊電圧、フラットバン
ド電圧、可動イオン汚染、ピンホール密度および二酸化
ケイ素純度が改良される。
た低い応力を示し、一般に−IE9 (圧縮)〜+IE
9 (引張)の指数により確認され、好ましい指数は−
IE8である。本発明によるコーティングは改良された
物理的および電気的性質を示し、密度、屈折率、収縮パ
ラメーター、エツチング速度、破壊電圧、フラットバン
ド電圧、可動イオン汚染、ピンホール密度および二酸化
ケイ素純度が改良される。
最後に、本発明によるプロセスを、殊に前記同時係属出
願に開示される装置において用いることにより、高い圧
力(現在約50トルまで)における運転がプラズマを基
板の上部に幽閉し、従って、おそらく室の側面および蒸
着を望まない表面を汚染することが少ないと思われる。
願に開示される装置において用いることにより、高い圧
力(現在約50トルまで)における運転がプラズマを基
板の上部に幽閉し、従って、おそらく室の側面および蒸
着を望まない表面を汚染することが少ないと思われる。
好ましくは、第3段階は存在する二酸化ケイ素表面を、
室中でドーピングガス例えばヘリウム中のCF4 、N
FtおよびCzFi、がら選ばれるフッ素化ガスから形
成されるプラズマに100〜500℃、好ましくは20
0〜40(lの範囲内の温度で暴露する段階を含む高速
等方性エツチングプロセスである。〔ヘリウムおよび(
または)酸素を混合して炭素とガスを形成させることが
できる。〕これらのガスに対する全ガス室圧力は通常約
200at〜20トルの範囲内にある。好ましい範囲は
500mt−10トルの範囲内にある。プラズマの全ガ
ス流量は当業者により決定することができょう。しかし
、20 sccm〜3.5 slmの運転可能範囲が前
記多段階プロセスCVD/PECVDリアツク−に開示
した装置に有用であることが認められた。好ましい全ガ
ス流量は約170secm〜1.25sumである。N
F、(またはCJh)ガス流に対する範囲は約1010
5c〜500sccmであり、好ましい範囲は約70〜
200 secmである。ドーピングガス、通常ヘリウ
ム、の個々の流量は約10105e〜3.5 slm
、好ましくは100 sccm〜1 slmである。約
0.5〜1ワツ) / crAのRF出力密度が使用さ
れ、ランプモジュールからの放射出力とともに〈500
℃の基板温度、好ましくは200〜400℃の温度を与
える。dλ0.4cIlの距離が使用される。0.4
cm未満でプラズマ密度不安定性が増大する。また、d
がl cmより大きくなると、エツチングは有効になり
すぎて他の成分をエツチングすることができる。
室中でドーピングガス例えばヘリウム中のCF4 、N
FtおよびCzFi、がら選ばれるフッ素化ガスから形
成されるプラズマに100〜500℃、好ましくは20
0〜40(lの範囲内の温度で暴露する段階を含む高速
等方性エツチングプロセスである。〔ヘリウムおよび(
または)酸素を混合して炭素とガスを形成させることが
できる。〕これらのガスに対する全ガス室圧力は通常約
200at〜20トルの範囲内にある。好ましい範囲は
500mt−10トルの範囲内にある。プラズマの全ガ
ス流量は当業者により決定することができょう。しかし
、20 sccm〜3.5 slmの運転可能範囲が前
記多段階プロセスCVD/PECVDリアツク−に開示
した装置に有用であることが認められた。好ましい全ガ
ス流量は約170secm〜1.25sumである。N
F、(またはCJh)ガス流に対する範囲は約1010
5c〜500sccmであり、好ましい範囲は約70〜
200 secmである。ドーピングガス、通常ヘリウ
ム、の個々の流量は約10105e〜3.5 slm
、好ましくは100 sccm〜1 slmである。約
0.5〜1ワツ) / crAのRF出力密度が使用さ
れ、ランプモジュールからの放射出力とともに〈500
℃の基板温度、好ましくは200〜400℃の温度を与
える。dλ0.4cIlの距離が使用される。0.4
cm未満でプラズマ密度不安定性が増大する。また、d
がl cmより大きくなると、エツチングは有効になり
すぎて他の成分をエツチングすることができる。
使用される比較的高い温度で、二酸化ケイ素の迅速精密
等方性エツチングが達成されることが認められた。特に
、500オングストロ一ム毎分〜1ミクロン毎分のエツ
チング速度が得られ、エツチングが等方的に行なわれ、
平滑である。
等方性エツチングが達成されることが認められた。特に
、500オングストロ一ム毎分〜1ミクロン毎分のエツ
チング速度が得られ、エツチングが等方的に行なわれ、
平滑である。
この等方性エツチングプロセスはまた一般に、例えば金
属コンタクトに有用であるけれども、前記のように5i
Ozステツプ力バ一層のプロフィル制御を与えるのに殊
に有用である。殊に、この均一な高速等方性エツチング
がSing蒸着に起因する実質的にプレーナ化した外形
を追加プレーナ化する利点を有する。
属コンタクトに有用であるけれども、前記のように5i
Ozステツプ力バ一層のプロフィル制御を与えるのに殊
に有用である。殊に、この均一な高速等方性エツチング
がSing蒸着に起因する実質的にプレーナ化した外形
を追加プレーナ化する利点を有する。
この高速等方性エツチング段階を前記2二酸化ケイ素蒸
着段階に用いた同一リアクター中で現場で行なった。そ
の結果、この好ましい系列がプレーナ化二酸化ケイ素層
を小幾何学的ステップ、溝などを組み込んだ非プレーナ
層上でも形成する一体化現場3段階プロセスを与える。
着段階に用いた同一リアクター中で現場で行なった。そ
の結果、この好ましい系列がプレーナ化二酸化ケイ素層
を小幾何学的ステップ、溝などを組み込んだ非プレーナ
層上でも形成する一体化現場3段階プロセスを与える。
TEOSおよびオゾンベース熱CVD共形酸化物蒸着段
階、高速PECVD酸化物蒸着段階および高速エツチン
グ段階の使用の組合せにおいて、全3段階に対して同−
室を用いる能力は速やかな高処理量プレーナ化プロセス
を与え、それがまた汚染およびウェーハ損傷、並びに、
ウェーハを繰返し扱い1室から他室へ移すときに生ずる
他の問題の可能性がほとんどない。
階、高速PECVD酸化物蒸着段階および高速エツチン
グ段階の使用の組合せにおいて、全3段階に対して同−
室を用いる能力は速やかな高処理量プレーナ化プロセス
を与え、それがまた汚染およびウェーハ損傷、並びに、
ウェーハを繰返し扱い1室から他室へ移すときに生ずる
他の問題の可能性がほとんどない。
前記3段階プレーナ化プロセスの使用は第18図および
第19図に略示される。第18図に示されるように、第
1段階はステップトポグラフィ−181上の二酸化ケイ
素の比較的厚いステップ層182を非常に高い蒸着速度
で形成する。次いで第2段階を用いてその上に共形二酸
化ケイ素層183を形成し、それは下の深いステップ1
84にもかかわらず実質的にプレーナ化される。最後に
第19図に示されるように、第3の等方性エツチング段
階が上部表面185を、あるとすれば層183中の残存
ステップトポグラフィ−186の下までエツチングし、
それにより次後の層に対して平滑プレーナ表面187を
与える。
第19図に略示される。第18図に示されるように、第
1段階はステップトポグラフィ−181上の二酸化ケイ
素の比較的厚いステップ層182を非常に高い蒸着速度
で形成する。次いで第2段階を用いてその上に共形二酸
化ケイ素層183を形成し、それは下の深いステップ1
84にもかかわらず実質的にプレーナ化される。最後に
第19図に示されるように、第3の等方性エツチング段
階が上部表面185を、あるとすれば層183中の残存
ステップトポグラフィ−186の下までエツチングし、
それにより次後の層に対して平滑プレーナ表面187を
与える。
さらに、前記3段階現場プロセスが二酸化ケイ素に対す
る我々のプレーナ化プロセスの現在好ましい態様である
けれども、オゾン−およびTEOS−ベース熱CVD段
階(第2段階)を他の初期誘電体コーティングおよび他
の等方性エツチング段階と組合せて用いることができる
。例えばオゾン熱CVD第2段階を、CVDまたは他の
方法により形成された二酸化ケイ素または窒化ケイ素あ
るいはシリコンオキシニトリドあるいは他の誘電体層上
の高度に共形の二酸化ケイ素コーティングの蒸着に使用
することができ、生じた実質的にプレーナ化した層を他
の、好ましくは高速、乾式(例えばプラズマ)または湿
式化学等方性エツチング法を用いてプレーナトポグラフ
ィ−にエツチングすることができる。
る我々のプレーナ化プロセスの現在好ましい態様である
けれども、オゾン−およびTEOS−ベース熱CVD段
階(第2段階)を他の初期誘電体コーティングおよび他
の等方性エツチング段階と組合せて用いることができる
。例えばオゾン熱CVD第2段階を、CVDまたは他の
方法により形成された二酸化ケイ素または窒化ケイ素あ
るいはシリコンオキシニトリドあるいは他の誘電体層上
の高度に共形の二酸化ケイ素コーティングの蒸着に使用
することができ、生じた実質的にプレーナ化した層を他
の、好ましくは高速、乾式(例えばプラズマ)または湿
式化学等方性エツチング法を用いてプレーナトポグラフ
ィ−にエツチングすることができる。
E、室自己浄化
等方性室自己浄化エツチング系列はフッ素化ガスを用い
て約600mT〜10トルの圧力、1〜2ワット/cm
2の高RF出力密度、距離d=1cm、および等方性エ
ツチングプロセスについて記載したガス流量を含む他の
パラメーターで行なった。
て約600mT〜10トルの圧力、1〜2ワット/cm
2の高RF出力密度、距離d=1cm、および等方性エ
ツチングプロセスについて記載したガス流量を含む他の
パラメーターで行なった。
このプロセスを前記多段階CVD/PECVリアクター
の浄化に用いた。ウェーハ上に〜5μ厚さの二酸化ケイ
素フィルムを蒸着した後、室を約1分間浄化することが
できる。
の浄化に用いた。ウェーハ上に〜5μ厚さの二酸化ケイ
素フィルムを蒸着した後、室を約1分間浄化することが
できる。
F、要約;択一的プロセス系列
以下は前記蒸着、エツチングおよび自己浄化段階を単独
または組せで使用できる可能な方法の若干の部分的列挙
である。
または組せで使用できる可能な方法の若干の部分的列挙
である。
第1に、オゾン、酸素およびTEOSを用いる熱CVD
共形酸化物蒸着プロセスを単独で、例えば不完全トポグ
ラフィ−上に完全ステップカバーなく、または完全ステ
ップカバーを有して形成した存在する誘導体のプレーナ
化に用いることができる。
共形酸化物蒸着プロセスを単独で、例えば不完全トポグ
ラフィ−上に完全ステップカバーなく、または完全ステ
ップカバーを有して形成した存在する誘導体のプレーナ
化に用いることができる。
第2に、共形酸化物形成熱CVD段階を存在誘電体に、
次いで等方性エツチング段階を適用して残留非プレーナ
性をプレーナトポグラフィ−にエッチバックすることが
できる。
次いで等方性エツチング段階を適用して残留非プレーナ
性をプレーナトポグラフィ−にエッチバックすることが
できる。
第3に、それ自体誘電体例えば中間段階誘電体の形成に
使用できるか、または存在誘電体中の不完全ステップカ
バーおよび(または)トポグラフィ−の矯正に適用でき
る好ましい高速プレーナ誘電体形成系列において、前記
高速PECVD酸化物段階、次に共形酸化物形成熱CV
D系列を適用することができる。非プレーナ特徴を除く
等方性エッチバックを用いる好ましい系列において、高
速PECVD酸化物蒸着系列は次に共形酸化物形成熱C
VD段階、次に等方性エツチング段階、好ましくは前記
高速プラズマ等方性エツチングを伴なって使用される。
使用できるか、または存在誘電体中の不完全ステップカ
バーおよび(または)トポグラフィ−の矯正に適用でき
る好ましい高速プレーナ誘電体形成系列において、前記
高速PECVD酸化物段階、次に共形酸化物形成熱CV
D系列を適用することができる。非プレーナ特徴を除く
等方性エッチバックを用いる好ましい系列において、高
速PECVD酸化物蒸着系列は次に共形酸化物形成熱C
VD段階、次に等方性エツチング段階、好ましくは前記
高速プラズマ等方性エツチングを伴なって使用される。
多くの他の組合せが個々の状態/問題に対して可能であ
る。例えば、次の系列が、RF出力の適用前に感受性デ
バイスまたは構造上に薄い保護酸化物層を形成すること
が望ましい場合に好ましいことができる: +11 熱03 CVD共形酸化物蒸着、(2)高速
PECVD酸化物蒸着、 (3)熱0.CVD共形酸化物蒸着、 (41P E CV D酸化物蒸着、および(5)高速
等方性エツチング。
る。例えば、次の系列が、RF出力の適用前に感受性デ
バイスまたは構造上に薄い保護酸化物層を形成すること
が望ましい場合に好ましいことができる: +11 熱03 CVD共形酸化物蒸着、(2)高速
PECVD酸化物蒸着、 (3)熱0.CVD共形酸化物蒸着、 (41P E CV D酸化物蒸着、および(5)高速
等方性エツチング。
また、ステップトポグラフィ−上に非常に薄いプレーナ
酸化物を形成するために次の系列の初めの2段階を用い
てステップトポグラフィ−をプレーナ化し、次いで高速
酸化物蒸着を適用してプレーナ表面上に非常に厚い酸化
物厚さを形成することができる: (1) 高速PECVD酸化物蒸着、(2)熱0.C
VD共形酸化物蒸着、および(3)高速PECVD酸化
物蒸着。
酸化物を形成するために次の系列の初めの2段階を用い
てステップトポグラフィ−をプレーナ化し、次いで高速
酸化物蒸着を適用してプレーナ表面上に非常に厚い酸化
物厚さを形成することができる: (1) 高速PECVD酸化物蒸着、(2)熱0.C
VD共形酸化物蒸着、および(3)高速PECVD酸化
物蒸着。
他の選択として、すぐ前に記載した非常に薄いプレーナ
酸化物プロセスを、完全プレーナ化および所要の厚さを
達成する最終段階として等方性エッチバックに組込むこ
とができる。
酸化物プロセスを、完全プレーナ化および所要の厚さを
達成する最終段階として等方性エッチバックに組込むこ
とができる。
最後に、しかし確実に網羅的でなく、等方性室自己浄化
エツチングを、清浄な蒸着のない状態に室を維持するた
めに他のプロセス系列内またはその終りに望みどおり挿
入することができる。
エツチングを、清浄な蒸着のない状態に室を維持するた
めに他のプロセス系列内またはその終りに望みどおり挿
入することができる。
本発明は種々の好ましく、選択的な態様に関連して記載
されたけれども、当業者が特許請求の範囲に記載した発
明の範囲から逸脱することなく多くの変更を行なうこと
ができると理解される。
されたけれども、当業者が特許請求の範囲に記載した発
明の範囲から逸脱することなく多くの変更を行なうこと
ができると理解される。
第1図は本発明の組合せCVD/PECVDリアクター
の好ましい態様のカバーを枢軸回転開放して示した平面
図であり、 第2図はりアクタ−カバーを閉じた第1図の線2−2に
沿ってとった部分略縦断面図であり、第3図は第1図の
線3−3に沿ってとったつ工−ハエレベータ設備の縦断
面であり、 第4〜8図は、ウェーハをリアクターサセプターに配置
し、それから取出すウェーハ輸送設備の作用の連続的な
高度略図であり、 第9図は第2図の線9−9に沿ってとった円形配列の放
射ランプ加熱装置を通る縮小寸法水平断面図であり、 第10図はプロセスガスおよびパージガス整流装置を詳
細に示す第2図の拡大部分図であり、第11図はガス整
流ヘッドまたはマニーホールドの部分拡大底面図であり
、 第12図は第2図に示したRF/ガスフィードスルー装
置の拡大縦断面図であり、 第13A図〜第13C図はガスフィードスルーの種々の
選択態様の略図であり、 第14図は定電圧勾配装置のない低周波および高周波R
F出力に対する破壊電圧を圧力の関数として示すグラフ
であり、 第15図は定電圧勾配装置を有し、および有しない破壊
電圧を圧力の関数として示すグラフであり、 第16図は材料例えば誘電体の層のステップトボブラフ
イーの表面上の蒸着に関連する到達角を例示する集積回
路の略断面図であり、 第17A図および第17B図はプレーナ化に対する溝幅
の効果を示す第16図と同様の略断面であり、 第18図および第19図は我々のプレーナ化プロセスの
適用から生ずる酸化物フィルムの共形プレーナ品質を例
示する第16図のような集積回路のトポロジーの断面図
であり、 第20図および第21図は我々のこの酸化物蒸着プロセ
スに対する蒸着速度をそれぞれ温度および圧力の関数と
して示すグラフである。 10・・・・・・リアクター設備 18・・・・・・ウェーハ輸送設備 26・・・・・・ガスマニホールド 30・・・・・・放射加熱装置 32・・・・・・ガス整流装置 36・・・・・・RF/ガスフィードスルー装置44.
46・・・・・・エレベータ設備N ■ =n ○ (η 手続補正書く方式) 特許庁長官 小 川 邦 夫 殿 1、事件の表示 昭和62年特許願第321181
号3、補正をする者 事件との関係 出願人 5、?i正命令の日付 昭和63年3月29日願書に
最初に添付した明細書及び図面の浄書(内容に変更なし
)
の好ましい態様のカバーを枢軸回転開放して示した平面
図であり、 第2図はりアクタ−カバーを閉じた第1図の線2−2に
沿ってとった部分略縦断面図であり、第3図は第1図の
線3−3に沿ってとったつ工−ハエレベータ設備の縦断
面であり、 第4〜8図は、ウェーハをリアクターサセプターに配置
し、それから取出すウェーハ輸送設備の作用の連続的な
高度略図であり、 第9図は第2図の線9−9に沿ってとった円形配列の放
射ランプ加熱装置を通る縮小寸法水平断面図であり、 第10図はプロセスガスおよびパージガス整流装置を詳
細に示す第2図の拡大部分図であり、第11図はガス整
流ヘッドまたはマニーホールドの部分拡大底面図であり
、 第12図は第2図に示したRF/ガスフィードスルー装
置の拡大縦断面図であり、 第13A図〜第13C図はガスフィードスルーの種々の
選択態様の略図であり、 第14図は定電圧勾配装置のない低周波および高周波R
F出力に対する破壊電圧を圧力の関数として示すグラフ
であり、 第15図は定電圧勾配装置を有し、および有しない破壊
電圧を圧力の関数として示すグラフであり、 第16図は材料例えば誘電体の層のステップトボブラフ
イーの表面上の蒸着に関連する到達角を例示する集積回
路の略断面図であり、 第17A図および第17B図はプレーナ化に対する溝幅
の効果を示す第16図と同様の略断面であり、 第18図および第19図は我々のプレーナ化プロセスの
適用から生ずる酸化物フィルムの共形プレーナ品質を例
示する第16図のような集積回路のトポロジーの断面図
であり、 第20図および第21図は我々のこの酸化物蒸着プロセ
スに対する蒸着速度をそれぞれ温度および圧力の関数と
して示すグラフである。 10・・・・・・リアクター設備 18・・・・・・ウェーハ輸送設備 26・・・・・・ガスマニホールド 30・・・・・・放射加熱装置 32・・・・・・ガス整流装置 36・・・・・・RF/ガスフィードスルー装置44.
46・・・・・・エレベータ設備N ■ =n ○ (η 手続補正書く方式) 特許庁長官 小 川 邦 夫 殿 1、事件の表示 昭和62年特許願第321181
号3、補正をする者 事件との関係 出願人 5、?i正命令の日付 昭和63年3月29日願書に
最初に添付した明細書及び図面の浄書(内容に変更なし
)
Claims (53)
- (1)ウェーハを水平に装備する室を規定し、装備位置
におけるウェーハに反応物ガスを供給するために水平入
口ガスマニホールドをウェーハ装備位置の上方に含むハ
ウジング、室内のウェーハ装備位置の周辺に装備され、
環状配列の排出孔を含むガス整流プレート、真空排出ポ
ンプ装置、 を含む半導体処理リアクターであって、ハウジングがま
た前記孔配列の下方にそれと連通し、ウェーハを半径方
向に横切って排出口を通し前記ガスを流す真空排出ポン
プ装置に連結された排出口を有し、溝容積が排出孔の方
向へウェーハを横切る制御された半径方向ガス流を可能
にする十分な伝導力を与える環状溝を含むリアクター。 - (2)ウェーハを水平に装備する室を中に規定するハウ
ジング、 室に連通する真空排出ポンプ装置、 装備位置におけるウェーハに反応物ガスを供給するため
のウェーハ装置位置の上方に水平に配置した水平入口ガ
スマニホールドを含む半導体処理リアクターであって、
マニホールドがまた、反応物ガスを下向きにウェーハに
対して配分する第1中心部配列の孔、およびパージガス
を下向きにウェーハの周辺に向かわせる第2周辺配列の
孔を含み、孔の第1および第2配列がそれぞれ多数の一
般に同心の環を含み、第1中心部配列が半径方向に整列
する孔の数を制御する不規則な環内孔間隔を有し、第2
周辺配列が孔の環対環半径方向整列のないことを保証す
る半径方向千鳥パターンを有するリアクター。 - (3)マニホールドがさらに、内部流れを第1中心部配
列の孔に対し半径方向に内方へ向かわせる内部ブレード
を含む、特許請求の範囲第(2)項記載のリアクター。 - (4)マニホールド内に、内部表面を選んだ温度範囲内
に維持し、外部ウェーハ隣接表面を選んだ温度範囲以上
に維持するために冷却液体を循環する装置を含む、特許
請求の範囲第(2)項記載のリアクター。 - (5)反応物ガスを室内のウェーハの処理に供給するた
めに水平に配置した水平入口ガスマニホールドを含む室
を中に規定するハウジングを含む半導体処理リアクター
であって、ハウジングがさらに、 ウェーハを支持するサセプター、 サセプターを水平位置に装備するサセプター支持装備、
および ガスマニホールドに密隣接する選択位置にサセプターお
よびウェーハをガスマニホールドに平行に配置するため
ウェーハ支持装置を垂直に選択的に移動させる装置、 を含むリアクター。 - (6)ガスマニホールドとサセプターとの間の平行間隔
が約1cm以下である、特許請求の範囲第(5)項記載
のリアクター。 - (7)≦1気圧の広範囲の高い圧力にわたる均一ウェー
ハ処理でスパッタリングすることによる熱化学蒸着、プ
ラズマ強化化学蒸着、プラズマ促進エッチバック、プラ
ズマ自己浄化およびトポグラフイー修飾から選ばれる1
段階および現場多段階処理系列に適する半導体処理リア
クターであって、 選んだ位置に処理するウェーハを装備する室を中に規定
するハウジング、 ウェーハ装備位置の上方に配置され、反応物ガスを下向
きにウェーハに向かわせるために適応させた中心ガス出
口領域およびパージガスをウェーハ周辺に向かわせるた
めに適応させた外側周辺領域を有する第1ガス入口マニ
ホールド、室の底部に配置され、パージガスを上向きに
横切りウェーハを横切って向かわせるために適応させる
第2ガス入口マニホールド、 第1ガス入口マニホールド内に、内部表面をその中の前
記ガスの凝縮、分解および反応を防ぐ選んだ温度範囲内
に維持し、外部ウェーハ隣接表面をその上の好ましくな
い蒸着を抑制する選んだ温度範囲以上に維持するために
制御された温度で流体を循環する装置、 真空排出ポンプ装置、室内のウェーハ装備位置の周り周
辺に装備され、プレートがその中に環状配列の排出口を
含むガス整流プレート、室上に形成され、排出口に連通
し、ウェーハを半径方向に横切って排出口を通して前記
非蒸着析出ガスを流れさせる真空排出ポンプ装置に連結
されて排気口を有し、溝容積が排出口に対してウェーハ
を横切る制御された半径方向ガス流を可能にする十分な
伝導力を与える環状溝を含む半径方向ガス流排出装置、
薄い高輻射能サセプター、 サセプターを水平位置に装備し、サセプターおよびその
上に配置されたウェーハをガスマニホールドに密隣接す
る選択位置にガスマニホールドに平行に選択的に配置す
るために垂直に移動させるのに適応させる可動サセプタ
ー支持装置、 室の底を形成する透明窓、ハウジングに窓の下に装備さ
れ、近赤外ランプおよび放射エネルギーの平行ビームを
石英窓を通してサセプター上に、サセプターの中心より
その縁部に実質的に高い入射出力密度で向かわせる円形
配列にランプを装備する円形反射器モジュールを含む放
射加熱装置、および、 第1マニホールドに連結され、第1マニホールド中へそ
の内部に蒸着ガスおよび外部にパージガスの共軸流を与
えるために適応され、ガス入口マニホールドにRF出力
を適用するために入口端で接地に、出口またはマニホー
ルド端でRF出力供給に連結するために適応され、また
長さ沿いに定電圧勾配を確立して中のガスの分解を回避
するために長さ沿いに制御された電気インピーダンスを
有する管装置を含むガスフィードスルー装置、 を含むリアクター。 - (8)室内のウェーハのガス化学処理に適応させた室を
中に規定するハウジング、 室の底部を形成する透明窓、および ウェーハを支持するために前記室内に配置した薄い高輻
射能サセプター。 を含む半導体処理リアクターであって、 ハウジングがさらに、 ハウジングに窓の下に装備され、円形配列のランプおよ
び、サセプターの周辺と並ぶ環状反射溝を中に有し、ラ
ンプからの放射エネルギーの実質的に平行するビームを
石英窓を通してサセプターの中心よりその縁部に実質的
に高い入射出力密度でサセプター上に向かわせる環状配
列で溝内に一般に直立配置にランプを装備する反射器モ
ジュールを含む放射加熱装置、 を含むリアクター。 - (9)ランプにより供給される放射エネルギーが約0.
7〜2.5ミクロンの波長範囲内に実質的に集中される
、特許請求の範囲第(8)項記載のリアクター。 - (10)ランプにより供給される放射エネルギーが約0
.9〜1.5ミクロンのピーク放射波長に集中される、
特許請求の範囲第(9)項記載のリアクター。 - (11)サセプターが黒鉛、アルミニウム、セラミック
およびそれらの複合体から選ばれる、特許請求の範囲第
(9)項記載のリアクター。 - (12)室内のウェーハのガス化学処理に適応させた室
を中に規定するハウジングを含む半導体処理リアクター
であって、前記ハウジングがさらに、ウェーハ上方に配
置された第1ガス入口マニホールドおよび室の底部に配
置された第2ガス入口マニホールドであって、第1マニ
ホールドは蒸着ガスを下向きにウェーハに向かわせるた
めに適心される中心領域およびパージガスを下向きにウ
ェーハ周囲に向かわせるために適応させる外側周辺領域
を有し、第2マニホールドはパージガスを上向きにウェ
ーハ周辺に向かわせるために適応させる第1および第2
マニホールド、 非蒸着ガスをウェーハから半径方向に遠くへ排出する装
置、 を含むリアクター。 - (13)リアクターを≦760トルの圧力における運転
に適応させる、特許請求の範囲第(11)項記載のリア
クター。 - (14)0.1〜200トルの圧力における運転に適応
させる、特許請求の範囲第(12)項記載の化学蒸着リ
アクター。 - (15)第1ガス入口マニホールドが室内の蒸着の制御
の強化、およびマニホールド内の蒸着を防ぐために温度
制御された内部および外部表面を有する、特許請求の範
囲第(12)項または第(13)項記載のリアクター。 - (16)室内のウェーハの化学蒸着に適応させた室を中
に規定するハウジング、 少くとも蒸着ガスを室に供給するガス入口マニホールド
であって、室内の蒸着の制御を強化し、マニホールド内
の蒸着を防ぐために温度制御された内部および外部表面
を含むガス入口マニホールドを含む化学蒸着リアクター
。 - (17)中に室を規定して室内のウェーハの化学蒸着に
対して適応され、蒸着ガスを室に供給するガス入口マニ
ホールドおよびRF出力供給を含み、室内に蒸着ガスビ
ームを形成しウェーハ上に層を蒸着させるのに適合させ
たハウジングを含む化学蒸着リアクターであって、 ハウジングがさらに、ガス入口マニホールドに連結され
;入口および出口端を有し、ガス入口マニホールド中へ
その内部に蒸着ガスおよびその外部にパージガスの共軸
流を与えるように適合され、入口端で接地に、出口また
はマニホール端でRF出力供給に対する連結に適応され
、また長さ沿いに定電圧勾配を確立してガスの分解を防
ぐために長さ沿いに制御された電気インピーダンスを有
する管装置を含む組合せRF/ガスフィードスルーを含
むリアクター。 - (18)ガス入口マニホールドが、外部表面上の好まし
くない蒸着を抑制し、マニホールド内の凝縮および蒸着
を防ぐ温度制御された内部および外部表面を有する、特
許請求の範囲第(17)項記載の化学蒸着リアクター。 - (19)ガス入口マニホールドが蒸着ガスを下向きにウ
ェーハに向かわせるために適応させた中心領域およびパ
ージガスを下向きにウェーハ周辺に向かわさせるために
適応させた外周領域を有し、前記適応がさらに、 パージガスを上向きにウェーハ周辺に向かわせるために
適応させた第2ガスマニホールド、および、 前記パージガスおよび非蒸着ガスを合せてウェーハ周辺
から半径方向に遠くへ排出する装置、を含む、特許請求
の範囲第(17)項または第(18)項記載の化学蒸着
リアクター。 - (20)室の底部を形成する透明窓、 室内に配置され、ウェーハを支持する薄い高輻射能サセ
プター、 ハウジングに窓の下に装備され、円形配列のランプおよ
び、サセプターの周辺と並ぶ環状反射溝を中に有し、ラ
ンプからの放射エネルギーの実質的に平行するビームを
石英窓を通してサセプターの中心よりその縁部に実質的
に高い入射出力密度でサセプター上に向かわせる円形配
列で溝内に一般に直立配置に前記ランプを装備する反射
器モジュールを含む放射加熱装置、および 反射器モジュールを内部冷却する装置を含 む、特許請求の範囲第(17)項、第(18)項または
第(19)項記載の化学蒸着リアクター。 - (21)室内で配置したウェーハ上の層の化学蒸着に適
応させた真空室を中に規定し、ウェーハを室内に挿入し
およびウェーハを室から取出すウェーハ保持体ブレード
に応対する閉鎖可能開口を有するハウジングを含む半導
体処理リアクターであって、さらに、 ウェーハの保持に適応させた第1垂直可動、一般に円形
水平配列のフィンガ、 第1フィンガと相互間通し、薄い一般に円形のサセプタ
ーを水平配置に保持するために適応させた第2垂直可動
、一般に円形水平配列のフィンガ、 第1フィンガを装備し、第1フィンガを(a)第2フィ
ンガの処理位置中への持上運動に先立ちウェーハをブレ
ードから上方へ持上げ、および(b)ウェーハをブレー
ドに戻すために下方へ移動させる第1垂直可動エレベー
タ設備、 第2フィンガを装備し、第2フィンガを(c)第1フィ
ンガを通ってそれからウェーハをサセプター上で前記処
理位置へ上方に、および(d)処理したウェブを、第1
フィンガーによりプレートに戻すに先立ち第1フィンガ
上へ載せるために下方へ移動させる第2垂直可動エレベ
ータ設備、を含むリアクター。 - (22)化学蒸着リアクター設備であって、真空室を形
成し、室中へ反応ガスを導入する適当な第1水平ガスマ
ニホールドを有するハウジング 第1垂直可動エレベータ設備、 ウェーハを保持する適当な第1垂直可動、一般に円形水
平配列のフィンガ、 第2垂直可動エレベータ設備、 第1フィンガと相互間通し、薄い一般に円形のサセプタ
ーを水平配置に保持する適当な第2垂直可動、一般に円
形配置のフィンガ、 第1フィンガを装備し、第1フィンガを(a)第2フィ
ンガの処理位置中への持上運動に先立ちウェーブをブレ
ードから上方へ持上げ、および(b)ウェーハをブレー
ドに戻すために下方へ移動させる第1垂直可動エレベー
タ設備、 第2フィンガを装備し、第2フィンガを(c)第1フィ
ンガを通ってそれからウェーハをサセプター上で前記処
理位置へ上方に、および(d)処理したウェブを、第1
フィンガによりプレート上に戻すに先立ち第1フィンガ
上へ置くために下方へ移動させる第2垂直可動エレベー
タ設備、透明石英窓を含む前記室の底部、 ハウジングに窓の下に装備され、円形配列の石英−タン
グステン−ハロゲンランプおよび、水平サセプターの周
辺と並ぶ環状反射溝を中に有し、ランプから石英窓を通
しサセプタの中心よりその縁部に実質的に高い出力密度
でサセプター上へ近IR放射エネルギーの実質的に平行
なビームを向かわせる円形配列で一般に垂直配置で溝内
にランプを装備した反射器モジュールを含む反射加熱装
置、 蒸着ガスを分配する第1中心部配列の孔を含み、さらに
パージガスを下向きにウェーハの周辺に向かわせる第2
周辺部配列の孔を含み、孔の第1および第2配列がそれ
ぞれ多数の一般に同心の環を含み、第1中心部配列が各
環内に半径方向に整列する孔の数を制御する不規則な孔
間隔を有し、第2周辺部配列が各環中に孔の環対環半径
方向整列のない半径方向千鳥パターンに配列されたおよ
そ等数の孔を有するマニホールド、 石英窓に隣接する室の底部周辺に配置され、パーガスを
室中へ向かわせる環状の第2入口マニホールド、 ウェーハ蒸着位置の周辺周りのハウジング内に規定され
た環状排出溝、 真空を室に適用する環状排出溝に連結され、それにより
前記真空の適用並びにパージガスの第1および第2マニ
ホールドに対する適用が、第1マニホールドから下向き
にウェーハの外部周辺へ第1パージガス流を、第2マニ
ホールドから石英窓を横切り次いでウェーハの底縁を上
向きに通って洗い流す第2パージガス流を起させ、前記
2つの流れが合流して室の外へ流れ、それにより使用済
蒸着ガスおよび連行生成物を除去する真空装置、 接地に連結されたサセプター、および 第1マニホールドに連結され、入口および出口端を有し
、第1マニホールド中へその内部に蒸着ガスおよびその
外部にパージガスの共軸流を与えるために適応させ、入
口端で接地に、出口またはマニホールド端でRF出力供
給に連結するために適応させ、またその中のガス分解を
防ぐため長さに沿って定電圧勾配を確立する制御された
電気インピーダンスを長さ沿いに有する管装置を含む蒸
着ガスフィードスルー装置を含むリアクター、 を含む化学蒸着リアクター設備。 - (23)ガス入口ボックスから室へ入る反応物ガスによ
って処理するためにウェーハを室内のサセプター上に配
置する方法であって、 ウェーハをウェーハ支持ブレード上に水平配置に支持し
、 ブレードを室内に、その中の選んだ位置に挿入し、 ウェーハ支持フィンガを持上げてウェーハをブレードか
ら持上げ、 ブレードを室から引込め、 サセプター支持フィンガを持上げてウェーハを載せてウ
ェーハを隣接ガスボックスから選んだ可変距離にガスボ
ックスに平行に配置する、ことを含む方法。 - (24)さらに、 サセプター支持フィンガを下げてウェーハをウェーハ支
持フィンガ上に置き、 ウェーハ支持ブレードを室内に、選んだ位置へ挿入し、 ウェーハ支持フィンガを下げてウェーハをウェーハ支持
ブレード上に置き、 ウェーハ支持ブレードをその上のウェーハとともに室か
ら引込める、 ことによりウェーハを室から取出す段階を含む、特許請
求の範囲第(23)項記載の方法。 - (25)ウェーハ支持フィンガおよびサセプタ支持フィ
ガが次のウェーハ挿入系列に対する位置に残される、特
許請求の範囲第(24)項記載の方法。 - (26)コーティングを5mt〜2トルの室圧力および
約0.1〜1inのガス入口とコーティングとの間の距
離で室に対するスパッタガス入口に暴露する段階を含む
、室内で基板コーティングのトポグラフィーを更改する
方法。 - (27)基板を真空室内でオゾン、酸素、テトラエチル
オルトシリケートおよび担体ガスから形成された反応性
種に暴露し、室内の全ガス圧が約10〜200トルの範
囲内にあり、基板温度が200〜500℃の範囲内にあ
ることを含む、基体上に二酸化ケイ素の共形層を蒸着す
る方法。 - (28)基体温度が約375℃である、特許請求の範囲
第(27)項記載の方法。 - (29)室圧力が約40〜120トルである、特許請求
の範囲第(27)項または第(28)項記載の方法。 - (30)さらに、蒸着プラズマを基板に対して幽閉する
ためにパージガス流を室に適用することを含む、特許請
求の範囲第(27)項記載の方法。 - (31)さらに、蒸着プラズマを基板に対して幽閉する
ためにパージガス流を上向きおよび下向きに基板に向け
て次に半径方向に外方へ適用することを含む、特許請求
の範囲第(27)項記載の方法。 - (32)基板を、テトラエチルオルトシリケート、酸素
、担体ガスのガス流から形成されたプラズマに暴露する
ことにより基板上に二酸化ケイ素を蒸着させる方法であ
って、前記基体を室中で前記プラズマに暴露する段階を
含み、全ガス圧が約1〜50トルの範囲内にあり、温度
が約200〜500℃の範囲内にあり、室中の前記プラ
ズマの前記基板の表面までの流路が約1cm以下である
方法。 - (33)ガス流がさらにヘリウムのような希釈ガスを含
む、特許請求の範囲第(32)項記載の方法。 - (34)圧力が約8〜12トルであり、基板温度が約3
75±20℃である、特許請求の範囲第(32)項記載
の方法。 - (35)さらに、蒸着プラズマを基板に対して幽閉する
ためにパージガス流を室に適用することを含む、特許請
求の範囲第(32)項記載の方法。 - (36)さらに、蒸着プラズマを基板に対して幽閉する
ためにパージガス流を上向きおよび下向きに基板の方へ
、次いで半径方向に外方へ適用することを含む、特許請
求の範囲第(32)項記載の方法。 - (37)担体ガス入口中で室に向けて形成されたNF_
3、CF_4およびC_2F_6から選ばれるフッ素化
ガスから形成されたプラズマに、約100〜500℃の
範囲内のウェーハ温度および≧約0.4cmの入口と前
記基板の表面との間の距離で二酸化ケイ素表面を暴露す
る段階を含む二酸化ケイ素表面を等方性にエッチングす
る方法。 - (38)室圧力が約200mT〜20トルの範囲内にあ
る、特許請求の範囲第(37)項記載の方法。 - (39)室圧力が500mT〜10トルの範囲内にある
、特許請求の範囲第(38)項記載の方法。 - (40)非プレーナ誘電体コーティングを真空室内でプ
レーナ化する方法であって、 基板をオゾン、酸素、テトラエチルオルトシリケートお
よび担体から形成した反応性種に暴露することにより基
板上に二酸化ケイ素の共形層を蒸着させる、全室ガスは
約10〜200トルの範囲内にあり、基板温度は約20
0〜500℃の範囲内にあり、それにより基板上に共形
層の複合体を形成させる、および 生じた複合体層の外面を等方性にエッチングする、こと
を含む方法。 - (41)基板温度が約375±20℃であり、室圧力が
40〜120トルである、特許請求の範囲第(40)項
記載の方法。 - (42)下層コーティングが二酸化ケイ素、窒化ケイ素
、シリコンオキシニトリドおよびポリシリコンから選ば
れる、特許請求の範囲第(40)項または第(41)項
記載の方法。 - (43)下層コーティングが二酸化ケイ素である、特許
請求の範囲第(40)項または第(41)項記載の方法
。 - (44)非プレーナ基板上にプレーナ化複合誘電体層を
形成する方法であって、 第1に、下層を真空室中で形成された反応物ガスプラズ
マに暴露することにより二酸化ケイ素の比較的厚い層を
蒸着させる、 第2に、下層二酸化ケイ素層を真空室中でテトラエチル
オルトシリケート、オゾンおよび担体ガスから形成され
た反応性種に暴露することにより比較的薄い第2二酸化
ケイ素層を蒸着させる、全ガス圧は約10〜200トル
の範囲内にあり、温度は約200〜500℃の範囲内に
ある、および 第3に、生じた複合体フィルムを、複合体を真空室内で
形成された反応物ガスプラズマに暴露することにより等
方性にエッチングする、ことを含む方法。 - (45)基板上にプレーナ化複合誘電体層を形成する方
法であって、 第1に、下層を室中でテトラエチルオルトシリケート、
酸素および担体ガスから形成したプラズマに暴露するこ
とにより下層上に二酸化ケイ素の層を蒸着させる、ただ
し全ガス圧は約1〜50トルの範囲内にあり、温度は約
200〜500℃の範囲内にある、 第2に、下層二酸化ケイ素層を前記室内でテトラエチル
シリケート、オゾン、酸素および担体ガスから形成され
た反応性種に暴露することにより比較的薄い第2二酸化
ケイ素層を蒸着させる、ただし全ガス圧は約10〜20
0トルの範囲内にあり、温度は約200〜500℃の範
囲内にある、 ことを含む方法。 - (46)基板上にプレーナ化複合誘電体層を形成する方
法であって、 第1に、基板を室中でテトラエチルオルトシリケート、
酸素および担体から形成されたプラズマに暴露すること
により下層上に二酸化ケイ素の層を蒸着させる、ただし
全ガス圧は約1〜50トルの範囲内にあり、温度は約2
00〜500℃の範囲内にある。 第2に、下層酸化物層を前記室中でテトラエチルオルト
シリケート、オゾン、酸素および担体ガスから形成した
反応性種に暴露することにより比較的薄い二酸化ケイ素
層を蒸着させる、ただし、全ガス圧は約10〜200ト
ルの範囲内にあり、温度は約200〜500℃の範囲内
にある。および 第3に、生じた複合体フィルムを、複合体をNF_3、
CF_4およびC_2F_6のようなフッ素化ガスから
選ばれる反応性ガスから前記室内に形成されたプラズマ
に暴露することにより等方性にエッチングする、前記室
内の全ガス圧は約200mt〜20トルの範囲内にあり
、室温は約200〜500℃の範囲内にある、 ことを含む方法。 - (47)第1段階が約8〜10トルの室圧力、および約
375±20℃の基板温度を用いる、特許請求の範囲第
(46)項記載の方法。 - (48)第2段階が約40〜120トルの室圧力、およ
び約375±20℃の基板温度を用いる、特許請求の範
囲第(46)項または第(47)項記載の方法。 - (49)第3段階が、約500ミリトル〜10トルの室
圧力、および約375±20℃の基板温度を用いる、特
許請求の範囲第(48)項記載の方法。 - (50)さらに、 特許請求の範囲第(46)項記載の第1段階の前に、基
板を前記室内でテトラエチルオルトシリケート、オゾン
、酸素および担体ガスから形成した反応性種に約10〜
200トルの範囲内の全圧力および約200〜500℃
の範囲内の温度で暴露することにより基板上に比較的薄
い保護二酸化ケイ素層を蒸着させる、および 特許請求の範囲第(46)項記載の第2および第3段階
の間に、特許請求の範囲第(46)項記載の第2段階中
に形成された共形酸化物層上に蒸着物を室中でテトラエ
チルオルトシリケート、酸素および担体ガスから形成し
たプラズマに暴露することにより二酸化ケイ素の比較的
厚い層を蒸着させる、ただし全ガス圧は約1〜50トル
の範囲内にあり、温度は約200〜500℃の範囲内に
ある、 ことを含む、特許請求の範囲第(46)項記載の方法。 - (51)さらに、特許請求の範囲第(45)項記載の方
法から生じた蒸着物上に、室中でテトラエチルオルトシ
リケート、酸素および担体ガスから形成したプラズマに
暴露することにより二酸化ケイ素の層を形成する、ただ
し全ガス圧力は約1〜50トルの範囲内にあり、温度は
約200〜500℃の範囲内にある第3段階を含む、特
許請求の範囲第(45)項記載の方法。 - (52)約500mT〜10トルの圧力で、ガスマニホ
ールドとウェーハ支持体の間に適用された約1〜2ワッ
ト/cm^2のRF出力密度でエッチングガスを室に適
用することを含むリアクター室を自己浄化する方法。 - (53)さらに、約50mT〜10トルの圧力で、ガス
マニホールドとウェーハ支持体との間に適用された約1
〜2ワット/cm^2のRF出力密度で室にエッチング
ガスを適用することを含む、特許請求の範囲第(28)
項、第(33)項または第(38)項に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US944492 | 1986-12-19 | ||
US06/944,492 US5000113A (en) | 1986-12-19 | 1986-12-19 | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
Related Child Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP5038904A Division JP2651102B2 (ja) | 1986-12-19 | 1993-02-26 | 半導体処理リアクタ |
JP5038905A Division JP2716642B2 (ja) | 1986-12-19 | 1993-02-26 | Teosを用いる薄膜形成方法及び平担化方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPS63246829A true JPS63246829A (ja) | 1988-10-13 |
JPH0612771B2 JPH0612771B2 (ja) | 1994-02-16 |
Family
ID=25481509
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP62321181A Expired - Lifetime JPH0612771B2 (ja) | 1986-12-19 | 1987-12-18 | Teosプラズマcvd法 |
JP5038905A Expired - Lifetime JP2716642B2 (ja) | 1986-12-19 | 1993-02-26 | Teosを用いる薄膜形成方法及び平担化方法 |
JP5038904A Expired - Lifetime JP2651102B2 (ja) | 1986-12-19 | 1993-02-26 | 半導体処理リアクタ |
JP7201143A Expired - Lifetime JP2723845B2 (ja) | 1986-12-19 | 1995-08-07 | 半導体処理リアクタ |
JP20114495A Expired - Lifetime JP2584960B2 (ja) | 1986-12-19 | 1995-08-07 | 半導体処理リアクタ |
Family Applications After (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP5038905A Expired - Lifetime JP2716642B2 (ja) | 1986-12-19 | 1993-02-26 | Teosを用いる薄膜形成方法及び平担化方法 |
JP5038904A Expired - Lifetime JP2651102B2 (ja) | 1986-12-19 | 1993-02-26 | 半導体処理リアクタ |
JP7201143A Expired - Lifetime JP2723845B2 (ja) | 1986-12-19 | 1995-08-07 | 半導体処理リアクタ |
JP20114495A Expired - Lifetime JP2584960B2 (ja) | 1986-12-19 | 1995-08-07 | 半導体処理リアクタ |
Country Status (6)
Country | Link |
---|---|
US (4) | US5000113A (ja) |
EP (1) | EP0272140B1 (ja) |
JP (5) | JPH0612771B2 (ja) |
AT (1) | ATE101879T1 (ja) |
DE (1) | DE3789142T2 (ja) |
ES (1) | ES2049729T3 (ja) |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6450429A (en) * | 1987-08-20 | 1989-02-27 | Semiconductor Energy Lab | Formation of insulating film |
JPH0574763A (ja) * | 1991-07-19 | 1993-03-26 | G T C:Kk | ゲート絶縁膜の形成方法 |
JPH05218002A (ja) * | 1991-10-18 | 1993-08-27 | Philips Gloeilampenfab:Nv | 半導体デバイスの製造方法 |
JPH07201753A (ja) * | 1993-12-29 | 1995-08-04 | Nippon Steel Corp | 薄膜製造方法およびその装置 |
JPH08241969A (ja) * | 1996-03-21 | 1996-09-17 | Hitachi Ltd | 半導体集積回路装置 |
JPH0925586A (ja) * | 1995-07-11 | 1997-01-28 | Anelva Corp | 基板処理装置および基板処理方法 |
US5855970A (en) * | 1986-09-09 | 1999-01-05 | Semiconductor Energy Laboratory Co., Ltd. | Method of forming a film on a substrate |
US6013338A (en) * | 1986-09-09 | 2000-01-11 | Semiconductor Energy Laboratory Co., Ltd. | CVD apparatus |
US6127255A (en) * | 1989-03-20 | 2000-10-03 | Hitachi, Ltd. | Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same |
JP2004076023A (ja) * | 2001-08-01 | 2004-03-11 | Tokyo Electron Ltd | ガス処理装置およびガス処理方法 |
US6835523B1 (en) | 1993-05-09 | 2004-12-28 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus for fabricating coating and method of fabricating the coating |
JP2013520792A (ja) * | 2010-02-23 | 2013-06-06 | アプライド マテリアルズ インコーポレイテッド | 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法 |
Families Citing this family (756)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6784033B1 (en) | 1984-02-15 | 2004-08-31 | Semiconductor Energy Laboratory Co., Ltd. | Method for the manufacture of an insulated gate field effect semiconductor device |
US6786997B1 (en) | 1984-11-26 | 2004-09-07 | Semiconductor Energy Laboratory Co., Ltd. | Plasma processing apparatus |
JPH0752718B2 (ja) * | 1984-11-26 | 1995-06-05 | 株式会社半導体エネルギー研究所 | 薄膜形成方法 |
US6113701A (en) * | 1985-02-14 | 2000-09-05 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device, manufacturing method, and system |
US6673722B1 (en) | 1985-10-14 | 2004-01-06 | Semiconductor Energy Laboratory Co., Ltd. | Microwave enhanced CVD system under magnetic field |
US6230650B1 (en) | 1985-10-14 | 2001-05-15 | Semiconductor Energy Laboratory Co., Ltd. | Microwave enhanced CVD system under magnetic field |
US4892753A (en) * | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US5755886A (en) * | 1986-12-19 | 1998-05-26 | Applied Materials, Inc. | Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing |
US5158644A (en) * | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5221556A (en) * | 1987-06-24 | 1993-06-22 | Epsilon Technology, Inc. | Gas injectors for reaction chambers in CVD systems |
ES2081806T3 (es) * | 1987-06-26 | 1996-03-16 | Applied Materials Inc | Procedimiento de autolimpieza de una camara de reactor. |
ZA884511B (en) * | 1987-07-15 | 1989-03-29 | Boc Group Inc | Method of plasma enhanced silicon oxide deposition |
US5643838A (en) * | 1988-03-31 | 1997-07-01 | Lucent Technologies Inc. | Low temperature deposition of silicon oxides for device fabrication |
US5354695A (en) * | 1992-04-08 | 1994-10-11 | Leedy Glenn J | Membrane dielectric isolation IC fabrication |
US4962063A (en) * | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
DE3915650A1 (de) * | 1989-05-12 | 1990-11-15 | Siemens Ag | Verfahren zur strukturierung einer auf einem halbleiterschichtaufbau angeordneten schicht |
KR0170391B1 (ko) * | 1989-06-16 | 1999-03-30 | 다카시마 히로시 | 피처리체 처리장치 및 처리방법 |
EP0412644A3 (en) * | 1989-08-08 | 1991-03-20 | Applied Materials, Inc. | Low temperature low pressure thermal cvd process for forming conformal group iii and/or group v-doped silicate glass coating of uniform thickness on integrated structure |
JP2506451B2 (ja) * | 1989-08-18 | 1996-06-12 | 富士通株式会社 | 化学気相成長装置及び化学気相成長法 |
US5314845A (en) * | 1989-09-28 | 1994-05-24 | Applied Materials, Inc. | Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer |
US5166101A (en) * | 1989-09-28 | 1992-11-24 | Applied Materials, Inc. | Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer |
EP0421203B1 (en) * | 1989-09-28 | 1996-01-03 | Applied Materials, Inc. | An integrated circuit structure with a boron phosphorus silicate glass composite layer on semiconductor wafer and improved method for forming same |
US5068124A (en) * | 1989-11-17 | 1991-11-26 | International Business Machines Corporation | Method for depositing high quality silicon dioxide by pecvd |
JPH0784662B2 (ja) * | 1989-12-12 | 1995-09-13 | アプライドマテリアルズジャパン株式会社 | 化学的気相成長方法とその装置 |
JPH0680657B2 (ja) * | 1989-12-27 | 1994-10-12 | 株式会社半導体プロセス研究所 | 半導体装置の製造方法 |
US5155336A (en) | 1990-01-19 | 1992-10-13 | Applied Materials, Inc. | Rapid thermal heating apparatus and method |
US6016383A (en) * | 1990-01-19 | 2000-01-18 | Applied Materials, Inc. | Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature |
US5252366A (en) * | 1990-01-24 | 1993-10-12 | The United States Of America As Represented By The Secretary Of The Air Force | Chemical vapor deposition method using an actively cooled effuser to coat a substrate having a heated surface layer |
US5129360A (en) * | 1990-01-24 | 1992-07-14 | The United States Of America As Represented By The Secretary Of The Air Force | Actively cooled effusion cell for chemical vapor deposition |
US5250468A (en) * | 1990-02-05 | 1993-10-05 | Mitsubishi Denki Kabushiki Kaisha | Method of manufacturing semiconductor device including interlaying insulating film |
US5132774A (en) * | 1990-02-05 | 1992-07-21 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device including interlayer insulating film |
US5236511A (en) * | 1990-03-16 | 1993-08-17 | Schott Glaswerke | Plasma CVD process for coating a dome-shaped substrate |
US5094885A (en) * | 1990-10-12 | 1992-03-10 | Genus, Inc. | Differential pressure cvd chuck |
US5447570A (en) * | 1990-04-23 | 1995-09-05 | Genus, Inc. | Purge gas in wafer coating area selection |
CA2016970A1 (en) * | 1990-05-16 | 1991-11-16 | Prasad N. Gadgil | Inverted diffusion stagnation point flow reactor for vapor deposition of thin films |
US5212116A (en) * | 1990-06-18 | 1993-05-18 | At&T Bell Laboratories | Method for forming planarized films by preferential etching of the center of a wafer |
US5451435A (en) * | 1990-06-18 | 1995-09-19 | At&T Corp. | Method for forming dielectric |
US5230741A (en) * | 1990-07-16 | 1993-07-27 | Novellus Systems, Inc. | Gas-based backside protection during substrate processing |
US5620525A (en) * | 1990-07-16 | 1997-04-15 | Novellus Systems, Inc. | Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate |
US5578532A (en) * | 1990-07-16 | 1996-11-26 | Novellus Systems, Inc. | Wafer surface protection in a gas deposition process |
US5843233A (en) * | 1990-07-16 | 1998-12-01 | Novellus Systems, Inc. | Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus |
US5085887A (en) * | 1990-09-07 | 1992-02-04 | Applied Materials, Inc. | Wafer reactor vessel window with pressure-thermal compensation |
JPH04348031A (ja) * | 1990-12-28 | 1992-12-03 | Mitsubishi Electric Corp | 化学気相成長装置 |
US5288325A (en) * | 1991-03-29 | 1994-02-22 | Nec Corporation | Chemical vapor deposition apparatus |
US6008133A (en) | 1991-04-04 | 1999-12-28 | Hitachi, Ltd. | Method and apparatus for dry etching |
US5474650A (en) * | 1991-04-04 | 1995-12-12 | Hitachi, Ltd. | Method and apparatus for dry etching |
JP3323530B2 (ja) * | 1991-04-04 | 2002-09-09 | 株式会社日立製作所 | 半導体装置の製造方法 |
DE69230322T2 (de) * | 1991-04-04 | 2000-07-06 | Hitachi, Ltd. | Verfahren und Vorrichtung zur Plasmabehandlung |
US6514376B1 (en) | 1991-06-27 | 2003-02-04 | Applied Materials Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6077384A (en) * | 1994-08-11 | 2000-06-20 | Applied Materials, Inc. | Plasma reactor having an inductive antenna coupling power through a parallel plate electrode |
US6074512A (en) * | 1991-06-27 | 2000-06-13 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners |
US6024826A (en) * | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US6165311A (en) * | 1991-06-27 | 2000-12-26 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6090303A (en) * | 1991-06-27 | 2000-07-18 | Applied Materials, Inc. | Process for etching oxides in an electromagnetically coupled planar plasma apparatus |
US6063233A (en) | 1991-06-27 | 2000-05-16 | Applied Materials, Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6238588B1 (en) | 1991-06-27 | 2001-05-29 | Applied Materials, Inc. | High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process |
US6488807B1 (en) | 1991-06-27 | 2002-12-03 | Applied Materials, Inc. | Magnetic confinement in a plasma reactor having an RF bias electrode |
US6036877A (en) | 1991-06-27 | 2000-03-14 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US5477975A (en) * | 1993-10-15 | 1995-12-26 | Applied Materials Inc | Plasma etch apparatus with heated scavenging surfaces |
JP2763222B2 (ja) * | 1991-12-13 | 1998-06-11 | 三菱電機株式会社 | 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置 |
GB2264957B (en) * | 1992-03-12 | 1995-09-20 | Bell Communications Res | Deflected flow in a chemical vapor deposition cell |
US5368685A (en) * | 1992-03-24 | 1994-11-29 | Hitachi, Ltd. | Dry etching apparatus and method |
US6714625B1 (en) * | 1992-04-08 | 2004-03-30 | Elm Technology Corporation | Lithography device for semiconductor circuit pattern generation |
US5534072A (en) * | 1992-06-24 | 1996-07-09 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing subtrates |
DE4220827A1 (de) * | 1992-06-25 | 1994-01-13 | Pokorny Gmbh | Anlage zur Behandlung von Objekten unter Reinluftraum-Bedingungen |
CN1244891C (zh) * | 1992-08-27 | 2006-03-08 | 株式会社半导体能源研究所 | 有源矩阵显示器 |
US5387289A (en) * | 1992-09-22 | 1995-02-07 | Genus, Inc. | Film uniformity by selective pressure gradient control |
EP0595159B1 (de) * | 1992-10-26 | 1997-12-29 | Schott Glaswerke | Verfahren und Vorrichtung zur Beschichtung der Innenfläche stark gewölbter im wesentlichen kalottenförmiger Substrate mittels CVD |
JP3362432B2 (ja) * | 1992-10-31 | 2003-01-07 | ソニー株式会社 | プラズマ処理方法及びプラズマ処理装置 |
DE69312436T2 (de) * | 1992-12-15 | 1998-02-05 | Applied Materials Inc | Verdampfung von flüssigen Reaktionspartnern für CVD |
US5360769A (en) * | 1992-12-17 | 1994-11-01 | Micron Semiconductor, Inc. | Method for fabricating hybrid oxides for thinner gate devices |
US5580388A (en) * | 1993-01-21 | 1996-12-03 | Moore Epitaxial, Inc. | Multi-layer susceptor for rapid thermal process reactors |
DE69323716T2 (de) | 1993-01-28 | 1999-08-19 | Applied Materials | Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer |
JP2662365B2 (ja) * | 1993-01-28 | 1997-10-08 | アプライド マテリアルズ インコーポレイテッド | 改良された排出システムを有する単一基板式の真空処理装置 |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
US5421893A (en) * | 1993-02-26 | 1995-06-06 | Applied Materials, Inc. | Susceptor drive and wafer displacement mechanism |
US5487785A (en) * | 1993-03-26 | 1996-01-30 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
US5800686A (en) * | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
CH687987A5 (de) * | 1993-05-03 | 1997-04-15 | Balzers Hochvakuum | Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer. |
US5525160A (en) * | 1993-05-10 | 1996-06-11 | Tokyo Electron Kabushiki Kaisha | Film deposition processing device having transparent support and transfer pins |
US5332443A (en) * | 1993-06-09 | 1994-07-26 | Applied Materials, Inc. | Lift fingers for substrate processing apparatus |
JPH0799162A (ja) * | 1993-06-21 | 1995-04-11 | Hitachi Ltd | Cvdリアクタ装置 |
US5413670A (en) * | 1993-07-08 | 1995-05-09 | Air Products And Chemicals, Inc. | Method for plasma etching or cleaning with diluted NF3 |
US5540821A (en) * | 1993-07-16 | 1996-07-30 | Applied Materials, Inc. | Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing |
US5455014A (en) * | 1993-07-20 | 1995-10-03 | Hughes Aircraft Company | Liquid deposition source gas delivery system |
KR100274754B1 (ko) * | 1993-08-18 | 2000-12-15 | 히가시 데쓰로 | 성막장치 및 성막방법 |
US5567661A (en) * | 1993-08-26 | 1996-10-22 | Fujitsu Limited | Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound |
US5565382A (en) * | 1993-10-12 | 1996-10-15 | Applied Materials, Inc. | Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas |
US5454903A (en) * | 1993-10-29 | 1995-10-03 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization |
US5647911A (en) * | 1993-12-14 | 1997-07-15 | Sony Corporation | Gas diffuser plate assembly and RF electrode |
US5643366A (en) * | 1994-01-31 | 1997-07-01 | Applied Materials, Inc. | Wafer handling within a vacuum chamber using vacuum |
JPH07268622A (ja) * | 1994-03-01 | 1995-10-17 | Applied Sci & Technol Inc | マイクロ波プラズマ付着源 |
TW254030B (en) * | 1994-03-18 | 1995-08-11 | Anelva Corp | Mechanic escape mechanism for substrate |
US5468298A (en) * | 1994-04-13 | 1995-11-21 | Applied Materials, Inc. | Bottom purge manifold for CVD tungsten process |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5628829A (en) * | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5975912A (en) * | 1994-06-03 | 1999-11-02 | Materials Research Corporation | Low temperature plasma-enhanced formation of integrated circuits |
US5672388A (en) * | 1994-07-08 | 1997-09-30 | Exxon Research & Engineering Company | Membrane reparation and poer size reduction using interfacial ozone assisted chemical vapor deposition |
US5441568A (en) * | 1994-07-15 | 1995-08-15 | Applied Materials, Inc. | Exhaust baffle for uniform gas flow pattern |
US5643394A (en) * | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5746875A (en) * | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5492868A (en) * | 1994-10-24 | 1996-02-20 | Taiwan Semiconductor Manufacturing Corp. Ltd. | Capped reflow process to avoid contact autodoping and supress tungsten silicide peeling |
US6155198A (en) * | 1994-11-14 | 2000-12-05 | Applied Materials, Inc. | Apparatus for constructing an oxidized film on a semiconductor wafer |
US6699530B2 (en) * | 1995-07-06 | 2004-03-02 | Applied Materials, Inc. | Method for constructing a film on a semiconductor wafer |
US5928427A (en) * | 1994-12-16 | 1999-07-27 | Hwang; Chul-Ju | Apparatus for low pressure chemical vapor deposition |
US5976310A (en) * | 1995-01-03 | 1999-11-02 | Applied Materials, Inc. | Plasma etch system |
US5571576A (en) * | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
KR0144228B1 (ko) * | 1995-03-04 | 1998-08-17 | 김주용 | 다층 금속배선의 층간 절연막 형성 방법 |
US5653806A (en) * | 1995-03-10 | 1997-08-05 | Advanced Technology Materials, Inc. | Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same |
JP3362552B2 (ja) * | 1995-03-10 | 2003-01-07 | 東京エレクトロン株式会社 | 成膜処理装置 |
JP3257328B2 (ja) | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
DE19516669A1 (de) * | 1995-05-05 | 1996-11-07 | Siemens Ag | Verfahren zur Abscheidung einer Siliziumoxidschicht |
US6716769B1 (en) | 1995-06-02 | 2004-04-06 | Micron Technology, Inc. | Use of a plasma source to form a layer during the formation of a semiconductor device |
US7294578B1 (en) | 1995-06-02 | 2007-11-13 | Micron Technology, Inc. | Use of a plasma source to form a layer during the formation of a semiconductor device |
US5950092A (en) * | 1995-06-02 | 1999-09-07 | Micron Technology, Inc. | Use of a plasma source to form a layer during the formation of a semiconductor device |
US5997962A (en) * | 1995-06-30 | 1999-12-07 | Tokyo Electron Limited | Plasma process utilizing an electrostatic chuck |
TW283250B (en) | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
US5551985A (en) * | 1995-08-18 | 1996-09-03 | Torrex Equipment Corporation | Method and apparatus for cold wall chemical vapor deposition |
US6086680A (en) * | 1995-08-22 | 2000-07-11 | Asm America, Inc. | Low-mass susceptor |
US5518959A (en) * | 1995-08-24 | 1996-05-21 | Taiwan Semiconductor Manufacturing Company | Method for selectively depositing silicon oxide spacer layers |
US5614249A (en) * | 1995-08-28 | 1997-03-25 | Lsi Logic Corporation | Leak detection system for a gas manifold of a chemical vapor deposition apparatus |
TW279240B (en) | 1995-08-30 | 1996-06-21 | Applied Materials Inc | Parallel-plate icp source/rf bias electrode head |
US5908504A (en) * | 1995-09-20 | 1999-06-01 | Memc Electronic Materials, Inc. | Method for tuning barrel reactor purge system |
US6187072B1 (en) | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US6194628B1 (en) * | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6045618A (en) * | 1995-09-25 | 2000-04-04 | Applied Materials, Inc. | Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US6193802B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US5665644A (en) * | 1995-11-03 | 1997-09-09 | Micron Technology, Inc. | Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry |
US5736423A (en) * | 1995-11-16 | 1998-04-07 | Advanced Micro Devices, Inc. | Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies |
US5599740A (en) * | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5552017A (en) * | 1995-11-27 | 1996-09-03 | Taiwan Semiconductor Manufacturing Company | Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow |
US5860640A (en) * | 1995-11-29 | 1999-01-19 | Applied Materials, Inc. | Semiconductor wafer alignment member and clamp ring |
US6231776B1 (en) | 1995-12-04 | 2001-05-15 | Daniel L. Flamm | Multi-temperature processing |
US6009827A (en) * | 1995-12-06 | 2000-01-04 | Applied Materials, Inc. | Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films |
JP2000502212A (ja) * | 1995-12-15 | 2000-02-22 | ワトキンズ―ジョンソン カンパニー | 金属汚染物質を減らした絶縁膜の形成方法 |
US5926689A (en) * | 1995-12-19 | 1999-07-20 | International Business Machines Corporation | Process for reducing circuit damage during PECVD in single wafer PECVD system |
KR100267418B1 (ko) * | 1995-12-28 | 2000-10-16 | 엔도 마코토 | 플라스마처리방법및플라스마처리장치 |
US5746834A (en) * | 1996-01-04 | 1998-05-05 | Memc Electronics Materials, Inc. | Method and apparatus for purging barrel reactors |
US6054013A (en) * | 1996-02-02 | 2000-04-25 | Applied Materials, Inc. | Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density |
AU6332296A (en) | 1996-02-02 | 1997-08-22 | Micron Technology, Inc. | Reducing fixed charge in semiconductor device layers |
US6036878A (en) * | 1996-02-02 | 2000-03-14 | Applied Materials, Inc. | Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna |
JPH09232296A (ja) * | 1996-02-23 | 1997-09-05 | Mitsubishi Electric Corp | 半導体装置の製造装置および製造方法 |
US5895530A (en) * | 1996-02-26 | 1999-04-20 | Applied Materials, Inc. | Method and apparatus for directing fluid through a semiconductor processing chamber |
US6017144A (en) * | 1996-03-05 | 2000-01-25 | Applied Materials, Inc. | Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer |
US5741363A (en) * | 1996-03-22 | 1998-04-21 | Advanced Technology Materials, Inc. | Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition |
US5976993A (en) | 1996-03-28 | 1999-11-02 | Applied Materials, Inc. | Method for reducing the intrinsic stress of high density plasma films |
US5858876A (en) * | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US6599574B1 (en) | 1996-04-04 | 2003-07-29 | Applied Materials Inc. | Method and apparatus for forming a dielectric film using helium as a carrier gas |
US5906683A (en) * | 1996-04-16 | 1999-05-25 | Applied Materials, Inc. | Lid assembly for semiconductor processing chamber |
US5725675A (en) * | 1996-04-16 | 1998-03-10 | Applied Materials, Inc. | Silicon carbide constant voltage gradient gas feedthrough |
US7763327B2 (en) * | 1996-04-22 | 2010-07-27 | Micron Technology, Inc. | Methods using ozone for CVD deposited films |
US5763010A (en) * | 1996-05-08 | 1998-06-09 | Applied Materials, Inc. | Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers |
US6440221B2 (en) | 1996-05-13 | 2002-08-27 | Applied Materials, Inc. | Process chamber having improved temperature control |
US6248398B1 (en) | 1996-05-22 | 2001-06-19 | Applied Materials, Inc. | Coater having a controllable pressurized process chamber for semiconductor processing |
US6072160A (en) * | 1996-06-03 | 2000-06-06 | Applied Materials, Inc. | Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection |
JP3220645B2 (ja) * | 1996-09-06 | 2001-10-22 | 富士通株式会社 | 半導体装置の製造方法 |
US5993916A (en) * | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US5807785A (en) * | 1996-08-02 | 1998-09-15 | Applied Materials, Inc. | Low dielectric constant silicon dioxide sandwich layer |
US6198074B1 (en) * | 1996-09-06 | 2001-03-06 | Mattson Technology, Inc. | System and method for rapid thermal processing with transitional heater |
US6039812A (en) * | 1996-10-21 | 2000-03-21 | Abb Research Ltd. | Device for epitaxially growing objects and method for such a growth |
US5824375A (en) * | 1996-10-24 | 1998-10-20 | Applied Materials, Inc. | Decontamination of a plasma reactor using a plasma after a chamber clean |
US6083569A (en) * | 1996-10-25 | 2000-07-04 | Applied Materials, Inc. | Discharging a wafer after a plasma process for dielectric deposition |
US6114216A (en) * | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
JP3925566B2 (ja) * | 1996-11-15 | 2007-06-06 | キヤノンアネルバ株式会社 | 薄膜形成装置 |
US6152070A (en) * | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US5990000A (en) * | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6132517A (en) * | 1997-02-21 | 2000-10-17 | Applied Materials, Inc. | Multiple substrate processing apparatus for enhanced throughput |
JPH10242142A (ja) * | 1997-02-21 | 1998-09-11 | Nippon Asm Kk | 半導体素子とその製造方法 |
US5817566A (en) * | 1997-03-03 | 1998-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration |
US5861086A (en) * | 1997-03-10 | 1999-01-19 | Applied Materials, Inc. | Method and apparatus for sputter etch conditioning a ceramic body |
US6432203B1 (en) * | 1997-03-17 | 2002-08-13 | Applied Komatsu Technology, Inc. | Heated and cooled vacuum chamber shield |
US5877072A (en) * | 1997-03-31 | 1999-03-02 | Intel Corporation | Process for forming doped regions from solid phase diffusion source |
US5915167A (en) * | 1997-04-04 | 1999-06-22 | Elm Technology Corporation | Three dimensional structure memory |
US6551857B2 (en) | 1997-04-04 | 2003-04-22 | Elm Technology Corporation | Three dimensional structure integrated circuits |
US6551665B1 (en) * | 1997-04-17 | 2003-04-22 | Micron Technology, Inc. | Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers |
US6149974A (en) * | 1997-05-05 | 2000-11-21 | Applied Materials, Inc. | Method for elimination of TEOS/ozone silicon oxide surface sensitivity |
US6162285A (en) * | 1997-05-08 | 2000-12-19 | Applied Materials, Inc. | Ozone enhancement unit |
US6077786A (en) * | 1997-05-08 | 2000-06-20 | International Business Machines Corporation | Methods and apparatus for filling high aspect ratio structures with silicate glass |
JP3801730B2 (ja) | 1997-05-09 | 2006-07-26 | 株式会社半導体エネルギー研究所 | プラズマcvd装置及びそれを用いた薄膜形成方法 |
US6286451B1 (en) * | 1997-05-29 | 2001-09-11 | Applied Materials, Inc. | Dome: shape and temperature controlled surfaces |
US5994662A (en) * | 1997-05-29 | 1999-11-30 | Applied Materials, Inc. | Unique baffle to deflect remote plasma clean gases |
US5937323A (en) * | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6136685A (en) * | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
JP3396399B2 (ja) * | 1997-06-26 | 2003-04-14 | シャープ株式会社 | 電子デバイス製造装置 |
US5960158A (en) | 1997-07-11 | 1999-09-28 | Ag Associates | Apparatus and method for filtering light in a thermal processing chamber |
US5968276A (en) * | 1997-07-11 | 1999-10-19 | Applied Materials, Inc. | Heat exchange passage connection |
US6312525B1 (en) | 1997-07-11 | 2001-11-06 | Applied Materials, Inc. | Modular architecture for semiconductor wafer fabrication equipment |
US5972078A (en) * | 1997-07-31 | 1999-10-26 | Fsi International, Inc. | Exhaust rinse manifold for use with a coating apparatus |
US6527865B1 (en) | 1997-09-11 | 2003-03-04 | Applied Materials, Inc. | Temperature controlled gas feedthrough |
US6258170B1 (en) * | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
US6003526A (en) * | 1997-09-12 | 1999-12-21 | Taiwan Semiconductor Manufacturing Company, Ltd | In-sit chamber cleaning method |
US6537418B1 (en) * | 1997-09-19 | 2003-03-25 | Siemens Aktiengesellschaft | Spatially uniform gas supply and pump configuration for large wafer diameters |
JPH11111680A (ja) | 1997-09-30 | 1999-04-23 | Yasuhiro Horiike | エッチング方法 |
US6090206A (en) * | 1997-10-20 | 2000-07-18 | Applied Materials, Inc. | Throttle valve providing enhanced cleaning |
US6121164A (en) * | 1997-10-24 | 2000-09-19 | Applied Materials, Inc. | Method for forming low compressive stress fluorinated ozone/TEOS oxide film |
US6105435A (en) | 1997-10-24 | 2000-08-22 | Cypress Semiconductor Corp. | Circuit and apparatus for verifying a chamber seal, and method of depositing a material onto a substrate using the same |
KR100660416B1 (ko) | 1997-11-03 | 2006-12-22 | 에이에스엠 아메리카, 인코포레이티드 | 개량된 저질량 웨이퍼 지지 시스템 |
US5920791A (en) * | 1997-11-06 | 1999-07-06 | Vanguard International Semiconductor Corporation | Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices |
US5976900A (en) * | 1997-12-08 | 1999-11-02 | Cypress Semiconductor Corp. | Method of reducing impurity contamination in semiconductor process chambers |
US6544333B2 (en) * | 1997-12-15 | 2003-04-08 | Advanced Silicon Materials Llc | Chemical vapor deposition system for polycrystalline silicon rod production |
US6221155B1 (en) | 1997-12-15 | 2001-04-24 | Advanced Silicon Materials, Llc | Chemical vapor deposition system for polycrystalline silicon rod production |
US5970383A (en) * | 1997-12-17 | 1999-10-19 | Advanced Micro Devices | Method of manufacturing a semiconductor device with improved control of deposition layer thickness |
US6749687B1 (en) * | 1998-01-09 | 2004-06-15 | Asm America, Inc. | In situ growth of oxide and silicon layers |
US6095159A (en) | 1998-01-22 | 2000-08-01 | Micron Technology, Inc. | Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities |
US6120605A (en) * | 1998-02-05 | 2000-09-19 | Asm Japan K.K. | Semiconductor processing system |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6593247B1 (en) * | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
KR100605770B1 (ko) | 1998-02-11 | 2006-07-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 저 유전상수 필름을 증착하는 플라즈마 방법 |
US6660656B2 (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6013134A (en) * | 1998-02-18 | 2000-01-11 | International Business Machines Corporation | Advance integrated chemical vapor deposition (AICVD) for semiconductor devices |
US6142164A (en) * | 1998-03-09 | 2000-11-07 | Ultra Clean Technology Systems & Service, Inc. | Method and apparatus for removing leaking gas in an integrated gas panel system |
US6194038B1 (en) * | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
JP3189780B2 (ja) * | 1998-03-24 | 2001-07-16 | 日本電気株式会社 | 半導体装置の製造装置及びその製造方法 |
US6433314B1 (en) * | 1998-04-08 | 2002-08-13 | Applied Materials, Inc. | Direct temperature control for a component of a substrate processing chamber |
US6218268B1 (en) * | 1998-05-05 | 2001-04-17 | Applied Materials, Inc. | Two-step borophosphosilicate glass deposition process and related devices and apparatus |
DE19821007A1 (de) * | 1998-05-11 | 1999-11-25 | Steag Rtp Systems Gmbh | Verfahren und Vorrichtung zum thermischen Behandeln von Substraten |
US5930456A (en) * | 1998-05-14 | 1999-07-27 | Ag Associates | Heating device for semiconductor wafers |
US5970214A (en) * | 1998-05-14 | 1999-10-19 | Ag Associates | Heating device for semiconductor wafers |
KR100267885B1 (ko) * | 1998-05-18 | 2000-11-01 | 서성기 | 반도체 박막증착장치 |
US6667553B2 (en) | 1998-05-29 | 2003-12-23 | Dow Corning Corporation | H:SiOC coated substrates |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6148761A (en) * | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
US6054206A (en) * | 1998-06-22 | 2000-04-25 | Novellus Systems, Inc. | Chemical vapor deposition of low density silicon dioxide films |
US6182603B1 (en) | 1998-07-13 | 2001-02-06 | Applied Komatsu Technology, Inc. | Surface-treated shower head for use in a substrate processing chamber |
US6080241A (en) * | 1998-09-02 | 2000-06-27 | Emcore Corporation | Chemical vapor deposition chamber having an adjustable flow flange |
US5985375A (en) * | 1998-09-03 | 1999-11-16 | Micron Technology, Inc. | Method for pulsed-plasma enhanced vapor deposition |
US6190732B1 (en) | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
US6210484B1 (en) | 1998-09-09 | 2001-04-03 | Steag Rtp Systems, Inc. | Heating device containing a multi-lamp cone for heating semiconductor wafers |
US6800571B2 (en) * | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US6328858B1 (en) | 1998-10-01 | 2001-12-11 | Nexx Systems Packaging, Llc | Multi-layer sputter deposition apparatus |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6217272B1 (en) | 1998-10-01 | 2001-04-17 | Applied Science And Technology, Inc. | In-line sputter deposition system |
US6159333A (en) * | 1998-10-08 | 2000-12-12 | Applied Materials, Inc. | Substrate processing system configurable for deposition or cleaning |
US6454860B2 (en) * | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US6063196A (en) * | 1998-10-30 | 2000-05-16 | Applied Materials, Inc. | Semiconductor processing chamber calibration tool |
JP3234576B2 (ja) * | 1998-10-30 | 2001-12-04 | アプライド マテリアルズ インコーポレイテッド | 半導体製造装置におけるウェハ支持装置 |
US6355571B1 (en) * | 1998-11-17 | 2002-03-12 | Applied Materials, Inc. | Method and apparatus for reducing copper oxidation and contamination in a semiconductor device |
US20010049181A1 (en) | 1998-11-17 | 2001-12-06 | Sudha Rathi | Plasma treatment for cooper oxide reduction |
US6302960B1 (en) | 1998-11-23 | 2001-10-16 | Applied Materials, Inc. | Photoresist coater |
US6771895B2 (en) | 1999-01-06 | 2004-08-03 | Mattson Technology, Inc. | Heating device for heating semiconductor wafers in thermal processing chambers |
US6374831B1 (en) * | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
US6281141B1 (en) | 1999-02-08 | 2001-08-28 | Steag Rtp Systems, Inc. | Process for forming thin dielectric layers in semiconductor devices |
US6589437B1 (en) | 1999-03-05 | 2003-07-08 | Applied Materials, Inc. | Active species control with time-modulated plasma |
US6350397B1 (en) | 1999-03-10 | 2002-02-26 | Aspen Research Corporation | Optical member with layer having a coating geometry and composition that enhance cleaning properties |
US6194030B1 (en) | 1999-03-18 | 2001-02-27 | International Business Machines Corporation | Chemical vapor deposition velocity control apparatus |
US6197705B1 (en) * | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
JP3236576B2 (ja) * | 1999-03-24 | 2001-12-10 | キヤノン販売株式会社 | 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置 |
US6090675A (en) * | 1999-04-02 | 2000-07-18 | Taiwan Semiconductor Manufacturing Company | Formation of dielectric layer employing high ozone:tetraethyl-ortho-silicate ratios during chemical vapor deposition |
US6936310B1 (en) * | 1999-04-02 | 2005-08-30 | Sharp Kabushiki Kaisha | Plasma processing method |
US6170430B1 (en) | 1999-04-13 | 2001-01-09 | Applied Materials, Inc. | Gas feedthrough with electrostatic discharge characteristic |
JP3911902B2 (ja) * | 1999-04-16 | 2007-05-09 | 東京エレクトロン株式会社 | 処理装置及び金属部品の表面処理方法 |
US6303496B1 (en) | 1999-04-27 | 2001-10-16 | Cypress Semiconductor Corporation | Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit |
NL1012004C2 (nl) * | 1999-05-07 | 2000-11-13 | Asm Int | Werkwijze voor het verplaatsen van wafers alsmede ring. |
FI118342B (fi) | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
US6440261B1 (en) | 1999-05-25 | 2002-08-27 | Applied Materials, Inc. | Dual buffer chamber cluster tool for semiconductor wafer processing |
US6916399B1 (en) * | 1999-06-03 | 2005-07-12 | Applied Materials Inc | Temperature controlled window with a fluid supply system |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
JP4288767B2 (ja) * | 1999-07-07 | 2009-07-01 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US6354241B1 (en) | 1999-07-15 | 2002-03-12 | Applied Materials, Inc. | Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing |
US6255222B1 (en) | 1999-08-24 | 2001-07-03 | Applied Materials, Inc. | Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process |
US6180508B1 (en) * | 1999-09-02 | 2001-01-30 | Micron Technology, Inc. | Methods of fabricating buried digit lines and semiconductor devices including same |
JP4393677B2 (ja) | 1999-09-14 | 2010-01-06 | 株式会社堀場エステック | 液体材料気化方法および装置並びに制御バルブ |
US6149365A (en) | 1999-09-21 | 2000-11-21 | Applied Komatsu Technology, Inc. | Support frame for substrates |
US6291357B1 (en) | 1999-10-06 | 2001-09-18 | Applied Materials, Inc. | Method and apparatus for etching a substrate with reduced microloading |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
US6399489B1 (en) | 1999-11-01 | 2002-06-04 | Applied Materials, Inc. | Barrier layer deposition using HDP-CVD |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
TW514996B (en) * | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6635114B2 (en) | 1999-12-17 | 2003-10-21 | Applied Material, Inc. | High temperature filter for CVD apparatus |
US6537420B2 (en) * | 1999-12-17 | 2003-03-25 | Texas Instruments Incorporated | Method and apparatus for restricting process fluid flow within a showerhead assembly |
US6503330B1 (en) | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
US6348420B1 (en) | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
US6551399B1 (en) | 2000-01-10 | 2003-04-22 | Genus Inc. | Fully integrated process for MIM capacitors using atomic layer deposition |
IT1316286B1 (it) * | 2000-01-20 | 2003-04-10 | St Microelectronics Srl | Processo di attacco a bassa velocita' di rimozione nella fabbricazionedi dispositivi integrati a semiconduttore, utilizzante una camera atta |
US6221164B1 (en) | 2000-01-25 | 2001-04-24 | Advanced Micro Devices, Inc. | Method of in-situ cleaning for LPCVD teos pump |
JP2001214277A (ja) * | 2000-01-31 | 2001-08-07 | Canon Inc | 堆積膜形成装置および堆積膜形成方法 |
US20030010354A1 (en) * | 2000-03-27 | 2003-01-16 | Applied Materials, Inc. | Fluorine process for cleaning semiconductor process chamber |
JP3549188B2 (ja) * | 2000-03-27 | 2004-08-04 | 日本エー・エス・エム株式会社 | 半導体基板への薄膜成膜方法 |
US6500356B2 (en) * | 2000-03-27 | 2002-12-31 | Applied Materials, Inc. | Selectively etching silicon using fluorine without plasma |
US6401652B1 (en) | 2000-05-04 | 2002-06-11 | Applied Materials, Inc. | Plasma reactor inductive coil antenna with flat surface facing the plasma |
JP4422295B2 (ja) | 2000-05-17 | 2010-02-24 | キヤノンアネルバ株式会社 | Cvd装置 |
US6461435B1 (en) | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
KR100332314B1 (ko) * | 2000-06-24 | 2002-04-12 | 서성기 | 박막증착용 반응용기 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7964505B2 (en) * | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6794311B2 (en) | 2000-07-14 | 2004-09-21 | Applied Materials Inc. | Method and apparatus for treating low k dielectric layers to reduce diffusion |
US6821912B2 (en) | 2000-07-27 | 2004-11-23 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6530733B2 (en) | 2000-07-27 | 2003-03-11 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6682288B2 (en) | 2000-07-27 | 2004-01-27 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
KR20030074591A (ko) * | 2000-08-28 | 2003-09-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 유리 기판의 예비 폴리코팅 |
US6448186B1 (en) | 2000-10-06 | 2002-09-10 | Novellus Systems, Inc. | Method and apparatus for use of hydrogen and silanes in plasma |
US6617173B1 (en) | 2000-10-11 | 2003-09-09 | Genus, Inc. | Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition |
US20030190424A1 (en) * | 2000-10-20 | 2003-10-09 | Ofer Sneh | Process for tungsten silicide atomic layer deposition |
US6443435B1 (en) | 2000-10-23 | 2002-09-03 | Applied Materials, Inc. | Vaporization of precursors at point of use |
US6753258B1 (en) | 2000-11-03 | 2004-06-22 | Applied Materials Inc. | Integration scheme for dual damascene structure |
US7030045B2 (en) * | 2000-11-07 | 2006-04-18 | Tokyo Electron Limited | Method of fabricating oxides with low defect densities |
US6533867B2 (en) | 2000-11-20 | 2003-03-18 | Applied Epi Inc | Surface sealing showerhead for vapor deposition reactor having integrated flow diverters |
US6998579B2 (en) | 2000-12-29 | 2006-02-14 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6825447B2 (en) * | 2000-12-29 | 2004-11-30 | Applied Materials, Inc. | Apparatus and method for uniform substrate heating and contaminate collection |
US6765178B2 (en) * | 2000-12-29 | 2004-07-20 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US20020083897A1 (en) * | 2000-12-29 | 2002-07-04 | Applied Materials, Inc. | Full glass substrate deposition in plasma enhanced chemical vapor deposition |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6537733B2 (en) * | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US6878206B2 (en) * | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6447651B1 (en) | 2001-03-07 | 2002-09-10 | Applied Materials, Inc. | High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers |
US6939579B2 (en) * | 2001-03-07 | 2005-09-06 | Asm International N.V. | ALD reactor and method with controlled wall temperature |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US20020129768A1 (en) * | 2001-03-15 | 2002-09-19 | Carpenter Craig M. | Chemical vapor deposition apparatuses and deposition methods |
US6709721B2 (en) | 2001-03-28 | 2004-03-23 | Applied Materials Inc. | Purge heater design and process development for the improvement of low k film properties |
US6472333B2 (en) | 2001-03-28 | 2002-10-29 | Applied Materials, Inc. | Silicon carbide cap layers for low dielectric constant silicon oxide layers |
US6748994B2 (en) * | 2001-04-11 | 2004-06-15 | Avery Dennison Corporation | Label applicator, method and label therefor |
US6537928B1 (en) * | 2002-02-19 | 2003-03-25 | Asm Japan K.K. | Apparatus and method for forming low dielectric constant film |
US6596653B2 (en) * | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6740601B2 (en) * | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US7159597B2 (en) * | 2001-06-01 | 2007-01-09 | Applied Materials, Inc. | Multistep remote plasma clean process |
US20020185067A1 (en) * | 2001-06-07 | 2002-12-12 | International Business Machines Corporation | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system |
US6486082B1 (en) * | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
US6811651B2 (en) * | 2001-06-22 | 2004-11-02 | Tokyo Electron Limited | Gas temperature control for a plasma process |
JP5175414B2 (ja) * | 2001-06-27 | 2013-04-03 | アプライド マテリアルズ インコーポレイテッド | 膜形成方法及び装置 |
US6868856B2 (en) * | 2001-07-13 | 2005-03-22 | Applied Materials, Inc. | Enhanced remote plasma cleaning |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
JP3990881B2 (ja) * | 2001-07-23 | 2007-10-17 | 株式会社日立製作所 | 半導体製造装置及びそのクリーニング方法 |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6677250B2 (en) * | 2001-08-17 | 2004-01-13 | Micron Technology, Inc. | CVD apparatuses and methods of forming a layer over a semiconductor substrate |
US6762127B2 (en) | 2001-08-23 | 2004-07-13 | Yves Pierre Boiteux | Etch process for dielectric materials comprising oxidized organo silane materials |
US6530157B1 (en) | 2001-09-04 | 2003-03-11 | Process Integration | Precise positioning device for workpieces |
US6926926B2 (en) * | 2001-09-10 | 2005-08-09 | Applied Materials, Inc. | Silicon carbide deposited by high density plasma chemical-vapor deposition with bias |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6656837B2 (en) * | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
DE20221269U1 (de) * | 2001-10-26 | 2005-12-08 | Applied Materials, Inc., Santa Clara | Gaszuführvorrichtung zur Abscheidung atomarer Schichten |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6812064B2 (en) * | 2001-11-07 | 2004-11-02 | Micron Technology, Inc. | Ozone treatment of a ground semiconductor die to improve adhesive bonding to a substrate |
US6699784B2 (en) | 2001-12-14 | 2004-03-02 | Applied Materials Inc. | Method for depositing a low k dielectric film (K>3.5) for hard mask application |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
JP4102072B2 (ja) * | 2002-01-08 | 2008-06-18 | 株式会社東芝 | 半導体装置 |
US6906305B2 (en) * | 2002-01-08 | 2005-06-14 | Brion Technologies, Inc. | System and method for aerial image sensing |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6827978B2 (en) * | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6787185B2 (en) * | 2002-02-25 | 2004-09-07 | Micron Technology, Inc. | Deposition methods for improved delivery of metastable species |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US20030168174A1 (en) | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
US6825134B2 (en) * | 2002-03-26 | 2004-11-30 | Applied Materials, Inc. | Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow |
JP4128383B2 (ja) * | 2002-03-27 | 2008-07-30 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US6843858B2 (en) * | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
US7439191B2 (en) * | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6861321B2 (en) | 2002-04-05 | 2005-03-01 | Asm America, Inc. | Method of loading a wafer onto a wafer holder to reduce thermal shock |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US20030194825A1 (en) * | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US6869838B2 (en) * | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US20030211244A1 (en) * | 2002-04-11 | 2003-11-13 | Applied Materials, Inc. | Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric |
US20030194495A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric |
US6815373B2 (en) * | 2002-04-16 | 2004-11-09 | Applied Materials Inc. | Use of cyclic siloxanes for hardness improvement of low k dielectric films |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6908862B2 (en) * | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
US7060330B2 (en) * | 2002-05-08 | 2006-06-13 | Applied Materials, Inc. | Method for forming ultra low k films using electron beam |
US7056560B2 (en) * | 2002-05-08 | 2006-06-06 | Applies Materials Inc. | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) |
US6936551B2 (en) * | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US20040018715A1 (en) * | 2002-07-25 | 2004-01-29 | Applied Materials, Inc. | Method of cleaning a surface of a material layer |
JP2005536042A (ja) * | 2002-08-08 | 2005-11-24 | トリコン テクノロジーズ リミティド | シャワーヘッドの改良 |
WO2004015764A2 (en) * | 2002-08-08 | 2004-02-19 | Leedy Glenn J | Vertical system integration |
US6887521B2 (en) * | 2002-08-15 | 2005-05-03 | Micron Technology, Inc. | Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices |
US20040231798A1 (en) * | 2002-09-13 | 2004-11-25 | Applied Materials, Inc. | Gas delivery system for semiconductor processing |
US6946033B2 (en) * | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US7204912B2 (en) * | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US6837966B2 (en) * | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7166166B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
DE10247051A1 (de) * | 2002-10-09 | 2004-04-22 | Polymer Latex Gmbh & Co Kg | Latex und Verfahren zu seiner Herstellung |
US7628897B2 (en) * | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6802944B2 (en) * | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US6797643B2 (en) * | 2002-10-23 | 2004-09-28 | Applied Materials Inc. | Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power |
US6932092B2 (en) * | 2002-11-22 | 2005-08-23 | Applied Materials, Inc. | Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy |
US20050170314A1 (en) * | 2002-11-27 | 2005-08-04 | Richard Golden | Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design |
CN1249789C (zh) * | 2002-11-28 | 2006-04-05 | 东京毅力科创株式会社 | 等离子体处理容器内部件 |
KR100491396B1 (ko) * | 2002-12-03 | 2005-05-25 | 삼성전자주식회사 | 반도체 소자의 피이-테오스(pe-teos)막 형성 방법 |
US7097886B2 (en) * | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US6808748B2 (en) * | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US6897163B2 (en) | 2003-01-31 | 2005-05-24 | Applied Materials, Inc. | Method for depositing a low dielectric constant film |
CN101457338B (zh) * | 2003-02-14 | 2011-04-27 | 应用材料股份有限公司 | 利用含氢自由基清洁自生氧化物的方法和设备 |
US6759297B1 (en) | 2003-02-28 | 2004-07-06 | Union Semiconductor Technology Corporatin | Low temperature deposition of dielectric materials in magnetoresistive random access memory devices |
CN1781201A (zh) * | 2003-03-05 | 2006-05-31 | 威廉B·朵夫二世 | 具有增强的功率特性的电荷存储器件 |
US7009281B2 (en) * | 2003-03-14 | 2006-03-07 | Lam Corporation | Small volume process chamber with hot inner surfaces |
US7877161B2 (en) * | 2003-03-17 | 2011-01-25 | Tokyo Electron Limited | Method and system for performing a chemical oxide removal process |
US7291566B2 (en) * | 2003-03-31 | 2007-11-06 | Tokyo Electron Limited | Barrier layer for a processing element and a method of forming the same |
WO2004095530A2 (en) | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Adjoining adjacent coatings on an element |
US7031600B2 (en) * | 2003-04-07 | 2006-04-18 | Applied Materials, Inc. | Method and apparatus for silicon oxide deposition on large area substrates |
US7037376B2 (en) * | 2003-04-11 | 2006-05-02 | Applied Materials Inc. | Backflush chamber clean |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US7045014B2 (en) * | 2003-04-24 | 2006-05-16 | Applied Materials, Inc. | Substrate support assembly |
US6830624B2 (en) * | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US20040224524A1 (en) * | 2003-05-09 | 2004-11-11 | Applied Materials, Inc. | Maintaining the dimensions of features being etched on a lithographic mask |
KR100511914B1 (ko) * | 2003-05-09 | 2005-09-02 | 주식회사 하이닉스반도체 | 피이사이클 시브이디법을 이용한 반도체소자의 제조방법 |
US7081414B2 (en) * | 2003-05-23 | 2006-07-25 | Applied Materials, Inc. | Deposition-selective etch-deposition process for dielectric film gapfill |
US6958112B2 (en) * | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US20040253378A1 (en) * | 2003-06-12 | 2004-12-16 | Applied Materials, Inc. | Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes |
WO2004113585A2 (en) * | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Atomic layer deposition of barrier materials |
US7100954B2 (en) * | 2003-07-11 | 2006-09-05 | Nexx Systems, Inc. | Ultra-thin wafer handling system |
US20050037153A1 (en) * | 2003-08-14 | 2005-02-17 | Applied Materials, Inc. | Stress reduction of sioc low k films |
JP2005064284A (ja) * | 2003-08-14 | 2005-03-10 | Asm Japan Kk | 半導体基板保持装置 |
DE10339988B4 (de) | 2003-08-29 | 2008-06-12 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer antireflektierenden Schicht |
US6903031B2 (en) * | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US20050109280A1 (en) * | 2003-09-22 | 2005-05-26 | Chen Xiangqun S. | Rapid thermal chemical vapor deposition apparatus and method |
US7109087B2 (en) | 2003-10-03 | 2006-09-19 | Applied Materials, Inc. | Absorber layer for DSA processing |
WO2005036627A1 (en) * | 2003-10-03 | 2005-04-21 | Applied Materials, Inc. | Absorber layer for dynamic surface annealing processing |
US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
KR100634288B1 (ko) * | 2003-12-01 | 2006-10-16 | 야스히로 모리 | 고체물질의 표면 개질방법 및 표면 개질된 고체물질 |
US20050136684A1 (en) * | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7087497B2 (en) * | 2004-03-04 | 2006-08-08 | Applied Materials | Low-thermal-budget gapfill process |
US7431772B2 (en) * | 2004-03-09 | 2008-10-07 | Applied Materials, Inc. | Gas distributor having directed gas flow and cleaning method |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US20050214457A1 (en) * | 2004-03-29 | 2005-09-29 | Applied Materials, Inc. | Deposition of low dielectric constant films by N2O addition |
US7547643B2 (en) | 2004-03-31 | 2009-06-16 | Applied Materials, Inc. | Techniques promoting adhesion of porous low K film to underlying barrier layer |
US7611996B2 (en) * | 2004-03-31 | 2009-11-03 | Applied Materials, Inc. | Multi-stage curing of low K nano-porous films |
US7585371B2 (en) * | 2004-04-08 | 2009-09-08 | Micron Technology, Inc. | Substrate susceptors for receiving semiconductor substrates to be deposited upon |
US20050227502A1 (en) * | 2004-04-12 | 2005-10-13 | Applied Materials, Inc. | Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity |
US7229911B2 (en) * | 2004-04-19 | 2007-06-12 | Applied Materials, Inc. | Adhesion improvement for low k dielectrics to conductive materials |
US20050233555A1 (en) * | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US8083853B2 (en) * | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7112541B2 (en) * | 2004-05-06 | 2006-09-26 | Applied Materials, Inc. | In-situ oxide capping after CVD low k deposition |
US20060005771A1 (en) * | 2004-07-12 | 2006-01-12 | Applied Materials, Inc. | Apparatus and method of shaping profiles of large-area PECVD electrodes |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US20050260356A1 (en) * | 2004-05-18 | 2005-11-24 | Applied Materials, Inc. | Microcontamination abatement in semiconductor processing |
US8119210B2 (en) * | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
ATE546824T1 (de) * | 2004-06-08 | 2012-03-15 | Dichroic Cell S R L | System zur plasmaunterstützten chemischen aufdampfung bei niedrigen energien |
US7229931B2 (en) * | 2004-06-16 | 2007-06-12 | Applied Materials, Inc. | Oxygen plasma treatment for enhanced HDP-CVD gapfill |
US20060286807A1 (en) * | 2005-06-16 | 2006-12-21 | Jack Hwang | Use of active temperature control to provide emmisivity independent wafer temperature |
US7183227B1 (en) * | 2004-07-01 | 2007-02-27 | Applied Materials, Inc. | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas |
US7879409B2 (en) * | 2004-07-23 | 2011-02-01 | Applied Materials, Inc. | Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7259381B2 (en) * | 2004-08-03 | 2007-08-21 | Applied Materials, Inc. | Methodology for determining electron beam penetration depth |
KR20060012703A (ko) * | 2004-08-04 | 2006-02-09 | 주식회사 유진테크 | 반도체 기판상에 싱글 챔버식 화학 기상 증착를 이용한 열산화막 증착장치 및 그 증착방법 |
US7422776B2 (en) * | 2004-08-24 | 2008-09-09 | Applied Materials, Inc. | Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD) |
US7172969B2 (en) * | 2004-08-26 | 2007-02-06 | Tokyo Electron Limited | Method and system for etching a film stack |
US7087536B2 (en) * | 2004-09-01 | 2006-08-08 | Applied Materials | Silicon oxide gapfill deposition using liquid precursors |
US20060054090A1 (en) * | 2004-09-15 | 2006-03-16 | Applied Materials, Inc. | PECVD susceptor support construction |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
TWI287279B (en) * | 2004-09-20 | 2007-09-21 | Applied Materials Inc | Diffuser gravity support |
US7387811B2 (en) * | 2004-09-21 | 2008-06-17 | Superpower, Inc. | Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD) |
JP4435666B2 (ja) * | 2004-11-09 | 2010-03-24 | 東京エレクトロン株式会社 | プラズマ処理方法、成膜方法 |
US20060105114A1 (en) * | 2004-11-16 | 2006-05-18 | White John M | Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs |
US7402520B2 (en) * | 2004-11-26 | 2008-07-22 | Applied Materials, Inc. | Edge removal of silicon-on-insulator transfer wafer |
US7552521B2 (en) * | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7306983B2 (en) * | 2004-12-10 | 2007-12-11 | International Business Machines Corporation | Method for forming dual etch stop liner and protective layer in a semiconductor device |
US20060130971A1 (en) * | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
US20060154494A1 (en) | 2005-01-08 | 2006-07-13 | Applied Materials, Inc., A Delaware Corporation | High-throughput HDP-CVD processes for advanced gapfill applications |
US7601242B2 (en) * | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US7501354B2 (en) * | 2005-01-18 | 2009-03-10 | Applied Materials, Inc. | Formation of low K material utilizing process having readily cleaned by-products |
US20060162661A1 (en) * | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US8241708B2 (en) | 2005-03-09 | 2012-08-14 | Micron Technology, Inc. | Formation of insulator oxide films with acid or base catalyzed hydrolysis of alkoxides in supercritical carbon dioxide |
US20060225654A1 (en) * | 2005-03-29 | 2006-10-12 | Fink Steven T | Disposable plasma reactor materials and methods |
US20060228889A1 (en) * | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US7273823B2 (en) * | 2005-06-03 | 2007-09-25 | Applied Materials, Inc. | Situ oxide cap layer development |
WO2006133730A1 (en) * | 2005-06-16 | 2006-12-21 | Innovative Systems & Technologies | Method for producing coated polymer |
US7329586B2 (en) * | 2005-06-24 | 2008-02-12 | Applied Materials, Inc. | Gapfill using deposition-etch sequence |
US20070012557A1 (en) * | 2005-07-13 | 2007-01-18 | Applied Materials, Inc | Low voltage sputtering for large area substrates |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
US8398816B1 (en) | 2006-03-28 | 2013-03-19 | Novellus Systems, Inc. | Method and apparatuses for reducing porogen accumulation from a UV-cure chamber |
US20070134435A1 (en) * | 2005-12-13 | 2007-06-14 | Ahn Sang H | Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films |
US7371695B2 (en) * | 2006-01-04 | 2008-05-13 | Promos Technologies Pte. Ltd. | Use of TEOS oxides in integrated circuit fabrication processes |
US7547598B2 (en) * | 2006-01-09 | 2009-06-16 | Hynix Semiconductor Inc. | Method for fabricating capacitor in semiconductor device |
JP4807619B2 (ja) * | 2006-03-06 | 2011-11-02 | 株式会社島津製作所 | 真空装置の加熱機構 |
US7645710B2 (en) * | 2006-03-09 | 2010-01-12 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7837838B2 (en) * | 2006-03-09 | 2010-11-23 | Applied Materials, Inc. | Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus |
US7678710B2 (en) * | 2006-03-09 | 2010-03-16 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US20070240644A1 (en) | 2006-03-24 | 2007-10-18 | Hiroyuki Matsuura | Vertical plasma processing apparatus for semiconductor process |
JP2007266347A (ja) * | 2006-03-29 | 2007-10-11 | Renesas Technology Corp | 半導体装置の製造方法 |
US7923376B1 (en) * | 2006-03-30 | 2011-04-12 | Novellus Systems, Inc. | Method of reducing defects in PECVD TEOS films |
US7967911B2 (en) * | 2006-04-11 | 2011-06-28 | Applied Materials, Inc. | Apparatus and methods for chemical vapor deposition |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US20070243714A1 (en) * | 2006-04-18 | 2007-10-18 | Applied Materials, Inc. | Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step |
US20070264443A1 (en) * | 2006-05-09 | 2007-11-15 | Applied Materials, Inc. | Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits |
US7297376B1 (en) | 2006-07-07 | 2007-11-20 | Applied Materials, Inc. | Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers |
JP5590886B2 (ja) * | 2006-09-26 | 2014-09-17 | アプライド マテリアルズ インコーポレイテッド | 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理 |
US20080099147A1 (en) * | 2006-10-26 | 2008-05-01 | Nyi Oo Myo | Temperature controlled multi-gas distribution assembly |
US7775508B2 (en) * | 2006-10-31 | 2010-08-17 | Applied Materials, Inc. | Ampoule for liquid draw and vapor draw with a continuous level sensor |
US7704894B1 (en) | 2006-11-20 | 2010-04-27 | Novellus Systems, Inc. | Method of eliminating small bin defects in high throughput TEOS films |
US7939422B2 (en) * | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US20080142483A1 (en) * | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US8821637B2 (en) * | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
US8444926B2 (en) * | 2007-01-30 | 2013-05-21 | Applied Materials, Inc. | Processing chamber with heated chamber liner |
US20080190364A1 (en) * | 2007-02-13 | 2008-08-14 | Applied Materials, Inc. | Substrate support assembly |
US7884021B2 (en) * | 2007-02-27 | 2011-02-08 | Spartial Photonics, Inc. | Planarization of a layer over a cavity |
US7541288B2 (en) * | 2007-03-08 | 2009-06-02 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques |
KR100845941B1 (ko) * | 2007-03-27 | 2008-07-14 | 성균관대학교산학협력단 | 저유전 상수값을 갖는 박막 제조 방법 및 이에 의하여제조된 박막 |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
CN101687229B (zh) * | 2007-07-12 | 2012-01-18 | 应用材料股份有限公司 | 将基板置中设置于处理室内的设备及方法 |
US20090056743A1 (en) * | 2007-08-31 | 2009-03-05 | Soo Young Choi | Method of cleaning plasma enhanced chemical vapor deposition chamber |
JP5683063B2 (ja) * | 2007-09-05 | 2015-03-11 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 窒化アルミニウム又は酸化ベリリウムのセラミックカバーウェハ |
US20090095222A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas spiral channel showerhead |
KR100962044B1 (ko) * | 2007-12-06 | 2010-06-08 | 성균관대학교산학협력단 | 저유전 플라즈마 중합체 박막 및 그 제조 방법 |
US8426778B1 (en) | 2007-12-10 | 2013-04-23 | Novellus Systems, Inc. | Tunable-illumination reflector optics for UV cure system |
US7678715B2 (en) * | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
US8283644B2 (en) | 2008-01-08 | 2012-10-09 | Novellus Systems, Inc. | Measuring in-situ UV intensity in UV cure tool |
US7935940B1 (en) | 2008-01-08 | 2011-05-03 | Novellus Systems, Inc. | Measuring in-situ UV intensity in UV cure tool |
US20090188624A1 (en) * | 2008-01-25 | 2009-07-30 | Applied Materials, Inc. | Method and apparatus for enhancing flow uniformity in a process chamber |
US8097082B2 (en) * | 2008-04-28 | 2012-01-17 | Applied Materials, Inc. | Nonplanar faceplate for a plasma processing chamber |
DE102008026974A1 (de) * | 2008-06-03 | 2009-12-10 | Aixtron Ag | Verfahren und Vorrichtung zum Abscheiden dünner Schichten aus polymeren Para-Xylylene oder substituiertem Para-Xylylene |
CN102047387B (zh) * | 2008-06-30 | 2012-07-04 | S.O.I.Tec绝缘体上硅技术公司 | 模块化的cvd反应器子系统、其配置方法和独立功能模块 |
JP2011527834A (ja) * | 2008-07-08 | 2011-11-04 | サンディスク スリーディー,エルエルシー | 炭素系抵抗率スイッチング材料およびその形成方法 |
CN101351076B (zh) * | 2008-09-16 | 2011-08-17 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理设备 |
US7967913B2 (en) * | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
US8801857B2 (en) | 2008-10-31 | 2014-08-12 | Asm America, Inc. | Self-centering susceptor ring assembly |
US8146896B2 (en) * | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US8869741B2 (en) * | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
JP5268626B2 (ja) * | 2008-12-26 | 2013-08-21 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20100270262A1 (en) * | 2009-04-22 | 2010-10-28 | Applied Materials, Inc. | Etching low-k dielectric or removing resist with a filtered ionized gas |
JP5698950B2 (ja) | 2009-10-23 | 2015-04-08 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
KR101105508B1 (ko) * | 2009-12-30 | 2012-01-13 | 주식회사 하이닉스반도체 | 반도체 메모리 소자의 제조 방법 |
US20120058281A1 (en) * | 2010-03-12 | 2012-03-08 | Applied Materials, Inc. | Methods for forming low moisture dielectric films |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8859393B2 (en) * | 2010-06-30 | 2014-10-14 | Sunedison Semiconductor Limited | Methods for in-situ passivation of silicon-on-insulator wafers |
US20120015113A1 (en) * | 2010-07-13 | 2012-01-19 | Applied Materials, Inc. | Methods for forming low stress dielectric films |
US8460466B2 (en) * | 2010-08-02 | 2013-06-11 | Veeco Instruments Inc. | Exhaust for CVD reactor |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
KR101855217B1 (ko) | 2010-12-30 | 2018-05-08 | 비코 인스트루먼츠 인코포레이티드 | 캐리어 연장부를 이용한 웨이퍼 처리 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
JP6097742B2 (ja) * | 2011-05-27 | 2017-03-15 | クリスタル・ソーラー・インコーポレーテッド | エピタキシャル堆積によるシリコンウェハ |
EP2527824B1 (en) * | 2011-05-27 | 2016-05-04 | ams international AG | Integrated circuit with moisture sensor and method of manufacturing such an integrated circuit |
US8399359B2 (en) | 2011-06-01 | 2013-03-19 | United Microelectronics Corp. | Manufacturing method for dual damascene structure |
US8828878B2 (en) | 2011-06-01 | 2014-09-09 | United Microelectronics Corp. | Manufacturing method for dual damascene structure |
US20140116339A1 (en) * | 2011-06-11 | 2014-05-01 | Tokyo Electron Limited | Process gas diffuser assembly for vapor deposition system |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
DE102011113293A1 (de) * | 2011-09-05 | 2013-03-07 | Schmid Vacuum Technology Gmbh | Vakuumbeschichtungsvorrichtung |
DE102011113294A1 (de) * | 2011-09-05 | 2013-03-07 | Schmid Vacuum Technology Gmbh | Vakuumbeschichtungsvorrichtung |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
CN102456566B (zh) * | 2011-10-12 | 2016-03-30 | 上海华力微电子有限公司 | 一种低温二氧化硅的处理方法 |
US9109754B2 (en) | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
JP5964626B2 (ja) * | 2012-03-22 | 2016-08-03 | 株式会社Screenホールディングス | 熱処理装置 |
CN102605346A (zh) * | 2012-03-31 | 2012-07-25 | 上海华力微电子有限公司 | 一种mim型电容中绝缘体二氧化硅薄膜的制备方法 |
US8735295B2 (en) | 2012-06-19 | 2014-05-27 | United Microelectronics Corp. | Method of manufacturing dual damascene structure |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US10541183B2 (en) | 2012-07-19 | 2020-01-21 | Texas Instruments Incorporated | Spectral reflectometry window heater |
US8647991B1 (en) | 2012-07-30 | 2014-02-11 | United Microelectronics Corp. | Method for forming dual damascene opening |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
KR20140026724A (ko) * | 2012-08-23 | 2014-03-06 | 주식회사 원익아이피에스 | 박막 제조 방법 및 기판 처리 장치 |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
CN102828172A (zh) * | 2012-09-18 | 2012-12-19 | 大连交通大学 | 一种PECVD法制备SiO2薄膜的方法 |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
TWI480417B (zh) | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | 具氣幕之氣體噴灑裝置及其薄膜沉積裝置 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9388493B2 (en) | 2013-01-08 | 2016-07-12 | Veeco Instruments Inc. | Self-cleaning shutter for CVD reactor |
US8921226B2 (en) | 2013-01-14 | 2014-12-30 | United Microelectronics Corp. | Method of forming semiconductor structure having contact plug |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
CN107424901B (zh) | 2013-03-12 | 2019-06-11 | 应用材料公司 | 具有方位角与径向分布控制的多区域气体注入组件 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US20140264557A1 (en) * | 2013-03-15 | 2014-09-18 | International Business Machines Corporation | Self-aligned approach for drain diffusion in field effect transistors |
JP6007143B2 (ja) * | 2013-03-26 | 2016-10-12 | 東京エレクトロン株式会社 | シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法 |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8962490B1 (en) | 2013-10-08 | 2015-02-24 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US20150128862A1 (en) * | 2013-11-11 | 2015-05-14 | Xuesong Li | Apparatus for processing a substrate |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9328416B2 (en) | 2014-01-17 | 2016-05-03 | Lam Research Corporation | Method for the reduction of defectivity in vapor deposited films |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
WO2016210299A1 (en) | 2015-06-26 | 2016-12-29 | Tokyo Electron Limited | GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF Si-CONTAINING ARC OR SILICON OXYNITRIDE TO DIFFERENT FILMS OR MASKS |
CN107851559B (zh) | 2015-06-26 | 2022-04-26 | 东京毅力科创株式会社 | 气相蚀刻系统和方法 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN105256369A (zh) * | 2015-10-20 | 2016-01-20 | 中国电子科技集团公司第四十八研究所 | 一种用于SiC外延的耐高温水平多层进气装置 |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
FR3056993B1 (fr) * | 2016-10-04 | 2018-10-12 | Kobus Sas | Dispositif pour amener un gaz dans un reacteur de depot chimique en phase gazeuse |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10851457B2 (en) * | 2017-08-31 | 2020-12-01 | Lam Research Corporation | PECVD deposition system for deposition on selective side of the substrate |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
KR102527232B1 (ko) | 2018-01-05 | 2023-05-02 | 삼성디스플레이 주식회사 | 표시 장치의 제조장치 및 표시 장치의 제조방법 |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
CN108388036B (zh) * | 2018-03-05 | 2021-04-30 | 京东方科技集团股份有限公司 | 一种用于修复玻璃基板的材料、用于修复玻璃基板的方法和阵列基板的制造方法 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN111156161A (zh) * | 2018-11-07 | 2020-05-15 | 宁波方太厨具有限公司 | 一种增压泵减振降噪结构 |
JP6852040B2 (ja) * | 2018-11-16 | 2021-03-31 | 大陽日酸株式会社 | 半導体製造装置部品の洗浄装置、半導体製造装置部品の洗浄方法、及び半導体製造装置部品の洗浄システム |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
USD920936S1 (en) | 2019-01-17 | 2021-06-01 | Asm Ip Holding B.V. | Higher temperature vented susceptor |
TWI839443B (zh) | 2019-01-17 | 2024-04-21 | 荷蘭商 Asm Ip 私人控股有限公司 | 通風基座 |
USD914620S1 (en) | 2019-01-17 | 2021-03-30 | Asm Ip Holding B.V. | Vented susceptor |
US20200354831A1 (en) * | 2019-05-07 | 2020-11-12 | Alta Devices, Inc. | Methods and systems for cleaning deposition systems |
TWI845682B (zh) | 2019-05-22 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 工件基座主體 |
KR102695104B1 (ko) | 2019-08-16 | 2024-08-14 | 램 리써치 코포레이션 | 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 방법 및 장치 |
US11764101B2 (en) | 2019-10-24 | 2023-09-19 | ASM IP Holding, B.V. | Susceptor for semiconductor substrate processing |
CN112981367B (zh) * | 2019-12-17 | 2022-12-27 | 江苏鲁汶仪器有限公司 | 一种快捷方便的断裂顶针回收装置 |
US11411013B2 (en) | 2020-01-08 | 2022-08-09 | Micron Technology, Inc. | Microelectronic devices including stair step structures, and related electronic devices and methods |
JP2021158251A (ja) * | 2020-03-27 | 2021-10-07 | 株式会社Screenホールディングス | 基板処理装置 |
CN113496869A (zh) * | 2020-04-03 | 2021-10-12 | 重庆超硅半导体有限公司 | 一种外延基底用硅晶片之背面膜层及制造方法 |
CN111573238B (zh) * | 2020-05-07 | 2021-08-06 | 泰兴市和宸晶体科技有限公司 | 一种石英晶体壳体压封导出引向装置 |
CN112371452B (zh) * | 2020-11-04 | 2022-03-18 | 上海华力集成电路制造有限公司 | 半导体制造工艺环境的调风装置 |
USD1031676S1 (en) | 2020-12-04 | 2024-06-18 | Asm Ip Holding B.V. | Combined susceptor, support, and lift system |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5691435A (en) * | 1979-12-25 | 1981-07-24 | Fujitsu Ltd | Plasma vapor growing method |
JPS58163434A (ja) * | 1982-03-25 | 1983-09-28 | Semiconductor Energy Lab Co Ltd | プラズマ気相反応方法 |
JPS61579A (ja) * | 1984-06-14 | 1986-01-06 | Ricoh Co Ltd | 薄膜製造方法 |
Family Cites Families (75)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE215968C (ja) * | ||||
NL284295A (ja) * | 1961-10-12 | 1900-01-01 | ||
US3200019A (en) * | 1962-01-19 | 1965-08-10 | Rca Corp | Method for making a semiconductor device |
FR1490476A (fr) * | 1964-11-12 | 1967-08-04 | Texas Instruments Inc | Procédé de diffusion planar |
GB1151746A (en) * | 1965-12-27 | 1969-05-14 | Matsushita Electronics Corp | A method for the Deposition of Silica Films |
US3556841A (en) * | 1967-04-11 | 1971-01-19 | Matsushita Electronics Corp | Process for forming silicon dioxide films |
US3627590A (en) * | 1968-12-02 | 1971-12-14 | Western Electric Co | Method for heat treatment of workpieces |
DE1900116C3 (de) * | 1969-01-02 | 1978-10-19 | Siemens Ag, 1000 Berlin Und 8000 Muenchen | Verfahren zum Herstellen hxxochreiner, aus Silicium bestehender einkristalliner Schichten |
US4496609A (en) * | 1969-10-15 | 1985-01-29 | Applied Materials, Inc. | Chemical vapor deposition coating process employing radiant heat and a susceptor |
US3934060A (en) * | 1973-12-19 | 1976-01-20 | Motorola, Inc. | Method for forming a deposited silicon dioxide layer on a semiconductor wafer |
US3854443A (en) * | 1973-12-19 | 1974-12-17 | Intel Corp | Gas reactor for depositing thin films |
US4002512A (en) * | 1974-09-16 | 1977-01-11 | Western Electric Company, Inc. | Method of forming silicon dioxide |
JPS5160454A (ja) * | 1974-11-22 | 1976-05-26 | Hitachi Ltd | Tasohogomakunokeiseiho |
US4282268A (en) * | 1977-05-04 | 1981-08-04 | Rca Corporation | Method of depositing a silicon oxide dielectric layer |
JPS5824374B2 (ja) | 1977-10-03 | 1983-05-20 | ティーディーケイ株式会社 | 酸化珪素被膜作製方法 |
JPS5623745A (en) | 1979-08-01 | 1981-03-06 | Hitachi Ltd | Plasma etching device |
JPS6029295B2 (ja) * | 1979-08-16 | 1985-07-10 | 舜平 山崎 | 非単結晶被膜形成法 |
NL7906996A (nl) * | 1979-09-20 | 1981-03-24 | Philips Nv | Werkwijze voor het reinigen van een reaktor. |
US4647266A (en) * | 1979-12-21 | 1987-03-03 | Varian Associates, Inc. | Wafer coating system |
US4680061A (en) * | 1979-12-21 | 1987-07-14 | Varian Associates, Inc. | Method of thermal treatment of a wafer in an evacuated environment |
US4313783A (en) * | 1980-05-19 | 1982-02-02 | Branson International Plasma Corporation | Computer controlled system for processing semiconductor wafers |
JPS57100720A (en) | 1980-12-16 | 1982-06-23 | Seiko Epson Corp | Manufacture of amorphous semiconductor film |
US4341582A (en) * | 1980-12-22 | 1982-07-27 | The Perkin-Elmer Corporation | Load-lock vacuum chamber |
GB2104054B (en) * | 1981-08-11 | 1984-11-14 | British Petroleum Co Plc | Protective silica coatings |
JPS591671A (ja) * | 1982-05-28 | 1984-01-07 | Fujitsu Ltd | プラズマcvd装置 |
JPS594028A (ja) | 1982-06-30 | 1984-01-10 | Fujitsu Ltd | 半導体製造装置 |
JPS5946094A (ja) | 1982-09-08 | 1984-03-15 | セイコーインスツルメンツ株式会社 | プリント回路板用導体回路の製造方法 |
DD209485A1 (de) * | 1982-09-16 | 1984-05-09 | Mikroelektronik Zt Forsch Tech | Verfahren zum reinigen elektrisch leitfaehiger reaktionsrohre von cvd-anlagen |
US4535228A (en) * | 1982-12-28 | 1985-08-13 | Ushio Denki Kabushiki Kaisha | Heater assembly and a heat-treatment method of semiconductor wafer using the same |
JPS59207631A (ja) * | 1983-05-11 | 1984-11-24 | Semiconductor Res Found | 光化学を用いたドライプロセス装置 |
JPS59222922A (ja) * | 1983-06-01 | 1984-12-14 | Nippon Telegr & Teleph Corp <Ntt> | 気相成長装置 |
US4576698A (en) * | 1983-06-30 | 1986-03-18 | International Business Machines Corporation | Plasma etch cleaning in low pressure chemical vapor deposition systems |
JPS6024012A (ja) * | 1983-07-19 | 1985-02-06 | Matsushita Electric Ind Co Ltd | イオン注入基板の活性化法 |
JPS6037129A (ja) * | 1983-08-10 | 1985-02-26 | Hitachi Ltd | 半導体製造装置 |
US4550684A (en) * | 1983-08-11 | 1985-11-05 | Genus, Inc. | Cooled optical window for semiconductor wafer heating |
JPS6074626A (ja) * | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | ウエハー処理方法及び装置 |
US4496809A (en) * | 1983-10-17 | 1985-01-29 | A B C Auto Alarms, Inc. | Vibration sensitive trip switch for vehicle alarm system of the like |
GB8332394D0 (en) * | 1983-12-05 | 1984-01-11 | Pilkington Brothers Plc | Coating apparatus |
JPS60125371A (ja) | 1983-12-09 | 1985-07-04 | Hitachi Ltd | 真空内基板加熱装置 |
DE3401168A1 (de) * | 1984-01-14 | 1985-07-18 | Martin Dipl.-Ing. Förster (FH), 7707 Engen | Einrichtung zur versorgung von tieren mit einem fluessigen futtermittel |
US4584045A (en) * | 1984-02-21 | 1986-04-22 | Plasma-Therm, Inc. | Apparatus for conveying a semiconductor wafer |
US4547247A (en) * | 1984-03-09 | 1985-10-15 | Tegal Corporation | Plasma reactor chuck assembly |
US4629635A (en) * | 1984-03-16 | 1986-12-16 | Genus, Inc. | Process for depositing a low resistivity tungsten silicon composite film on a substrate |
JPS60202937A (ja) | 1984-03-28 | 1985-10-14 | Hitachi Ltd | ドライエツチング装置 |
JPS60219724A (ja) | 1984-04-16 | 1985-11-02 | Matsushita Electric Ind Co Ltd | 回転サセプタ支持装置 |
US4522681A (en) * | 1984-04-23 | 1985-06-11 | General Electric Company | Method for tapered dry etching |
US4582306A (en) | 1984-05-07 | 1986-04-15 | Richard Sassenberg | Vise jaw |
US4534816A (en) * | 1984-06-22 | 1985-08-13 | International Business Machines Corporation | Single wafer plasma etch reactor |
US4615294A (en) * | 1984-07-31 | 1986-10-07 | Hughes Aircraft Company | Barrel reactor and method for photochemical vapor deposition |
US4702936A (en) * | 1984-09-20 | 1987-10-27 | Applied Materials Japan, Inc. | Gas-phase growth process |
US4731255A (en) * | 1984-09-26 | 1988-03-15 | Applied Materials Japan, Inc. | Gas-phase growth process and an apparatus for the same |
US4695700A (en) * | 1984-10-22 | 1987-09-22 | Texas Instruments Incorporated | Dual detector system for determining endpoint of plasma etch process |
JPH0236276Y2 (ja) * | 1985-01-10 | 1990-10-03 | ||
JPS61191015A (ja) * | 1985-02-20 | 1986-08-25 | Hitachi Ltd | 半導体の気相成長方法及びその装置 |
CA1251100A (en) * | 1985-05-17 | 1989-03-14 | Richard Cloutier | Chemical vapor deposition |
DE3679596D1 (de) * | 1985-05-22 | 1991-07-11 | Siemens Ag | Verfahren zum herstellen von mit bor und phosphor dotierten siliziumoxid-schichten fuer integrierte halbleiterschaltungen. |
US4845054A (en) * | 1985-06-14 | 1989-07-04 | Focus Semiconductor Systems, Inc. | Low temperature chemical vapor deposition of silicon dioxide films |
US4640224A (en) * | 1985-08-05 | 1987-02-03 | Spectrum Cvd, Inc. | CVD heat source |
EP0215968A1 (de) * | 1985-09-21 | 1987-04-01 | Leybold Aktiengesellschaft | Einrichtung zur Herstellung von Solarzellen mit amorphes Silizium enthaltenden Schichten, Verfahren zum Betrieb dieser Einrichtung und Kathode zur Verwendung in dieser Einrichtung |
US4798165A (en) | 1985-10-07 | 1989-01-17 | Epsilon | Apparatus for chemical vapor deposition using an axially symmetric gas flow |
NL8602356A (nl) * | 1985-10-07 | 1987-05-04 | Epsilon Ltd Partnership | Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan. |
NL8602357A (nl) * | 1985-10-07 | 1987-05-04 | Epsilon Ltd Partnership | Inrichting en werkwijze voor het chemisch uit damp neerslaan met gebruik van een axiaal symmetrische gasstroming. |
US4640221A (en) * | 1985-10-30 | 1987-02-03 | International Business Machines Corporation | Vacuum deposition system with improved mass flow control |
US4717596A (en) * | 1985-10-30 | 1988-01-05 | International Business Machines Corporation | Method for vacuum vapor deposition with improved mass flow control |
US4791398A (en) * | 1986-02-13 | 1988-12-13 | Rosemount Inc. | Thin film platinum resistance thermometer with high temperature diffusion barrier |
DE3606959A1 (de) * | 1986-03-04 | 1987-09-10 | Leybold Heraeus Gmbh & Co Kg | Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung |
JPH062951B2 (ja) | 1986-03-13 | 1994-01-12 | 日立電子エンジニアリング株式会社 | 気相反応装置 |
US4687682A (en) * | 1986-05-02 | 1987-08-18 | American Telephone And Telegraph Company, At&T Technologies, Inc. | Back sealing of silicon wafers |
WO1987007310A1 (en) * | 1986-05-19 | 1987-12-03 | Novellus Systems, Inc. | Deposition apparatus |
US4768464A (en) * | 1986-09-26 | 1988-09-06 | Semiconductor Energy Laboratory Co., Ltd. | Chemical vapor reaction apparatus |
JPS63252439A (ja) * | 1986-12-19 | 1988-10-19 | アプライド マテリアルズインコーポレーテッド | 多チャンバの統合処理システム |
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
DE3752042T2 (de) * | 1986-12-19 | 1997-07-17 | Applied Materials Inc | Plasmaätzvorrichtung mit Magnetfeldverstärkung |
US5028566A (en) * | 1987-04-10 | 1991-07-02 | Air Products And Chemicals, Inc. | Method of forming silicon dioxide glass films |
ES2081806T3 (es) * | 1987-06-26 | 1996-03-16 | Applied Materials Inc | Procedimiento de autolimpieza de una camara de reactor. |
-
1986
- 1986-12-19 US US06/944,492 patent/US5000113A/en not_active Expired - Lifetime
-
1987
- 1987-12-18 ES ES87311193T patent/ES2049729T3/es not_active Expired - Lifetime
- 1987-12-18 AT AT87311193T patent/ATE101879T1/de not_active IP Right Cessation
- 1987-12-18 JP JP62321181A patent/JPH0612771B2/ja not_active Expired - Lifetime
- 1987-12-18 DE DE3789142T patent/DE3789142T2/de not_active Expired - Lifetime
- 1987-12-18 EP EP87311193A patent/EP0272140B1/en not_active Expired - Lifetime
-
1991
- 1991-01-23 US US07/645,999 patent/US5362526A/en not_active Expired - Lifetime
-
1992
- 1992-04-01 US US07/861,719 patent/US5354715A/en not_active Expired - Lifetime
- 1992-08-13 US US07/928,642 patent/US6167834B1/en not_active Expired - Fee Related
-
1993
- 1993-02-26 JP JP5038905A patent/JP2716642B2/ja not_active Expired - Lifetime
- 1993-02-26 JP JP5038904A patent/JP2651102B2/ja not_active Expired - Lifetime
-
1995
- 1995-08-07 JP JP7201143A patent/JP2723845B2/ja not_active Expired - Lifetime
- 1995-08-07 JP JP20114495A patent/JP2584960B2/ja not_active Expired - Lifetime
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5691435A (en) * | 1979-12-25 | 1981-07-24 | Fujitsu Ltd | Plasma vapor growing method |
JPS58163434A (ja) * | 1982-03-25 | 1983-09-28 | Semiconductor Energy Lab Co Ltd | プラズマ気相反応方法 |
JPS61579A (ja) * | 1984-06-14 | 1986-01-06 | Ricoh Co Ltd | 薄膜製造方法 |
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5855970A (en) * | 1986-09-09 | 1999-01-05 | Semiconductor Energy Laboratory Co., Ltd. | Method of forming a film on a substrate |
US6013338A (en) * | 1986-09-09 | 2000-01-11 | Semiconductor Energy Laboratory Co., Ltd. | CVD apparatus |
JPS6450429A (en) * | 1987-08-20 | 1989-02-27 | Semiconductor Energy Lab | Formation of insulating film |
US6169324B1 (en) | 1989-03-20 | 2001-01-02 | Hitachi, Ltd. | Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same |
US6127255A (en) * | 1989-03-20 | 2000-10-03 | Hitachi, Ltd. | Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same |
US6548847B2 (en) | 1989-03-20 | 2003-04-15 | Hitachi, Ltd. | Semiconductor integrated circuit device having a first wiring strip exposed through a connecting hole, a transition-metal film in the connecting hole and an aluminum wiring strip thereover, and a transition-metal nitride film between the aluminum wiring strip and the transition-metal film |
US6894334B2 (en) | 1989-03-20 | 2005-05-17 | Hitachi, Ltd. | Semiconductor integrated circuit device, process for fabricating the same, and apparatus for fabricating the same |
JPH0574763A (ja) * | 1991-07-19 | 1993-03-26 | G T C:Kk | ゲート絶縁膜の形成方法 |
JPH05218002A (ja) * | 1991-10-18 | 1993-08-27 | Philips Gloeilampenfab:Nv | 半導体デバイスの製造方法 |
US6835523B1 (en) | 1993-05-09 | 2004-12-28 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus for fabricating coating and method of fabricating the coating |
JPH07201753A (ja) * | 1993-12-29 | 1995-08-04 | Nippon Steel Corp | 薄膜製造方法およびその装置 |
JPH0925586A (ja) * | 1995-07-11 | 1997-01-28 | Anelva Corp | 基板処理装置および基板処理方法 |
JPH08241969A (ja) * | 1996-03-21 | 1996-09-17 | Hitachi Ltd | 半導体集積回路装置 |
JP2004076023A (ja) * | 2001-08-01 | 2004-03-11 | Tokyo Electron Ltd | ガス処理装置およびガス処理方法 |
JP2013520792A (ja) * | 2010-02-23 | 2013-06-06 | アプライド マテリアルズ インコーポレイテッド | 低誘電率誘電体を含む超小型電子構造およびその構造内の炭素分配を制御する方法 |
Also Published As
Publication number | Publication date |
---|---|
US5354715A (en) | 1994-10-11 |
EP0272140A3 (en) | 1990-11-14 |
JPH0855843A (ja) | 1996-02-27 |
JP2723845B2 (ja) | 1998-03-09 |
US5362526A (en) | 1994-11-08 |
JP2716642B2 (ja) | 1998-02-18 |
JPH0613368A (ja) | 1994-01-21 |
EP0272140B1 (en) | 1994-02-23 |
EP0272140A2 (en) | 1988-06-22 |
ATE101879T1 (de) | 1994-03-15 |
US6167834B1 (en) | 2001-01-02 |
DE3789142D1 (de) | 1994-03-31 |
JPH0613367A (ja) | 1994-01-21 |
JPH0870035A (ja) | 1996-03-12 |
DE3789142T2 (de) | 1994-05-26 |
ES2049729T3 (es) | 1994-05-01 |
JPH0612771B2 (ja) | 1994-02-16 |
JP2651102B2 (ja) | 1997-09-10 |
US5000113A (en) | 1991-03-19 |
JP2584960B2 (ja) | 1997-02-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPS63246829A (ja) | Teosプラズマcvd法 | |
US4892753A (en) | Process for PECVD of silicon oxide using TEOS decomposition | |
US4872947A (en) | CVD of silicon oxide using TEOS decomposition and in-situ planarization process | |
US5755886A (en) | Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing | |
US11725274B2 (en) | Integrated cluster tool for selective area deposition | |
JP2618445B2 (ja) | 反応器チャンバー自己清掃方法 | |
US6071573A (en) | Process for precoating plasma CVD reactors | |
JP4808330B2 (ja) | プロセスガス配給装置及び処理チャンバ | |
CN100472733C (zh) | 低k介电薄膜的后处理 | |
KR100929279B1 (ko) | 경사면 증착을 줄이기 위한 하드웨어 개발 | |
EP0478174B1 (en) | Silicon dioxide deposition method | |
US20070286967A1 (en) | Plasma processing apparatus and plasma processing method | |
US20090053895A1 (en) | Film forming method of porous film and computer-readable recording medium | |
EP0934433B1 (en) | Method for depositing fluorine doped silicon dioxide films | |
WO2012093983A2 (en) | Remote plasma source seasoning | |
JPH0740569B2 (ja) | Ecrプラズマ堆積方法 | |
JP2004513516A (ja) | 拡張されたプロセスウィンドウを有する誘電体エッチングチャンバ | |
JP2003530481A (ja) | 無機/有機誘電体フィルムを堆積させるシステム及び方法 | |
US11251050B2 (en) | Silicon oxide selective dry etch process | |
JP2667364B2 (ja) | 成膜装置 | |
JP2001345312A (ja) | プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法 | |
KR20000022193A (ko) | 고밀도 플라즈마 화학기상증착 장치 및 그 방법 | |
JPH1022279A (ja) | 誘導結合型プラズマcvd装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |