JP2651102B2 - 半導体処理リアクタ - Google Patents

半導体処理リアクタ

Info

Publication number
JP2651102B2
JP2651102B2 JP5038904A JP3890493A JP2651102B2 JP 2651102 B2 JP2651102 B2 JP 2651102B2 JP 5038904 A JP5038904 A JP 5038904A JP 3890493 A JP3890493 A JP 3890493A JP 2651102 B2 JP2651102 B2 JP 2651102B2
Authority
JP
Japan
Prior art keywords
wafer
gas
deposition
chamber
manifold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP5038904A
Other languages
English (en)
Other versions
JPH0613368A (ja
Inventor
ニン クー ワン ディヴィッド
エム ホワイト ジョン
エス ロウ カム
リューン シシー
ピー ウモトイ サルヴァドル
エス コリンズ ケニス
エイ アダミク ジョン
パーロフ イルヤ
メイダン ダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25481509&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2651102(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0613368A publication Critical patent/JPH0613368A/ja
Application granted granted Critical
Publication of JP2651102B2 publication Critical patent/JP2651102B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Silicon Compounds (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、均一な蒸着を提供する
半導体処理リアクタに関する。
【0002】
【従来の技術】半導体ICの製造に使用された初期のガ
ス化学蒸着リアクタは、加熱された基板上にガスによっ
て蒸着させるのに、比較的高温の熱活性化学作用を使用
した。固体の基板面上へのかかる化学蒸着は、基板面上
に吸着するガス種の不均一な表面反応を伴う。薄膜の成
長速度と薄膜の品質は、ウェーハ面の温度と、使用する
ガス種とによって決まる。
【0003】近年になって、アルミニウムやタングステ
ンのような金属、窒化珪素や二酸化珪素のような誘電体
薄膜、シリコンのような半導体薄膜を含む、種々の材料
を形成するために、低温のプラズマCVD法及びプラズ
マエッチングの技術が開発されてきた。利用されるプラ
ズマCVD法に使用されるプラズマは、高周波数の場で
発生する低圧反応性ガスの放電である。プラズマとは、
等密度の電子とイオンとからなる、電気的に中立のイオ
ン化した気体である。プラズマCVD法において使用さ
れる比較的低い圧力では、放電は“グロー”領域にあ
り、電子のエネルギは、重粒子のエネルギと比較して極
めて大きい。極めて高い電子の温度は、基板のような表
面への蒸着に使用されるプラズマ内の分離した種の密度
を増大させる。プラズマCVD法において反応遊離基の
供給を増やすと、熱CVD法において(100 〜200 オン
グストローム/分)よりも、低温で且つより迅速な蒸着
速度(300 〜400 オングストローム/分)で密な高品質
の薄膜の蒸着が可能になる。しかしながら、通常のプラ
ズマCVD法を用いて利用される蒸着速度は、依然とし
て比較的遅い。
【0004】現在、バッチ式リアクタは、多くの商業用
のプラズマCVD法において使用されている。バッチ式
リアクタは比較的多くのウェーハを同時に処理し、かく
して、蒸着速度が遅いにもかかわらず、比較的多くのス
ループットを提供する。一方、枚葉式のウェーハリアク
タは、バッチ内での不均一の問題がないという利点を有
しており、かかる利点は、特に5〜8in径のウェーハの
ような大型で高価なウェーハにとって、このようなリア
クタを魅力的なものとする。さらに、かかる枚葉式のウ
ェーハリアクタの蒸着速度(従って、スループット)が
増大すると、利用可能な範囲が一層増大する。
【0005】一方、最近、IC技術が、LSIからVL
SIに進み、ここ数年以内に、ULSIにまで発展する
ものと予想される。モノリシック集積回路のかかる発展
は、製造設備の改良、並びに、半導体ウェーハを処理し
てICチップにするのに使用される材料及び方法の改良
によって、可能となった。しかしながら、第1に、ます
ます複雑化するデバイス及び回路のICチップへの組込
みが、そして第2に、より高密度化し小型化した回路の
ICチップへの組込みが、マスキング、薄膜形成、ドー
ピング及びエッチングからなるIC製造工程において、
一層厳格な要件を課している。
【0006】ますます複雑化している一例として、簡単
に言うと、典型的なMOS記憶回路が2層の金属配線層
を有し、MOS論理回路が2〜3層の金属配線層を使用
し、そしてバイポーラディジタル回路が3〜4層の金属
配線層を必要とすることが計画されている。このような
多層配線の複雑さ、即ち、厚さ/深さ及び小さな寸法
が、このような金属配線層を支持し且つこれらを絶縁す
る、二酸化珪素のような、所望の平坦な内層の誘電体層
の材料を製造するのをますます困難にしている。
【0007】微細な段差のある面に平坦な被膜を設ける
ことの困難さが、図17に示されている。導体層 171の
ような第1の薄膜が、部分的に完成している集積回路
(図示せず)の既存の段差の上に形成されてきており、
二酸化珪素のような内層の誘電体層 172の蒸着を行って
いるところである。これは、第2層の導体層(図示せ
ず)の形成に先立って行われる。典型的には、蒸着活性
種の平均自由行程が段差の寸法と比較して長い場合、及
び、迅速な表面移動がない場合には、段差の底部 173、
側部 174及び頂部 175での蒸着速度は、関連した到達角
度に比例する。底部及び側部の到達角度は溝の深さと幅
の関数であり、これらによって制限される。かくして、
極めて幅狭で及び/又は深い形状に対しては、底部 173
の厚さは側部174の厚さよりも薄くなるように蒸着され
る傾向があり、側部 174の厚さは頂部175の厚さよりも
薄くなる。
【0008】蒸着プロセスに使用される圧力が増加する
と、典型的には、活性種の衝突速度が増大し平均自由行
程が減少する。これは、到達角度を増大させ、かくし
て、溝即ち段差の側部 174及び底部 173での蒸着速度を
増大させる。しかしながら、これは又、段差の隅部 176
での到達角度及び関連した蒸着速度を増大させる(図1
8参照)。溝が幅広の段差の場合には、薄膜が内方へ傾
斜して、側部と底部との境界に尖り 177が形成される。
かかる形体では良好な金属及び/又は誘電体の層を形成
するのが困難である。その結果、かかる形体を別個に平
坦化する必要がある。
【0009】さらに、例えば256KバイトのVLSI
のような、溝が幅狭の段差の場合には、蒸着速度が増大
すると、隅部 176にボイド 178が発生する(図19参
照)。かかるボイド 178は、引き続く平坦化工程に曝さ
れ、第2層の導体がボイドに進入しボイドに沿って形成
され、ボイドに沿って導体及びデバイスに欠陥を生じさ
せる。
【0010】
【発明が解決しようする課題】上述の議論を考慮して、
本発明の1つの目的は、超高圧を含む、広範囲の圧力に
わたって均一な蒸着を提供する半導体処理リアクタを提
供することにある。また、本発明の別の関連した目的
は、単一の、或いは多段階の処理工程のいずれかにおい
て、熱CVD、プラズマCVD、プラズマ・エッチバッ
ク、プラズマセルフクリーニング及びスパッタリングを
含む、種々のプロセスに使用することができる多用途の
枚葉式ウェーハ半導体処理リアクタを提供することにあ
る。
【0011】また、本発明の関連した目的は、上述の目
的を達成し、TEOSやオゾンのような不安定ガスを使用す
るリアクタを提供することにある。
【0012】
【課題を解決するための手段】上述の課題を解決するた
めに、本発明により、ウェーハを水平に取付けるための
真空室を構成し、かつ、ウェーハ取付け位置の上に、該
取付け位置においてウェーハに反応ガスを供給するため
の水平入口ガスマニホルドを有するハウジングと、真空
室内のウェーハ取付け位置の下方周囲に取付けられ、か
つ、環状配列の排出孔を有するガス整流プレートと、真
空排出ポンプ手段と、孔配列の下方に位置しこれと連通
し、かつ、真空排出ポンプ手段と連通する排出口を有す
る環状溝とを含み、該環状溝の容積は、半径方向ガス流
がウェーハ取付け位置を横断し排出口から溝の中に流入
することができるほど、排出口に対して伝導力を提供す
ることを特徴とする半導体処理リアクタが提供される。
【0013】また、内部でウェーハをガス化学処理する
ようになった室を構成するハウジングと、室の底部を形
成する輻射エネルギ透過窓と、室内で支持されていて、
ウェーハを支持するためのサセプタとを含み、前記ハウ
ジングは、窓の下方でハウジングに取付けられていて、
ランプからの実質的に平行な輻射エネルギの環状ビーム
を、サセプタの中央部分でよりも縁部においてより大き
な入射密度で、窓を介してサセプタに差し向けるための
輻射加熱手段をさらに有することを特徴とする半導体処
理リアクタが提供される。
【0014】さらに、内部に位置決めされたウェーハを
処理するための室を構成するハウジングを含み、該ハウ
ジングは、室にウェーハを挿入し室からウェーハを取り
出すため、ウェーハ保持ブレードを受け入れるための閉
鎖可能な開口を内部に有しており、室に設けられてい
て、ウェーハを保持するようになった第1のほぼ円形の
水平な列のフィンガと、室に設けられていて、ほぼ円形
のサセプタを水平配向に保持するようになった、第1の
フィンガと互いに噛み合わされた第2のほぼ円形の水平
な列のフィンガと、第1のフィンガを、(a)第2のフ
ィンガの処理位置への持上げ移動の前に、ウェーハをブ
レードから持上げるように上方に移動させ、(b)ウェ
ーハをブレードに戻すように下方に移動させるための、
第1のフィンガを取付ける第1の垂直可動エレベータ機
構と、第2のフィンガを、(c)第1のフィンガからサ
セプタ上に、そして少なくとも1つの所定の処理位置の
中にウェーハを持上げるように上方に移動させ、(d)
第1のフィンガによってブレードに戻す前に、処理され
たウェーハを第1のフィンガに蒸着させるように下方に
移動させるための、第2のフィンガを取付ける第2の垂
直可動エレベータ機構と、をさらに含むことを特徴とす
る半導体処理リアクタが提供される。
【0015】
【実施例】まずCVD/PECVDリアクタについて説
明する。図1及び図2は、本発明の枚葉式のウェーハリ
アクタ10の好適な実施例をカバー閉鎖状態で示した平
面図と長さ方向断面図をそれぞれ示している。まず、こ
れらの図面を参照すると、リアクタ10は典型的にはアル
ミニウムで作られており、プラズマ処理領域14(図6)
を有する内部真空室13を構成するハウジング又は室12を
含む。リアクタ10は又、ウェーハ保持サセプタ16と、垂
直可動ウェーハ支持フィンガ20及びサセプタ支持フィン
ガ22を備えた独特のウェーハ移送装置18(図1)とを有
する。これらのフィンガは、ウェーハ処理のためウェー
ハ15をプラズマ処理領域14に導入してサセプタ16上に置
き、次いでウェーハ15をサセプタ16及び室12から取り出
すため、外部ロボットブレード24(図1)と協働する。
リアクタ10は、プロセスガス及びパージガスを室13に加
えるプロセス/パージガスマニホルドすなわち“ボック
ス”26と、入口ガスからプロセスガスのプラズマを生成
しこれを維持するための高周波(RF)電源及びマッチ
ング回路28と、サセプタ16及びサセプタ上に位置決めさ
れたウェーハ15を加熱してウェーハ上で蒸着させるため
のランプ加熱装置30とをさらに含む。13.56MHzの高周波
出力を使用するのが好適ではあるが、低周波出力を使用
してもよい。
【0016】ガスマニホルド26は、独特のプロセス/パ
ージガス分配装置32(図2及び図10)の一部であり、
この分配装置32は、ウェーハ15を横切って半径方向外方
へプロセスガスを均一に流してウェーハへの均一な蒸着
を促進し、使用済ガス及び付帯生成物をウェーハ15の上
縁部及び下縁部から半径方向外方へパージしてガスマニ
ルド26及び室12上への(及びこれらの内部への)蒸着を
実質的に排除するように設計されている。
【0017】液体冷却装置が、(特にガスマニホルド26
の温度を含む)室12の構成要素の温度を制御する。ガス
マニホルド構成要素の温度は、プラズマ処理領域14から
上流のガスマニホルド26内での時期早尚の蒸着を排除す
るように選定される。リアクタ10は、電気接地供給部に
よってプロセス及びパージガスをRF駆動マニホルド26
に供給する特有のRF/ガスフィードスルー装置36(図
2及び図10)を有する。ガスマニホルド26にRFエネ
ルギを加える利点は、接地された対向電極即ちサセプタ
16上にウェーハがあるということであり、これは、RF
エネルギがウェーハに加えられた場合やガスボックスが
接地された場合には得られない高度のプラズマ幽閉を可
能にする。さらに、ハードウェアが、ウェーハ/サセプ
タと室との電気絶縁を必要としないので、機械的にも電
気的にも一層簡単である。高周波電場及び磁場における
サセプタ/ウェーハの温度測定及び温度制御は、接地さ
れたサセプタ16によって非常に簡略化される。また、R
F/ガスフィードスルー装置36は剛体であり、可撓性の
ガス接続を排除し、パージガス流路は、漏洩したプロセ
スガスを室の排出口に確実に搬送する。RF電力をガス
マニホルドに印加する能力は、RF/ガスフィードスル
ー装置内で蒸着プラズマを形成する高電位RF作動の固
有の傾向にもかかわらず、RF電位をRF/ガスフィー
ドスルー装置の長さに沿って均一に低下させて内部での
プラズマ放電を防止するRF/ガスフィードスルー装置
の独特の設計によって可能とされる。
【0018】次に、ウェーハ移送装置18について説明す
る。上述のように、このウェーハ移送装置は、個々のウ
ェーハ15を外部ブレード(図2)とサセプタ16との間に
移動させ、かつ、処理のためサセプタ16及びウェーハ15
を位置決めするように設計されている。さらに図1を参
照すると、ウェーハ移送装置18は、複数の半径方向に延
びたウェーハ支持フィンガ20を含む。これらのフィンガ
20は、サセプタ16と整合しこれの周囲に間隔を隔てて、
半円形の取付けバー又はブラケット38に取付けられてい
る。同様に、半径方向に延びたサセプタ支持フィンガ22
の列が、サセプタ16の周囲に円周方向にウェーハ支持フ
ィンガ20と交互に間隔を隔てて置かれ、取付けバー38の
すぐ外側に位置決めされた半円形バー40に取付けられて
いる。弧状の取付けバー38、40は、ハウジング内に形成
された半円形の溝42内に取付けられており、垂直方向に
移動するエレベータ装置44、46によってそれぞれ作動さ
れる。
【0019】図3に示すように、サセプタエレベータ装
置44は、取付けバー38が上端に取付けられた垂直可動シ
ャフト48を有する。空気シリンダ又は好適には適当な歯
車駆動装置で作動するステップモータを含む種々の可動
装置56によって、シャフト48を昇降させることができ
る。ウェーハエレベータ装置46も、サセプタエレベータ
装置44と同様である。
【0020】ウェーハ移送装置18の作動は、図4〜図8
に連続的に示した略図によって要約される。図4では、
処理すべきウェーハ15を支持している外部ブレード24
が、開口56から室13の中へ、サセプタ16の上方位置まで
挿入されている。適当な外部ブレード24及び関連したロ
ボットウェーハ取扱い設備(及び図6のドア25)の一例
が、メイダン等によって出願された米国特許出願A44944
号「多室一体処理設備」に記載されており、参考文献と
してここに示す。この出発位置では、ウェーハ支持フィ
ンガ20は、サセプタ16と外部ブレード24との間に位置決
めされている。次に図5に示すように、ウェーハエレベ
ータ装置44は、ウェーハ支持フィンガ20をブレードより
上に上昇させてウェーハ15を取り上げる。次いで、外部
ブレード24を室13から引き出す。
【0021】図6に示すように、外部ブレード24が引っ
込んだ後、開口56は空気シリンダによってドア25で閉鎖
され室13が密封される。サセプタエレベータ装置46を作
動させてサセプタ支持フィンガ22及びサセプタ16を上昇
させる。ウェーハ15とマニホルド26との間隔dは、フィ
ンガ20からガスマニホルド26にすぐ隣接するプラズマ処
理領域14の処理位置までサセプタがウェーハ15を持ち上
げるようにウェーハエレベータ装置44の移動を調節する
ことによって、容易に選定される。同時に、サセプタフ
ィンガ22及びサセプタエレベータ装置46は、間隔dとは
無関係に、サセプタ16及びウェーハ15の水平配置、並び
に、ウェーハ15とマニホルド26との平行を維持する。
【0022】処理の後、図7を参照すると、サセプタエ
レベータ装置46は、サセプタフィンガ22及びサセプタ16
を下降させて、ウェーハ15をウェーハ支持フィンガ20上
に載せる。次いで、ドア25を開放し、外部ブレード24を
再び室13に挿入する。次いで、図8に示すように、ウェ
ーハエレベータ装置44がウェーハ支持フィンガ20を下降
させて、ウェーハ15をブレード24上に載せる。下方に移
動するフィンガ20がブレード24から離れた後、ブレード
を引っ込め、別のウェーハの挿入、処理及び取り出しサ
イクルに先立って、フィンガ20、22を図4に示す位置に
戻す。
【0023】次に、近赤外線輻射加熱装置30について説
明する。図2及び図9に示す輻射加熱装置は、均一なウ
ェーハ温度、正確で確実なウェーハ温度、そして低温
(好適には600℃又はこれ以下)での迅速な熱応答を
提供するように、円形サセプタ16及びウェーハ15(例え
ばシリコン)を加熱するための確実で効果的かつ廉価な
手段を形成する。これらの目的を達成するには、多くの
要件を満たさなければならない。第1に、均一なウェー
ハ温度を達成するには、ウェーハの縁部での輻射損失を
補償する必要がある。第2に、低いウェーハ温度(60
0℃又はこれ以下)で高効率とするには、シリコンウェ
ーハが近赤外線スペクトル中においては低温では輻射率
が小さいので、高輻射率で高伝熱性のサセプタを必要と
する。さらに、迅速な熱応答を得るために近赤外線輻射
を使用し、石英窓70のような廉価な材料の透過に使用す
る。円形の薄いサセプタ16は、迅速な加熱及び冷却応答
に対する熱容量が小さい。これらの及び後述するその他
の目的は、図2及び図9に示す輻射加熱装置30によって
達成される。
【0024】加熱装置30は、好適には小型で廉価であり
且つ単一端部をもつ直立配置ランプ58の環状の配列を含
み、このランプの環状配列は、電磁スペクトルの近赤外
部に輻射を提供する。ランプ58は、好適にはアルミニウ
ム製の環状円形反射器モジュール60内に取付けられてい
る。反射器モジュール60の底部はアルミニウムで形成さ
れ、研磨された環状の反射溝62が設けられている。反射
溝62は、弧状の略半円形の反射底64を有する。モジュー
ル60及びランプ58は、コリメート環状反射器62内に形成
された環状の冷却路66によって冷却される。典型的には
加圧供給部からの冷却水である冷却液の入口及び出口の
ために、連結部が形成される。電力は典型的には、個々
の蒸着プロセスの要件に合致する所定のプログラム設定
値に基づいてランプの出力を自動的に変える可変電源か
ら、電力供給ケーブル68によって、ランプソケット63及
び関連したランプに供給される。
【0025】直立ランプ58の環状の平行光は、石英窓70
を経て室の中へ入る。石英は、近赤外線の輻射線に対し
て透明である。透明な石英窓70は、ハウジングとの空密
界面となるように、環状シール72を使用してハウジング
の処理室13の底部に取付けられている。かかる取付け構
造により、室13の外側の輻射エネルギ加熱源30が大気圧
に位置決めされ、処理室の真空及びその中の微粒子感度
処理がランプから隔離される。ブラケット74が反射器モ
ジュール60に接合されており、ハウジング12に接合され
たブラケット78にピボットピン76によって回動可能に取
付けられている。或いは、反射器モジュールを適所にボ
ルト止めしてもよい。処理室13の外部での処理室と分離
したランプ組立体30のかかる取付けの結果、クランプ70
を外してランプ組立体30をピン76を中心として下方に回
動させさえすれば、ランプ組立体に容易に接近して保守
したりランプの交換等をしたりすることができる。
【0026】上述のように、ランプ58は、所要の近赤外
線を輻射する小型で且つ単一端部の市販されている石英
・タングステン・ハロゲンランプである。適当なランプ
の1つとして、シルバニア、GE、ウシオ、フィリップ
ス等によって供給されているAnsi型「FEL」がある。
現在、波長が約0.9 〜1.5 ミクロンの、14個の0.5
〜1kWの石英−タングステン−ハロゲンランプが、ラ
ンプモジュールの上部に約94W/cm2 までの環状の平
行電力密度を提供する。サセプタにおける最大電力密度
(直径6inのサセプタの外側〜1.5 in半径に実質的に差
し向けられる)は、全効率を考慮して、略17W/cm2
である(略15〜16%の効率)。より一般的には、約
0.7 〜2.5 ミクロンの波長範囲において輻射を集中させ
るランプが、特に有用である。反射器モジュール60及び
凹底の反射溝62は、中央部においてよりも、サセプタ縁
部においてより高い輻射電力密度を差し向ける収集効率
の高いコリメート反射器を形成する。かかる不均一な集
中輻射がサセプタウェーハの周囲を加熱し、これがウェ
ーハの端部での熱損失を補整し、かくして、広範囲な室
ガス圧力及びウェーハ温度にわたって、均一なウェーハ
温度を提供する。
【0027】要約すると、所望の均一な輻射によるウェ
ーハの加熱は、容易に冷却され低温に維持され、しかも
めっきを必要としないコンパクトで簡単な反射器モジュ
ール60に取付けられた小型で廉価なランプよって得られ
る。さらに、近赤外線ランプの使用、及び、黒鉛のよう
な材料で形成された、薄く低質量で熱容量の小さな高輻
射サセプタの使用は、最大効率の、迅速な熱応答、優れ
た温度均一性及び石英窓の透明を提供する。他のサセプ
タ材料には、陽極処理アルミニウム、酸化アルミニウム
又は炭化珪素のような層で被覆した黒鉛、或いは、酸化
アルミニウム又は炭化珪素で被覆した複合セラミック等
の材料が含まれる。また、種々のサセプタ及びウェーハ
の直径に適合するように、種々の高さ又は直径を有する
交換可能な反射器モジュール60を使用することができ
る。リアクタのこの変形は、5〜6inのウェーハに対し
て設計される。しかし、モジュール高さ及び/又はモジ
ュールの半径及びフィラメントサークルを変更すること
によって、より小さな直径の、或いはより大きな直径の
ウェーハに対して、異なるランプモジュールを提供する
ことができる。
【0028】加熱装置30の単純性、低質量及び高性能の
特性が、典型的には両端部をもつ石英−タングステン−
ハロゲンランプの矩形配置を使用する、従来のウェーハ
加熱装置と著しく相違していることに留意すべきであ
る。従来の輻射加熱では、より大きなサセプタを使用し
て、また優れた温度均一性が必要な場合には、ウェーハ
中央部からの輻射を単に遮蔽して、輻射を方向転換させ
るのではなく効率を犠牲にするように行われてきた。従
来の輻射装置と比較して、本発明の輻射装置の利点とし
ては、上述の均一なウェーハ温度、及び一層迅速な応答
時間(加熱及び冷却)に加えて、装置が小型で嵩張らず
維持が容易で信頼性が高く且つ作動がより効率的で低コ
ストなことである。
【0029】容易に接近できる外部輻射加熱装置30の使
用は、ガスパージ装置によって容易にされ維持される。
次節に記載するように、この装置は、窓への蒸着を防止
し窓を清浄に保持するため、パージガス流を石英窓70の
真空側に差し向ける。従って、パージはランプの効率に
対して重要な貢献をする。これにより、クリーニング間
のプロセスサイクルの回数が増加し、その結果、清浄に
するのに要する非稼働時間を減少させる。
【0030】次に、ガスマニホルド26及び関連した分配
装置について説明する。ガス分配装置32は、少なくとも
4つの構造的特徴の特有の組合わせを提供するように構
成されている。第1に、ガスマニホルド26は、電極対の
一方(電力供給側)である。電力供給されたマニホルド
26は高出力を提供する。第2に、ガスマニホルド26及び
他のガス分配面は一定の温度であり、これは、ウェーハ
15上への均一な蒸着に寄与し、かつ、35°C以下で凝
縮し75°C以下で分解又はオゾンと反応するTEOSのよ
うな反応ガスの使用にもかかわらず、プラズマ処理領域
14の上流のガス分配装置内でのガスの分解、蒸着又は凝
縮を防止する。ガスマニホルドの外部温度を例えば10
0°C以下に制御して薄片状の微粒子生成物の蒸着を防
止する。第3に、ガスマニホルド26及びガス分配装置32
は、清浄で均一な蒸着処理を提供する。第4に、導入さ
れた円周方向パージガス流は、ガス分配領域の外側、す
なわちウェーハの外側の室面、及びガス分配装置面上で
の蒸着を防止する。
【0031】ガス分配装置32のこれらの特徴は、図2及
び図10に最も明瞭に示されている。ガスマニホルド26
及び関連した分配装置はハウジングカバー80の一部であ
り、このカバー80は、室13、プラズマ処理領域14、並び
に、ウェーハ及びサセプタエレベータ装置44、46の関連
した内部構成要素を含むハウジングへの内部接近を容易
にするように、ピボット手段(図示せず)によってハウ
ジング12に回動可能に取付けられている。
【0032】ガスフィードスルー装置36からのプロセス
ガス流は、孔開きマニホルド92により形成されたガスマ
ニホルド室90と連通する(即ち、ガスマニホルド室90に
供給する)入口孔88を介して、カバー80に差し向けられ
る。独特に設計されたバッフル板94が、隔離碍子(図示
せず)のような手段によってガスマニホルド室90内に取
付けられ、プロセスガスを、バッフル板94の外縁部を回
り、次いでバッフル板の底部に沿って半径方向内方へ、
マニホルドの孔96を出て、ウェーハ15の上方のプラズマ
処理領域14へ進ませる。
【0033】ガスマニホルド26を備えたカバー80は、入
口溝82、環状溝84及び出口溝86で構成された内部経路81
に沿って、脱イオン水のような流体又は液体によって加
熱(又は冷却)される。この流れは好適には、プラズマ
に曝されたガスマニホルドの面上での蒸着が硬質な薄膜
となるようにするために、マニホルド92を100〜20
0°Cの範囲内に保持する。マニホルド92の面上に形成
される不十分な薄膜が微粒子を生じさせることがある
が、これは回避しなければならない。また、流れはバッ
フル板94を最も好適には35〜65℃の範囲内に保持
し、TEOSのような低蒸気圧プロセスガスの内部蒸着又は
凝縮を防止し、かつ、TEOS及びオゾンのようなガスの分
解及び反応を防止する。このような蒸着は、時間(t) と
温度(T)に正比例することに留意されたい。従って、
ガスマニホルド92とバッフル板94との間の、約0.1 〜0.
2 inの微小な間隙「d」も、内部蒸着の傾向を減少させ
る。
【0034】一例として、二酸化珪素の蒸着と関連した
或るプロセスの適用においては、酸素、TEOS及びキャリ
ヤガスが、0.5 〜200トルの室圧力でガスマニホルド
26からプラズマ処理領域14へ導入され、蒸着のための反
応物種を形成する。ウェーハ15が375°Cまで加熱さ
れ、熱脱イオン水(水温40〜65°C)が流路81に沿
って適当な流れで導入され、マニホルド92を65°C以
下に保持し、TEOSの凝縮を防止し、そしてバッフル板94
を100°C以下に保持する(ガスマニホルド26がRF
電源の陰極であり脱イオン水が不導体であるので、脱イ
オン水が使用される)。より一般的には、水の導入温度
は、ガスボックス90の内面と外面の両方を所望温度に維
持するために、特別の蒸着プロセスとこれに関連したガ
ス化学及び/又は他のパラメータに必要なように選定さ
れる。
【0035】繰り返すが、プロセスガス流は、流路91に
沿って入口孔88を通ってマニホルド室90の中へ、バッフ
ル板94の縁部へ半径方向外方へ、そしてバッフル板の周
囲を回ってその底部へ、次いでバッフル板94とマニホル
ド96との間を半径方向内方へ、孔96を出てウェーハ15の
上方のプラズマ処理領域14の中へ導入される。孔96から
出る蒸着ガスの流路は、ウェーハを略半径方向外方へ横
断している。
【0036】さらに、小容積のプラズマ処理領域14及び
約 0.5トルの近大気圧の範囲の、高い室圧力は、ウェー
ハ15の中央部から半径方向外方へ均一な流れを提供して
ウェーハ上で均一に蒸着させ、ウェーハ以外では蒸着せ
ずにパージする傾向に貢献する。マニホルド孔96は、か
かる蒸着の均一性を助長するように設計されている。孔
(並びに前記マニホルド温度)は又、マニホルド外面
(即ち、底面) 97での蒸着物の形成を回避するように、
特に、処理中及び処理後に薄片となってウェーハ上に落
下する軟質蒸着物の面97への蒸着を防止するように設計
されている。簡単に言えば、孔の配列は、孔96の略同心
円のリングの1つである。隣接するリング間の距離(リ
ングとリングとの間隔)は略等しく、各リング内の孔と
孔との間隔は略等しい。しかし、そのパターンは、2つ
の(或いはそれ以上の)隣接する孔が半径方向に整合し
ないように、角度的にずらされている。すなわち、ガス
分配マニネルド92の孔は、孔位置が半径方向に直線を形
成せず、これによりガス分配マニホルド自体への蒸着を
実質的に減少させ、均一なガス流及びウェーハ上への蒸
着を可能にするように、円周上に等距離間隔隔てられて
いる。
【0037】マニホルド92を貫通する孔の長さ(すなわ
ち、マニホルド92の板厚)及び孔径も又、均一な蒸着を
助長するように選定される。孔長を増加させると蒸着厚
を外側よりも内側で減少させる効果を有し、孔長を減少
させると蒸着厚を内側よりも外側で減少させる効果を有
する。孔径の増加/減少もこれと同様の効果をそれぞれ
有する。
【0038】現在使用されている形体では、約3400
の孔96が使用されている。孔の深さは0.100 〜0.150 in
であり、孔径は0.028 〜0.035 inであり、半径方向の非
対称孔が約0.090 in中心で配置されている。これらの寸
法及び関連した形体は均一な流れパターンを形成し、マ
ニホルド92への蒸着を実質的に減少させる。かかる6in
までのマニホルド径は、略6inまでの大きさのウェーハ
径に適合する。より大きなマニホルド26、より大きなサ
セプタ16、より大きな直径のサセプタ及びウェーハ支持
フィンガに変えることによって、また、ランプモジュー
ル30を上述のように変更することにより、より大きなウ
ェーハを処理することができる。さらに図10を参照す
ると、矢印93、95、97で示されるように、第1の上部パ
ージガス流路が、カバー80及びマニホルド26に設けられ
ている。すなわち、RF/ガスフィードスルー装置36か
らのパージガス流は、カバー80の入口孔98へ送られ(矢
印93)、この入口孔98により半径方向の流路又は溝 100
に供給され、次いでマニホルド室90と同心でこれの上方
かつ外側のカバーに形成された環状溝 102に供給される
(矢印95)。環状の流路変更部 104がマニホルドの縁 1
05の内部に同心状に取付けられており、環状溝 102をマ
ニホルド92の孔 108の3つの外側列に連結する前記縁の
内側に、周囲溝 106を形成する。
【0039】図11に示すように、パージ孔 108は、プ
ロセスガス孔 106と同様に、略等しいリング対リング距
離に間隔をへだてた略同心状に配置されている。リング
内の孔間隔は、パージ孔 108が互い違いの半径方向線を
形成するように、すなわち2つの隣接するパージ孔が半
径方向線に沿わないように、選定される。上述の典型的
なマニホルドでは、ガスは約600の孔から分配され、
リング間の間隔0.009in、孔径0.025 in、孔長0.040 in
の寸法のパージ孔が使用される。
【0040】図2を参照すると、第2の下部パージ流路
101、 103、 105が、ハウジング12の側面に形成された
入口孔 110を介して設けられており、この入口孔 110
は、石英窓70の直上に室13の下部の周囲に略同心状に形
成された環状溝 112に連結されている。環状溝 112は、
室13の下部領域の回りに間隔をへだてた孔等を有してお
り、これらの孔により、石英窓70を均一に横切り(矢印
103) ウェーハ15の下縁を回り(矢印 105) プラズマ処
理領域14の直下の室13を取り巻く水平な石英カバープレ
ート 114を横切って下部パージガスが供給される。ま
た、図1を参照すると、カバープレート 114は、環状ガ
ス出口溝 118と整合した環状パターンの孔 116を有す
る。この溝は、出口孔 121を経て、室内の真空を形成し
使用済ガス及び連行生成物を室から除去する、普通の真
空ポンプ装置(図示せず)に連結されている。
【0041】上述のように(図10参照)、上部パージ
ガス流は、入口98(矢印93)、溝 100、102 及び 106
(矢印95)を通って、処理すべく配置されているウェー
ハ15の外側上端に位置する環状パージ孔 108に出る。同
様に(図2参照)、下部パージガス流は、入口 110及び
環状溝 112を通って石英窓70を横切り窓をパージして清
浄にし(矢印 103)、次いで上方へウェーハ15の下部周
縁へ向かう(矢印 105)。図10を参照すると、上部パ
ージガス流と下部パージガス流とはウェーハの端部で合
流し、矢印 107で示すように、プレート 114を外方へ横
切り、孔 116を通って環状の排出溝 118に入り、流路 1
09に沿って室から出る(図2)。かかる上部と下部との
合流パターンは石英窓70を清浄に保持するだけでなく、
使用済ガス、連行微粒子等を室13の外側へ洗い流す。内
部石英窓室面及び周囲ウェーハ縁に順応する上部パージ
ガス流と下部パージガス流との合流、並びに、高い室圧
力(PECVDのため著しく高い)が極めて有効なパー
ジを提供し、ウェーハ外への蒸着を防止する。
【0042】これと同様に重要な、均一な半径方向ガス
流が、ウェーハ15の周囲の分配板 114に形成された複数
の孔 116(図では5つ示されている)によってウェーハ
15に提供される。これらの孔 116は大きな半円形の排出
溝 118と連通しており、排出溝 118は、単一の出口連結
部 121を介して真空排出ポンプ装置に連結されている。
排出溝 118は、容積が比較的大きいため、孔 116に対し
て大きなコンダクタンスを有しており、1箇所でポンプ
連結しさえすれば、ウェーハから半径方向の全地点にお
ける均一なポンプ吸出が行われる。かかる均一な半径方
向ポンプ吸出は、マニホルド26によって得られる均一な
ガス流分配入口パターンと協働して、全圧力において、
ウェーハを横切る均一なガス流を提供し、従って、20
0トル以上のように室圧力が極めて高い場合でさえも、
均一な蒸着を提供する。また、マニホルド26は、極めて
高い圧力で均一なグロー放電プラズマの電極として使用
することができ、それが極めて速い蒸着速度及び効果的
なパージ流の両方を可能にする。
【0043】次に、アンチブレークダウン・ガスフィー
ドスルー装置36について説明する。上述のように、印加
されたRF電極としてガスマニホルド26を使用する利点
は、接地された対向電極上にウェーハがあることであ
り、このことは、RFエネルギをウェーハに与えガスマ
ニホルドを接地した場合には達成できない高度のプラズ
マ幽閉を可能にする。さらに、ハードウェアは、ウェー
ハ/サセプタと室内との絶縁が必要でないので、機械的
にも電気的にも簡単である。高周波電場及び磁場の存在
下でのサセプタ/ウェーハの温度測定及び温度制御は、
サセプタが接地されると非常に単純化される。
【0044】しかし、高出力で高周波の高電位RFエネ
ルギを、普通のガス分配設備に、特に金属ガス配管とガ
スマニホルドとの間の短い距離に加えると、通常、プラ
ズマ処理室の上流の分配装置内でのプロセスガスの早期
ブレークダウンの原因となる。換言すれば、従来の装置
では、RF電極は分配装置内にプラズマを発生させ、そ
れがガス分配装置の内面へのプロセスガスの好ましくな
い蒸着の原因となっている。本発明のRF/ガスフィー
ドスルー装置36(図10)は、RF出力をガスマニホル
ド26に加えるように、すなわち、プロセスガスのブレー
クダウンなしに且つガス分配装置内での蒸着なしにガス
マニホルドを印加されたRF電極として使用するよう
に、設計されている。
【0045】また、小型でコンパクトなCVDリアクタ
を達成する全目的の1つと一致して、高RF電位をガス
流路と平行に加え一定距離に一定の電位勾配を生ぜしめ
て、ブレークダウンの原因となる大きな局部電場を排除
する我々の設計の目的にもかかわらず、RF/ガスフィ
ールスルー装置36は、コンパクトな設計のものである。
【0046】従来のガスフィールスルー装置では、上記
目的を達成できないことに言及しなければならない。か
かる従来技術の方法が2つ知られている。第1の方法で
は、絶縁管内の高電位差にある表面間にガスを流す。第
2の方法では、ブレークダウンが生じないように十分高
いガス圧力で絶縁体の高電位差の表面間にプロセスガス
を流す。第1の方法は、コンパクトではなく、また、ブ
レークダウンが一層生じやすい高周波数では行われない
(例えば、図15の曲線 201、200 参照)。また、この
第1の方法は、p・d(圧力・距離)積が小さい場合に
は、使用することができない。第2の方法は、第1の方
法よりもコンパクトであり高周波においてブレークダウ
ンすることが少ないが、p・d(圧力・距離)積が小さ
い場合にブレークダウンしやすい。
【0047】次に図2及び主として図10を参照する
と、ガスフィードスルー装置36は、入口端連結具又はマ
ニホルド 120と、出口端連結具又はマニホルド 122と、
石英管126及び細長い絶縁遷移ハウジング 128を有する
中間ガス導入構造体 124とを含む。ハウジング 128は、
真空密取付けを提供するO−リングシール 130を用い
て、端連結具 120と 122との間に取付けられている。石
英管 126の内部孔 127は、入口端連結具のガス入口孔 1
34及び出口端連結具のガス出口孔 136と連通している。
【0048】オゾン発生器、液体TEOS蒸発器及び普通の
加圧ガスタンク又はボトルのような源からの圧力を受け
たプロセスガス及びパージガスは、普通の弁又は一定流
量を入口マニホルド 120に流すための自動流れ制御装置
へ導かれる。プロセスガスは、矢印 131− 135で示され
るように、入口孔 134を介してガス導入装置36に導か
れ、石英管 126を通って出口孔 136から出てガス分配ヘ
ッド32の入口孔88へ導かれる。図10では、説明しやす
いように、ガス導入装置36は拡大して示されている。実
際のガス導入装置36は、ガス出口 136及び 146がカバー
80の合致する溝又は孔88及び98と整合するような大きさ
のものである。
【0049】パージガスは、プロセスガス流路 131-133
-135に沿って漏洩がある場合に、プロセスガスがパージ
ガスとともにプラズマ処理領域14中へ無害に搬送され、
かくして、周囲環境に漏出しないように、プロセスガス
流路と共軸にガス導入装置へ導かれる。入ってくるパー
ジガスは、入口端連結具 120の入口孔 138に導入され
る。孔 138は、スプール形取付具 140に形成された環状
溝 139と連通しており、この取付具 140は、石英管 126
の入口端近くに設けられている。このスプール形取付具
140は、円筒形フランジ取付具 142及びO−リングシー
ル 144によって外端が密封されている。
【0050】環状溝 139は、孔(図示せず)を介して管
126と同心状ブロック 128との間の環状路 147と連通し
ている。環状路 147は、同様に、管 126の出口端のとこ
ろの取付具 140の環状室 139へ供給する。管 126は、入
口端に関して記載したのと同様に出口端に取付けられて
いて、ここで密封されている。出口端において、室 139
は出口孔 136に供給する。かかる構造は、矢印 148-156
で示すように、ガス導入装置36を通る分離したパージガ
ス流路を提供する。
【0051】上述の共軸のガス導入装置は、有毒なプロ
セスガスの周囲雰囲気中への漏洩を防ぐ二重バリヤを提
供する。すなわち、合致する表面間の周囲環境への経路
は、2組のO−リングシール 130、 144によって遮断さ
れる。これらのシールは、周囲環境への漏洩のおそれが
ある経路に沿って直列に配置されている。また、上述の
ように、石英管 126での漏洩のような内部装置の漏洩の
場合には、パージガス流はプロセスガスを処理室14中に
搬送し、処理室でともに室真空装置によって排出され
る。さらに、プロセスガス及びパージガスは、室の構成
要素へ導かれ、すなわち、実質的なブロック/本体、例
えばアルミニウム連結具 120、 122及び絶縁ブロック 1
28へ導かれる。従って、典型的には可撓性の、外部ガス
管がリアクタから除去される。極めて頑丈で強固な流路
取付具と、二重のシールバリヤと、パージガス流がプロ
セスガス流を包囲する共軸のガス流とが、リアクタ及び
ガス導入装置に安全で強固なガス流路を提供する。
【0052】図10に示すように、入口端連結具 120は
接地されている。出口端連結具 122はRF電源28に接続
されており、RFエネルギをカバー80及びマニホルド92
に加える。一定の電位勾配が、接地された連結具 120と
駆動される連結具 122との間のプロセスガス流のカラム
に沿って、石英絶縁管26の表面に沿って3種類の定電圧
勾配要素(抵抗、誘導又は容量(有効)インピーダンス
を提供する高周波コイル;抵抗フィルム;抵抗スリー
ブ)のうち1つを提供することによって与えられる。
【0053】図12に概略的に示すように、RFコイル
160は石英ガス管の端部の間に巻かれたワイヤコイルで
あり、管の長さに沿って高電圧が印加される。好適に
は、ワイヤコイル 160は、NO. 24〜26のAWGアルミニ
ウムワイヤである。ワイヤの材料、直径、長さ当りの巻
数、長さ及び巻付け技術を選定することによって、作動
周波数における所望の電気インピーダンスが得られる。
このACインピーダンスは、純誘導/抵抗インピーダン
ス又は純容量/抵抗インピーダンスを有するように、或
いは、純粋に抵抗性(共振)であるように選定される。
AC及びDC抵抗は、ワイヤの直径、長さ及び材料によ
って選定される。典型的な使用例は高誘導抵抗を有する
が、DC短絡である。13.56MHzのRF電源については、
RFコイル型ガス導入装置のインダクタンスの代表値
は、略10〜15マイクロヘンリーである。抵抗フィル
ム又はスリーブのガス導入については、100〜500
キロオームの抵抗が代表的である。
【0054】或いは、図13に示すように、(「エロダ
クG」(イソプロピルアルコール分散媒体中のミクロン
大の黒鉛粒子のコロイド懸濁液)のような) 一定の電気
抵抗材料及び厚さをもつ抵抗フィルム 162が、石英管 1
26の外側に被覆され、所望の抵抗インピーダンスを提供
し広周波数帯の作動を可能にする。図14に示す第3の
変形例は、一定の電気抵抗をもつ複合セラミックのよう
な材料の中実抵抗スリーブ 164を使用して、所望の電気
抵抗インピーダンス及び広帯域の周波数の作動を提供す
る。 なお、中実抵抗スリーブ 164のさらに別の変形例
としては、所望の周波数において極めて大きな透過性を
備えた強磁性であり且つ一定の電気抵抗を有する材料の
スリーブを使用している。作動は抵抗スリーブ 164と同
様であり、これに付加された利点がある。厳しい環境の
下でブレークダウンが生じた場合には、磁性材料の存在
と、初期高電流及びこれによる高磁場とは、電荷フラッ
クスに対する極めて大きな誘導抵抗を生じさせ、これ
は、プロセスガスにおける電導性を極めて迅速に減少さ
せ、次いで消滅させる。
【0055】図15は、低周波数(100kHz)と高周
波数(13.56kHz)の両方において、定電圧勾配装置のな
い石英管に対して、圧力(トル)の関数として電圧ブレ
ークダウン(キロボルト)の代表的な実験室データを示
している。図15に示されているように、5〜20トル
の圧力範囲に対して、ブレークダウンの範囲は、低周波
数では3〜7kVであり、高周波数では略2〜5kVで
ある。
【0056】図16は、管A(管長10.5cm、管径0.6 c
m、一定電圧勾配装置なし)及び管B(管Aと同じ寸法
で、巻数 160の26AWGワイヤから構成されるワイヤコ
イル型の一定電圧勾配装置あり)に関して、ブレークダ
ウン電圧の実験室試験データを、圧力の関数として示し
ている。管Cは、管長が13.4cmである点を除いて、管B
と同じである。これは、RF/ガス導入装置36が、ブレ
ークダウンを回避し、実際のリアクタの作動条件の下で
プロセスガスを引き続き蒸着させるのに極めて有効であ
ることを示している。実際には、代表的にはリアクタ10
は、図15に示したものよりも高いブレークダウン電圧
を呈する、200トル以上の圧力で使用される。
【0057】要約すると、本発明のアンチブレークダウ
ン・ガス導入装置36は、電荷の印加なしに、プロセスガ
ス流に沿って一定の電圧勾配を提供する。さらに、ガス
導入装置36は、電位差の大きな接地面と駆動面との間
に、抵抗、誘導、容量性電気インピーダンスを有するよ
うに設計される。インピーダンスの選定は、装置の電気
的条件、即ち、低周波数、高周波数、広帯域、直流作動
等によって決まる。この一定の電位勾配は、高周波数又
は低周波数において、ガス分配装置32及びガス導入装置
36の内部での時期尚早なブレークダウン及び蒸着を有効
に阻止する。さらに、共軸のガス導入(石英管の外側の
パージガス流と石英管の内側のプロセスガス流)を含む
ガス導入装置36の構造は、プロセスガスの漏洩に対して
極めて耐性があり、プロセスガスの漏洩をパージして排
出する。
【0058】最後に、温度制御された水を、プロセス及
びパージ溝から隔離されたガス導入装置36の溝(図示せ
ず)に流入させて、ガス導入装置内のガスの温度を制御
(加熱又は冷却)することができる。オゾン又はTEOSの
ようなガスを使用する代表的な用途では、例えば、凝縮
(ガス導入装置が冷たすぎる場合)、分解(熱すぎる場
合)や化学反応(熱すぎる場合)が管内で生じないよう
に、ガス温度を制御することが重要である。ガス導入装
置内で生ずる上述の現象は、所望のプロセスに大きな影
響を及ぼす。さらに、ガスが石英管内で反応した場合に
は、管内で蒸着が生ずる。
【0059】次に、以上述べた重要な特徴の要約につい
て説明する。まず、ウェーハの均一な加熱に関して、本
発明のリアクタは、熱効率、迅速な熱応答(冷却及び加
熱)、薄い円形ウェーハに固有の非均一な熱損失にもか
かわらずに良好な温度均一性、そして石英等の室窓の良
好な透過性(輻射加熱モジュールの外部取付けを可能に
する)を提供するため、調整された、均一でない近赤外
線輻射加熱パターンと、薄くて低質量の高輻射率のサセ
プタとを使用する。
【0060】次に、 ガス分配装置に関しては、RF電
源で印加されたガスマニホルド26は、必要な電力を提供
してプラズマの幽閉を可能にする。また、ガスマニホル
ド及び半径方向排出ポンプによって得られる均一なガス
入口流れパターンは、均一なガス入口及び排出ポンピン
グと、ウェーハにわたる均一な半径方向ガス流とを提供
し、超高圧を含む広範囲の圧力範囲にわたって均一な処
理(蒸着/エッチング)を可能にする。半径方向のポン
ピング及び高圧の能力は、プラズマ/反応物種のウェー
ハへの幽閉を可能にし、そして、効果的なパージを可能
にする際、ウェーハ面上を除いた室内での蒸着を回避す
る。ガスマニホルドの正確に温度制御された内面および
外面は、ガスボックス内において分解、反応、凝縮等を
回避し、外部ガスボックス面への好ましくない粒状生成
蒸着物を除去する。約35°C以下でのTEOSの内部蒸着
又は凝縮を回避し、かつ、約70°C以上でのオゾンと
TEOSの内部分解又はこれらの反応を回避するために、例
えば、温度制御された水をガスボックス内を循環させて
温度を約35〜75°Cに維持し、そして、薄片状の外
部蒸着を回避するために、ガスマニホルドの外面を約1
00°C以上に維持する。
【0061】次に、ガス導入装置に関しては、ガス導入
装置は、ガスボックスへの高圧RF電力の印加、並び
に、ガスのブレークダウンなしに、ガスボックスへのパ
ージガスおよびプロセスガスの導入を可能にする。次
に、ウェーハ移送装置に関しては、1軸ロボット・サセ
プタ/ウェーハ支持及び搬送装置が、外部ロボットブレ
ード上に載せたり下ろしたりして、室内の所定位置にウ
ェーハを搬送するようになっている。この移送装置は、
サセプタ/ウェーハとその上に位置するガスマニホルド
との間に平行な可変緊密間隔を提供し、関連したサセプ
タ支持フィンガとサセプタエレベータ装置の垂直移動を
選定しさえすれば、間隔を変えることができる。かかる
平行性は、一方の側から他方の側への流入の傾向を除去
することによって、プラズマの安定性と均一性を増大さ
せ、かくして、均一な処理(蒸着及びエッチング)を可
能にする。ガスマニホルドの外面とウェーハとの間の、
可変緊密距離dは、異なる種類のプロセスの実行を容易
にする。また、かかる間隔を例えば1cm、0.5 cm或いは
それ以下の微少な可変寸法に設定して、ガス分配装置と
ウェーハとの間のプラズマ及び/又はガス反応物種の幽
閉を可能にする。かかる幽閉は反応有効性を増大させ、
反応比率(蒸着又はエッチング)を増大させ、室圧が極
めて高圧の場合においてさえも、ウェーハ上以外に蒸着
しないようにするのを助ける。
【0062】次に、広範囲の圧力、高圧の形態に関し
て、高圧の能力は、均一な半径方向のポンピング、ガス
マホルドによって形成される均一なガス流、電極間の可
変間隔によって得られ幽閉、及び、高密度のRF電力の
ガスマニホルドへの印加を含む、上述した多数の因子に
よって得られる。上述の特徴を要約すると、広範囲の圧
力、サセプタウェーハの温度均一性、均一な流れ分布、
電極(入口ガスマニホルドとサセプタ)間の平行な可変
緊密間隔、内部/外部ガス入口マニホルド面の温度制
御、となる。
【0063】代表的には、これらの特徴のうち少なくと
も幾つかは、本発明のリアクタを使用する処理の各々に
とって極めて重要である。これらの重要な特徴は、各プ
ロセスを基にすると以下のように要約される。すなわ
ち、熱CVDに関しては、広範囲の圧力、高圧の形態、
サセプタ/ウェーハの温度均一性、均一な流れ分布、電
極の可変緊密間隔、特にTEOSやオゾンのような不安定ガ
スを使用した場合における、内部/外部入口ガスマニホ
ルド面の温度制御である。
【0064】また、プラズマCVDに関しては、広範囲
の圧力、高圧の形態、均一な流れ分布、電極の平行な可
変緊密間隔、内部/外部ガス入口マニホルド面の温度制
御である。薄膜の原因となる多孔室の粒状物の蒸着を回
避するために、ガスボックスの外面を100°C以下に
温度制御するのが重要である。
【0065】高圧作動はイオンの散乱を増大させ、イオ
ンの散乱は、ウェーハへの衝撃及び損傷を減少させ、ス
テップカバレージを高める。何故ならば、高圧作動が、
ガス分子が十分な比率で反応して表面上への好ましくな
い粒状物の蒸着を引き起こすことがない程度までガス分
子の集中を弱めるからである。高圧能力及びこれに関連
した減少した衝撃は、所定の電力/電圧反応比に対する
衝撃レベルを減少させ、或いは、高電力/電圧を使用し
て所定の衝撃レベルに対してより高い反応比を得ること
を可能にする。また、均一な流れ分布は、特に本発明の
リアクタにおいて使用される1cm以下の緊密な間隔にお
いて、重要である。何故ならば、これがプラズマを幽閉
し、かくして清浄な作動を高めるからである。平行性を
保持して電極の間隔を調整する能力は、蒸着に必要なプ
ラズマを安定性を備えた緊密間隔の使用を可能にする。
【0066】プラズマエッチバックに関しては、広範囲
の圧力、高圧の形態、電極の可変緊密間隔が重要であ
る。高圧能力と組み合わせた大きな可変間隔は、効果的
なエッチバックを可能にする。電極間の可変間隔は極め
て重要である。何故ならば、かかる間隔が、蒸着に使用
される電極間隔を変えることなしにエッチング及び他の
処理を最適にするのに重要だからである。例えば、熱C
VDおよびプラズマCVDについては1cm以下の代表的
な間隔が使用され、エッチバックは0.4in又は1cmの
間隔を必要としている。
【0067】リアクタのセルフクリーンに関しては、広
範囲の圧力の形態、電極(入口ガスマニホルドとサセプ
タ)の平行な可変緊密間隔が重要である。可変圧力と組
み合わせた可変間隔は、効果的なリアクタのセルフクリ
ーニングを可能にする。ここで、所要の間隔dの略0.
4in又は1cmは、例えば熱CVDで必要な間隔よりも大
きい。比較的大きな間隔及び広範囲の圧力形態は、(蒸
着プロセスに必要なように幽閉するのではなく)プラズ
マをリアクタ内で拡散させ、リアクタ全体を清浄にす
る。代表的なセルフクーリングの順序は、500WのR
F電力、代表的には0.1slm 、圧力0.5トル、略
0.4inでのガスNF3又は他のふっ素を含有している
化学的性質を使用しており、0.5ミクロン/sum の衰
退比率を有している。
【0068】スパッタリングに関しては、広範囲の圧
力、高圧の形態、電極(入口ガスマニホルドとサセプ
タ)の平行な可変緊密間隔が重要である。ここで、0.
2inの間隔と組み合わせた高圧能力、並びに、高い電力
レベルでのガスボックスは、アルゴンのような化学的性
質、又はSiCl4 のような他の重分子ガス物質を使用し
て、酸素又は他の誘電体のような材料のスパッタリング
を可能にする。代表的なスパッタリングプロセスは、7
00WのRF電力の印加、ガス流量0.1slm 、圧力1
0mt、0.2〜0.5inの電極間隔dを伴う。
【0069】次に、多段階現場平坦化方法および工程に
ついて説明する。ここで述べる処理工程および多段処理
工程は、リアクタ10で行われた。オゾンやTEOSのよう
な感温ガスと、CVD、PECVD、エッチング、現場
セルフクリーニングのような異なる工程を使用して多段
工程を実施する能力は、リアクタ10を極めて好適なもの
とする。しかしながら、ここでの処理の開示により、当
業者にとっては、単一のプロセスであるにもかかわら
ず、処理シーケンスを実施すること、及び、かかるリア
クタを例えばプロセスの使用に適合させることが可能に
なるであろう。
【0070】SiO2の低温熱CVDに関して、二酸化珪素
の極めて良好な熱CVDは、TEOSや酸素の熱分解を使用
する方法の改良である。この熱CVDは、良好な被膜特
性(略100%)の二酸化珪素が、約200〜500°
Cのウェーハ温度となるランプ輻射加熱を使用した比較
的低温でかつ高圧で、反応物TEOSとオゾンの熱CVD蒸
着によって形成されるという発見に、部分的に基づいて
いる。オゾンは反応運動の活性エネルギを低下させ、約
200〜500°Cの比較的低温においてTEOSで二酸化
珪素を形成する。市販されている高圧のコロナ放電オゾ
ン発生器が、酸素中の(4〜8)重量%のオゾンの混合
物をガス分配器に供給するのに使用される。ヘリウムの
キャリヤガスが液体TEOSによってあわ立てられ、TEOSを
蒸発させ、ヘリウムキャリヤガス中の希釈されたガス状
TEOSをガス分配器に供給する。
【0071】特に、熱CVD法は、反応物としてオゾン
(O3) 、酸素、TEOSを、低温(約200〜500°
C)、高圧(約10〜200トル、好適には、40〜1
20トル)で使用して被膜特性の良好な二酸化珪素の被
膜を蒸着させ、この被膜はボイド、カスプやその他の異
形形状を修正して実質的に平らな面を形成する。この好
適な実施例においては、オゾンは2〜3slm の流量で加
えられ、ヘリウムキャリヤガスの流量は50sccm〜1.5s
lmであり、室圧力は40〜120トルであり、ウェーハ
温度は375+20°C或いは−20°であり、これに
より、極めて被覆特性の良好な、ドープされていない二
酸化珪素の被膜が、3000オングストローム/分の蒸
着速度で形成される。
【0072】上述のように、リアクタ10のガス分布マ
ニホルド(ガス分配器26)は、内部通路を循環する温
度20〜50°Cの脱イオン化水によって制御され、ガ
ス分配器26の内面を、約35〜75°Cの狭い温度範
囲内に維持し、すなわち、TEOSの分解及びTEOSとオゾン
との反応を阻止するため約75°C以下に、かつ、ガス
分配器内でのTEOSの凝縮を回避するため約35°C以上
に維持する。
【0073】温度制御されたガス分配器から基板面への
距離dは好適には、約1cm以下である。かかる1cm以下
は、ガスマニホルド26とウェーハ15との間に、プラズマ
又はガス状反応物を幽閉する。これは反応効率を増大さ
せ、反応(蒸着)速度を増大させ、ウェーハ以外での蒸
着を阻止するのを助ける。本発明の熱CVDプロセス
は、極めて高い蒸着室圧力を使用する。すなわち、好適
には少なくとも10トル以上で且つ約20〜200トル
の圧力が使用される。かかる圧力の下限部分でさえも、
TEOSを利用するプロセスで通常使用される全圧力の20
倍以上である。高圧力は、利用する反応種の密度を増大
させ、かくして、迅速な蒸着速度を提供する。
【0074】さらに、高圧の使用により、効果的なパー
ジが可能になる。パージ流量が多くなると、室面へ好ま
しくない蒸着を生じさせることなしに、粒状物等を同伴
した廃棄ガスを除去する能力が向上する。上述の下部パ
ージ流は、サセプタウェーハの底面を半径方向外方に流
れる。かかるパージ流は、ウェーハの周囲で下方に差し
向けられる上部パージ流と合流する。合流した流れはウ
ェーハの周囲から半径方向外方に流れ、蒸着ガスを半径
方向外方へ均一に、次いで極めて高速で室の排出装置を
通して流れさせる。例えば、有用な上部パージガス流
(好適には窒素)の流量は1〜10slm であり、下部パ
ージガス流(好適には窒素)の流量は1〜20slm であ
る。これらの高圧で高速の上部及び下部パージガス流
は、ウェーハの上部での均一な蒸着ガスの分配を損なう
ことなしに、好ましくないガスや粒状物をパージする。
【0075】リアクタ10を使用して、現在意図してい
るヘリウムガスの有用な流量範囲は、100sccm(sccm
=標準cm2 /分)〜5slm (slm =標準リットル/分)
であり、関連したオゾンO3 の流れは、約100sccm〜
10slm の流量で流れる酸素中の4〜8重量%オゾンの
組成物によって得られる。パージガスを含まない全ガス
流量は代表的には、200sccm〜15slm の範囲にあ
る。
【0076】上述のガス流、室圧及びその結果生ずる室
温により、約500オングストローム/分〜4000オ
ングストローム/分の二酸化珪素の蒸着速度が得られ
る。約500オングストローム/分〜4000オングス
トローム/分の蒸着速度が、図22に示すように、対応
する200〜500°Cの温度で達成されるが、蒸着速
度は375+25°C或いは−25°Cでピークに達す
る。かかるピークの上下において蒸着が減少するのは、
基板面での好ましくない反応運動エネルギの結果であ
る。ピーク温度は、アルミニウムを含有している導体に
ついては、約400°Cの最大処理温度に近い。400
°C以上では、アルミニウムにはヒルロック(hillock)
が形成される。500°C以上では、アルミニウムが軟
化する。
【0077】図23を参照すると、(オゾンと酸素);
ヘリウム流量比2:1(酸素中の8重量%のオゾンの2
slm :1slm のヘリウムキャリヤとTEOS) を使用した場
合には、(TEOS温度35°Cを使用して) 蒸着速度30
00オングストローム/分、室圧80トル、ウェーハ温
度375°Cで飽和し、より高圧では殆ど増加しない。
80トルにおいて温度を200〜375°Cまで減少さ
せると、蒸着速度は1000〜3000オングストロー
ム/分までそれぞれ減少する。20トルでは、温度が2
00〜375°Cであると、蒸着速度は500〜100
0オングストローム/分となる。圧力が約120トル以
上では、ガス相の反応により粒状物が増加する。これ
は、ウェーハ温度を減少させたり希釈流量を増加させる
ことによって制御することができるが、これらの工程は
蒸着速度を減少させる。
【0078】このようにして得られた薄膜は、ひび割れ
抵抗、密度、屈折率、収縮、エッチング比、ブレークダ
ウン電圧、フラットバンド電圧、移動イオン汚染、ピン
ホール密度及び酸化珪素純度のような向上した物理的・
電気的性質を有するものとして特徴付けられるが、重要
な観点は、段差の垂直及び水平面上、並びに、他の面の
凹凸の100%に近い良好な被覆である。従って、オー
バーハングやカスプ、ボイドのような問題は困難なこと
ではなくなり、これにより、このような問題を取り除き
又は平坦化を達成する、引き続く処理を最小にしたり或
いは除去したりさえする。
【0079】さらに、かかる良好な被覆は、非ドープ酸
素物被覆を使用して得られる。従来の方法は、蒸着酸化
物を平滑にするためにリフローを使用し、リフロー温度
を降下させるためにリン又はホウ素ドーピング(ホスホ
シリケートガラス、PSG、ボロシリケートガラス、B
SG、ボロホスホシリケートガラス、BPSG)を混合
する。本発明の熱CVD法は、リフローの必要性を排除
し、かくして、PSG、BSG、BPSGの使用、並び
に、アルミニウム腐食のような関連した問題を排除す
る。しかしながら、所望ならば、本発明の熱CVD法に
おいて、被覆特性の良好な酸化物を、低水準、例えば1
〜10重量%のリン及び/又はホウ素を、反応物例えば
TMS(テトラメラルホスファイト) 及び/又はTMB
(テトラメチルボレート)に混合することによって、ド
ープすることができる。低濃度のドーピング水準は、十
分なリフロー特性を提供する。
【0080】また、ある観点では、本発明の方法は、例
えばスピン・オン・グラス及びポリイミド蒸着をエッチ
バックとともに使用する、二酸化珪素を平坦化するため
の従来の方法の改良である。本発明の別の観点は、上述
の熱CVD二酸化珪素蒸着法を使用して誘電体層を実質
的に平坦化し、次いで湿式又は乾式等方性エッチングを
好適には高いエッチング速度で使用して平坦化処理を仕
上げる。種々の湿式又は乾式等方性エッチング工程と、
上述の低温熱CVD酸化物蒸着法との組み合わせは、平
坦化した誘電層を提供するが、かかる平坦化した誘電体
層は、現在開発中であり将来開発される極めて微細な幾
何学的形態を有する多層金属構造に役立つ。現場で行う
ことができる現在好適な乾式等方性エッチング工程を、
以下に説明する。
【0081】別の観点では、本発明は、第1段階とし
て、好適には高蒸着速度で酸化珪素層を形成し、第2段
階として、上述のオゾン及びTEOS熱CVD酸化物蒸着法
を使用して良好な被覆特性の酸化物被覆を形成し、次い
で、第3段階では、好適な高速度等方性エッチングを使
用して平坦化処理を迅速に完成させる、3段階処理で具
体化される。
【0082】本発明の別の観点では、上述の熱CVD酸
化物蒸着処理は、参照された多数のCVD/PECVD
蒸着室において3段階の高蒸着速度、高スループット平
坦化処理において使用される。平坦化処理を既存の誘電
体層上で行うことができ、平坦な誘電体を形成するため
単独で使用することもできる。好適な第1の段階は、P
ECVD酸化物蒸着である。PECVD酸化物蒸着法
は、ヘリウムのような希釈液とともに或いはかかる希釈
液なしにTEOS、酸素及びキャリヤガスで形成されたプラ
ズマを使用する。かかる処理は、約1トル〜約50トル
の蒸着室の圧力、100〜1000sccmの酸素流量、1
00〜1500sccmの不活性キャリヤガス(ヘリウ
ム)、200〜2.5リットル/分の(パージガス流を
含まない)全体ガス流、及び約200〜400ワットの
直径略6inのガス分配カソードの高周波電源を使用す
る。ガス分配器/カソードの電力密度は、略平行な板形
態に対する計算によれば、約1ワット/cm2 である。輻
射エネルギは、垂直ランプの環状列によって下方からサ
セプタに差し向けられ、蒸着プラズマを生成しウェーハ
面を300〜500°Cまで加熱する。流量がそれぞれ
1〜15slm と1〜20slm の上部パージガス流(窒素
とヘリウムの混合物)及び下部パージガス流(窒素の
み)が使用されるが、好適な流速はそれぞれ、2.5sl
m と10slm である。これらのパラメータにより、二酸
化珪素の蒸着速度は約500〜1000オングストロー
ム/分、代表的には、0.4cm≦d≦1cmとなる。
【0083】6inのウェーハに対するPECVD酸化物
蒸着段階のための現在好適な作動パラメータは、酸素が
600sccm、ヘリウムが900sccm、全体流れが160
00sccm(パージを含む;パージを除いて1500scc
m)、圧力が10+2トル或いは10−2トル、温度が
375°C+20°C或いは375°C−20°Cであ
る。パラメータは、ガス分配器とウェーハ面との距離d
が略0.4cmの場合には、二酸化珪素の蒸着速度が約8
500オングストローム/分となる。 明白なことは、
TEOSガス化学に基づいていて、重要な二酸化珪素蒸着段
階と実質的に同じ室条件を有する、上述の高圧PECV
D酸化物蒸着法は、同じ室の使用を可能にするだけでな
く、好適でもある。
【0084】シラン及び酸素の使用、並びに、圧力が約
5トル以下、温度が700〜800°Cの範囲における
TEOSの熱分解を含む、化学蒸着によって二酸化珪素を蒸
発する、幾つかの方法が知られている。この熱分解プロ
セスは、窒化珪素、シラン及びアンモニアプラズマ、又
はオキシニトリド、シラン、アンモニア及びN2 Oプラ
ズマとともに使用することができる。圧力1トル以下に
おいてTEOSを使用するPECVDは又、スピン・オン・
グラス及びポリイミドの使用を含む変形で使用される。
【0085】現在のPECVDは、CVDで蒸着された
二酸化珪素の蒸着速度、ひび割れ抵抗及び物理的・電気
的性質を向上させるための方法を提供する。本発明は
又、二酸化珪素を蒸着させ、これにより、蒸着層のステ
ップカバレージを改良し応力を小さくする方法を提供す
る。特に、本発明のPECVD法は、上述の有利な改良
点を得るために、TEOSのPECVDのための改良された
方法を提供し、これにより、蒸着の好適な条件は、(5
0トルまでは)通常の圧力よりも高く、温度が約200
〜400°Cである。TEOSを使用するPECVDの通常
の条件は、(キャリヤガスを含んで)全圧力が約1トル
までであり、プラズマ中の酸素の圧力は約0.4トルで
ある。蒸着の際、基板の温度は通常、ほぼ室温から約4
00°Cまで徐々に上昇する(例えば、マッケン等によ
る「薄膜」、97:53〜61(1982) 参照)。
【0086】また、本発明による蒸着された二酸化珪素
の被覆は、改良されたひび割れ抵抗を有し、かつ、他の
方法によって蒸着された二酸化珪素上に改良されたステ
ップカバレージを有する。ステップカバレージの問題
は、ステップ基板の隅部でのマッシュルーム形に蒸着さ
れたオーバーハングの形成として論じられる。かかるオ
ーバーハングを、引き続く処理によって除去しなければ
ならず、かくして、処理を複雑にする。本発明の処理を
利用することによって、オーバーハングの問題の困難さ
が減少し、これにより、この問題を矯正するための処理
量を最小にし或いは除去しさえする。
【0087】本発明によって形成された二酸化珪素の被
覆は応力が小さく、指数−IE9(圧縮)〜+IE9
(引張り)(好適には、−IE8)によって一般的に特
徴付けられる。また、本発明による被覆は、改良された
物理的・電気的特性を呈し、そして、密度、屈折率、収
縮パラメータ、エッチング速度、ブレークダウン電圧、
フラットバンド電圧、移動イオン汚染、ピンホール密度
及び酸化珪素の純度を向上させる。
【0088】最後に、本発明による方法を利用すること
によって、特に、上述の係属中の出願に開示された装置
においては、高圧での作動(現在は50トルまで)は、
プラズマを基板頂部に幽閉させ、かくして、蒸着するの
が望ましくない室の両側及び面を汚染しにくくする。好
適には、第3段階は、ヘリウムのようなドーピングガス
中のCF4 、NF3、C2 6 から選定したふっ化ガス
から形成されたプラズマに、既存の二酸化珪素面を曝
し、約100〜500°C、好適には200〜400°
Cの温度でプラズマを室内で安定させる段階を有する高
速等方性エッチング処理である(ヘリウム及び/又は酸
素を導入し炭素を含んだガスを形成する)。これらのガ
スのための全体ガス室圧力は、約200mt〜20トルの
範囲にあるのが有用である。プラズマの全ガス流量は、
当業者によって決定される。しかしながら、20sccm〜
3.5slm の実施可能な範囲が、参照された多数処理C
VD/PECVDリアクタに開示された装置にとって有
用である。好適な全ガス流量は、約170sccm〜1.2
5slm の範囲にある。NF3 (又はC2 6 )のガス流
の有用な範囲は、約10sccm〜500sccmであり、好適
には約70〜200sccmである。通常はヘリウムである
ドーピングガスの個々の流量は、約10sccm〜3.5sl
m であり、好適には100sccm〜1slm である。ランプ
モジュールからの輻射電力と一緒に0.5〜1ワット/
cm2 の高周波電力密度を使用して、500°C以下、好
適には200〜400°Cの基板温度を提供した。距離
dは、0.4cm以上とした。0.4cm以下では、プラズ
マの不安定性が増大する。また、dが1cm以上に増大す
ると、エッチングが効果的になり、他の構成要素をエッ
チングする。
【0089】比較的高温を使用したとき、二酸化珪素の
急速で正確な等方性エッチングが達成されることが分か
った。特に、500オングストローム/分〜1ミクロン
/分のエッチング速度が得られ、エッチングは、等方性
で滑らかに達成される。等方性エッチング処理が一般的
に例えば金属接点を形成するのに有用であるが、上述の
ように、二酸化珪素のステップカバレージ層の輪郭を制
御するのに特に有用である。特に、この均一の高速等方
性エッチングは、二酸化珪素の蒸着から生ずる実質的に
平坦な外形にさらに平坦にするという利点を有する。
【0090】この高速等方性エッチング段階は、2つの
上述の二酸化珪素の蒸着段階に使用した同じリアクタで
行われた。その結果、この好適な順序は、微細な幾何学
的段差や溝等を有する非平坦層の上にさえも、平坦にさ
れた二酸化珪素層を形成するための、結合した3段階の
方法を提供する。TEOS及びオゾンの熱CVD酸化物蒸着
段階、高速PECVD酸化物蒸着段階及び高速エッチン
グ段階と協同して、3つの段階全てに対して同じ室を使
用することができることは、高速、高スループットの平
坦化プロセスを提供し、汚染やウェーハの損傷がしにく
く、ウェーハを繰り返し別の室へ移すときに生ずる他の
問題を引き起こしにくい。
【0091】上述の3段階の平坦化プロセスの使用は、
図20及び図21に概略的に示されている。図20に示
されているように、第1の段階は、二酸化珪素の比較的
厚い段付き層 182を、ステップトポグラフィ 181の上に
極めて速い蒸着速度で形成する。次いで、第2の段階
は、下に深い段差 184が位置しているにもかかわらず実
質的に平坦にされた良好な二酸化珪素層 183を形成する
のに使用される。最後に、図21に示すように、第3の
等方性エッチング段階は、もしあれば層 183の残留ステ
ップトポグラフィの下まで上面 185を迅速にエッチング
し、これにより、引き続く層のために滑らかな平坦な面
187を提供する。
【0092】さらに、上述の3段階処理は、二酸化珪素
のための本発明の平坦化処理の現在の好適な実施例であ
るが、他の初期誘電体被覆及び他の等方性エッチング段
階と組み合わせて、オゾン及びTEOS熱CVD段階(第2
段階)を使用することができる。例えば、オゾン熱CV
Dの第2段階は、極めて良好な二酸化珪素の被覆を、C
VD又は他の方法で形成された酸化珪素、窒化珪素、シ
リコンオキシニトリド又は他の誘電体層上に蒸着させる
のに使用することができ、このようにして得られた実質
的に平坦な層を、他の好適には高速で乾式(例えばプラ
ズマ)又は湿式化学的等方性エッチング法を使用して、
平坦な形体にエッチングすることができる。
【0093】次に室のセルフクリーニングに関しては、
等方性の室セルフクリーニングエッチング・シーケンス
は、圧力約600mT〜10トル、高周波電力密度1〜2
ワット/cm2 、距離dが約1cm及び、等方性エッチング
処理に対して上述した、ガス流速を含む、その他のパラ
メータで、ふっ化ガスを使用して行われる。このプロセ
スを使用して、参照した多段階CVD/PECVDリア
クタを清掃した。5μ厚までの二酸化珪素の薄膜をウェ
ーハ上に蒸着した後、室を約1分で清掃することができ
る。
【0094】次に、上述の蒸着、エッチング及びセルフ
クリーニング段階を単独で或いは一緒に使用することが
できる方法のうち幾つかを示したリストを、以下に示
す。第1に、オゾン、酸素及びTEOSを使用した熱CVD
酸化物蒸着法を単独で使用して、例えば完全なステップ
カバレージなしで或いは完全にステップカバレージして
不完全トポグラフィ上に成形された既存の誘電体層を平
坦化することができる。
【0095】第2に、良好な酸化物形成熱CVD段階を
既存の誘電体層に使用し、次いで、等方性エッチング段
階を行い、平坦なトポグラフィに非平坦をエッチングす
る。第3に、中間段階誘電体のような誘電体を形成する
のにそれ自体を使用することができるか或いは既存の誘
電体の不完全なステップカバレージ及び/又はトポグラ
フィを修正するのに利用することができる、好適な高速
で平坦な誘電体形成シーケンスにおいては、上述の高速
PECVD酸化物段階を使用し、次いで良好な酸化物形
成熱CVDシーケンスを行う。等方性エッチングを使用
して非平坦特徴を除去する好適なシーケンスでは、高速
PECVD酸化物蒸着シーケンスを利用し、次いで被覆
特性の良好な酸化物形成熱CVD段階を行い、次いで等
方性エッチング段階、好適には上述の高速プラズマ等方
性エッチングを行う。
【0096】特別な状況/課題に対して、多くのその他
の組み合わせが可能である。例えば、RF電力印加前に
感光装置又はその他の構造体上に薄い防護酸化物層を形
成しようとする場合には、以下のシーケンスが好まし
い。すなわち、熱O3 CVD酸化物蒸着、高速PECV
D酸化物蒸着、熱O3 CVD酸化物蒸着、PECVD酸
化物蒸着、及び、高速等方性エッチングである。
【0097】また、段差トポグラフィ上に極めて厚い平
坦な酸化物を形成するために、以下のシーケンスのうち
第1の2つのステップを使用して段差を平坦にし、次い
で、高速酸化物蒸着を施して、平坦面上に極厚酸化物層
を形成する。すなわち、高速PECVD酸化物蒸着、熱
3 CVD酸化物蒸着、及び、高速PECVD酸化物蒸
着である。
【0098】変形例として、直ぐ上で述べた極厚の平坦
な酸化物プロセスは、完全な平坦化及び所要厚を達成す
るため、最終段階として等方性エッチングを含むことが
できる。最後に、所望ならば、等方性室セルフクリーニ
ングを、他の処理シーケンス内に或いは他の処理シーケ
ンスの最後に、挿入し、室をクリーン蒸着自由状態に維
持することができる。
【0099】種々の好適な実施例に関連して本発明を説
明してきたが、添付の特許請求の範囲に記載した本発明
の範囲を逸脱することなしに、当業者は種々の変形を行
うことができる。
【図面の簡単な説明】
【図1】カバーを回動させて開放した状態を示した、本
発明の熱CVD/PECVDリアクタの好適な実施例の
平面図である。
【図2】カバーを閉鎖した状態を示した、図1の線2−
2における、部分概略垂直横断面図である。
【図3】図1の線3−3における、ウェーハ移送装置の
垂直横断面図である。
【図4】ウェーハ移送装置の内部にウェーハを位置決め
しウェーハをサセプタから取り除く、一連の作動状態を
示した略図である。
【図5】ウェーハ移送装置の内部にウェーハを位置決め
しウェーハをサセプタから取り除く、一連の作動状態を
示した略図である。
【図6】ウェーハ移送装置の内部にウェーハを位置決め
しウェーハをサセプタから取り除く、一連の作動状態を
示した略図である。
【図7】ウェーハ移送装置の内部にウェーハを位置決め
しウェーハをサセプタから取り除く、一連の作動状態を
示した略図である。
【図8】ウェーハ移送装置の内部にウェーハを位置決め
しウェーハをサセプタから取り除く、一連の作動状態を
示した略図である。
【図9】図2の線9−9における、輻射ランプ加熱組立
体の縮小水平横断面図である。
【図10】プロセスガス/パージガス分配装置及びRF
/ガスフィードスルー装置をより詳細に示した、図2の
部分拡大図である。
【図11】ガスマニホルドの部分拡大底面図である。
【図12】ガスフィードスルー装置の選択態様の略図で
ある。
【図13】ガスフィードスルー装置の選択態様の略図で
ある。
【図14】ガスフィードスルー装置の選択態様の略図で
ある。
【図15】定電圧勾配装置のない低周波及び高周波出力
に対する破壊電圧を圧力の関数として示したグラフであ
る。
【図16】定電圧勾配装置を有し、及び有しない破壊電
圧を圧力の関数として示したグラフである。
【図17】誘電体層の段差面上への蒸着に関連した到達
角度を例示したIC回路の概略断面図である。
【図18】平坦化に対する溝幅の影響を示した図17と
同様の図である。
【図19】平坦化に対する溝幅の影響を示した図17と
同様の図である。
【図20】本発明の平坦化プロセスの適用から得られる
酸化物被膜の平坦化を示した、図17と同様の図であ
る。
【図21】本発明の平坦化プロセスの適用から得られる
酸化物被膜の平坦化を示した、図17と同様の図であ
る。
【図22】本発明の酸化物蒸着プロセスに対する蒸着速
度を温度の関数として示したグラフである。
【図23】本発明の酸化物蒸着プロセスに対する蒸着速
度を圧力の関数として示したグラフである。
【符号の説明】
10 リアクタ 18 ウェーハ移送装置 26 ガスマニホルド 30 ランプ加熱装置 32 ガス分配装置 36 RF/ガスフィードスルー装置
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/31 H01L 21/31 C (72)発明者 ジョン エム ホワイト アメリカ合衆国 カリフォルニア州 94541ヘイワード コロニー ヴィュー プレイス 2811 (72)発明者 カム エス ロウ アメリカ合衆国 カリフォルニア州 94587ユニオン シティー コノソ プ ラザ 101 (72)発明者 シシー リューン アメリカ合衆国 カリフォルニア州 94587ユニオン シティー アンティオ ク ループ 4954 (72)発明者 サルヴァドル ピー ウモトイ アメリカ合衆国 カリフォルニア州 94565ピッツバーグ シャロン ストリ ート 62 (72)発明者 ケニス エス コリンズ アメリカ合衆国 カリフォルニア州 95129サン ホセ ムーアパーク 4838 (72)発明者 ジョン エイ アダミク アメリカ合衆国 カリフォルニア州 94583サン ラモン キッタリー アベ ニュー 3072 (72)発明者 イルヤ パーロフ アメリカ合衆国 カリフォルニア州 94040マウンテン ヴィュー 207 エル モント 1030 (72)発明者 ダン メイダン アメリカ合衆国 カリフォルニア州 94022ロス アルトス ヒルズ ムーリ エッタ レーン 1200 (56)参考文献 特開 昭62−214177(JP,A)

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 ウェーハ(15)を水平に取付けて処理
    するための真空室(13)を有するハウジング(12)
    と、 前記真空室(13)内のウェーハ処理位置の上方に水平
    に取付けられ、前記ウェーハ処理位置にあるウェーハ
    (15)に反応ガスを供給するためのガスマニホルド
    (26)と、 前記真空室(13)内の前記ウェーハ処理位置の周囲に
    環状に配置された複数のガス排気孔(116)を有する
    水平排気板(114)と、 真空排気ポンプ手段と連通する排気口(121)を有
    し、前記ガス排気孔(116)と連通した環状のガス排
    気通路(118)とを備え、 吸引が、前記真空排気ポンプ手段から前記ガス排気通路
    (118)に、行なわれたとき、前記ガスマニホルド
    (26)からのガスが、まず、ウェーハに向って流れ、
    次いで、前記ウェーハ処理位置に配置された前記ウェー
    ハ(15)の上面を半径方向に横切って、ウェーハの周
    辺部に向って流れ、次いで、前記排気孔(116)を通
    って前記ガス排気通路(118)の中に流入する、 ことを特徴とする半導体処理用リアクタ。
  2. 【請求項2】 前記ガス排気板(114)の前記ガス排
    気孔(116)が、前記ウェーハ処理位置の周囲に配置
    されている、ことを特徴とする請求項1に記載の半導体
    処理用リアタク。
  3. 【請求項3】 前記ガス排気通路(118)が、前記ガ
    ス排気孔(116)と比較して相対的に大きな容積を有
    している、ことを特徴とする請求項1に記載の半導体処
    理用リアタク。
JP5038904A 1986-12-19 1993-02-26 半導体処理リアクタ Expired - Lifetime JP2651102B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/944,492 US5000113A (en) 1986-12-19 1986-12-19 Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US944492 1986-12-19

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP62321181A Division JPH0612771B2 (ja) 1986-12-19 1987-12-18 Teosプラズマcvd法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP7201143A Division JP2723845B2 (ja) 1986-12-19 1995-08-07 半導体処理リアクタ
JP20114495A Division JP2584960B2 (ja) 1986-12-19 1995-08-07 半導体処理リアクタ

Publications (2)

Publication Number Publication Date
JPH0613368A JPH0613368A (ja) 1994-01-21
JP2651102B2 true JP2651102B2 (ja) 1997-09-10

Family

ID=25481509

Family Applications (5)

Application Number Title Priority Date Filing Date
JP62321181A Expired - Lifetime JPH0612771B2 (ja) 1986-12-19 1987-12-18 Teosプラズマcvd法
JP5038905A Expired - Lifetime JP2716642B2 (ja) 1986-12-19 1993-02-26 Teosを用いる薄膜形成方法及び平担化方法
JP5038904A Expired - Lifetime JP2651102B2 (ja) 1986-12-19 1993-02-26 半導体処理リアクタ
JP20114495A Expired - Lifetime JP2584960B2 (ja) 1986-12-19 1995-08-07 半導体処理リアクタ
JP7201143A Expired - Lifetime JP2723845B2 (ja) 1986-12-19 1995-08-07 半導体処理リアクタ

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP62321181A Expired - Lifetime JPH0612771B2 (ja) 1986-12-19 1987-12-18 Teosプラズマcvd法
JP5038905A Expired - Lifetime JP2716642B2 (ja) 1986-12-19 1993-02-26 Teosを用いる薄膜形成方法及び平担化方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP20114495A Expired - Lifetime JP2584960B2 (ja) 1986-12-19 1995-08-07 半導体処理リアクタ
JP7201143A Expired - Lifetime JP2723845B2 (ja) 1986-12-19 1995-08-07 半導体処理リアクタ

Country Status (6)

Country Link
US (4) US5000113A (ja)
EP (1) EP0272140B1 (ja)
JP (5) JPH0612771B2 (ja)
AT (1) ATE101879T1 (ja)
DE (1) DE3789142T2 (ja)
ES (1) ES2049729T3 (ja)

Families Citing this family (765)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5780313A (en) 1985-02-14 1998-07-14 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5427824A (en) * 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
ATE133006T1 (de) * 1987-06-26 1996-01-15 Applied Materials Inc Verfahren zur selbstreinigung einer reaktionskammer
ZA884511B (en) * 1987-07-15 1989-03-29 Boc Group Inc Method of plasma enhanced silicon oxide deposition
JPH077759B2 (ja) * 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US5643838A (en) * 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JP2859288B2 (ja) 1989-03-20 1999-02-17 株式会社日立製作所 半導体集積回路装置及びその製造方法
DE3915650A1 (de) * 1989-05-12 1990-11-15 Siemens Ag Verfahren zur strukturierung einer auf einem halbleiterschichtaufbau angeordneten schicht
KR0170391B1 (ko) * 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
EP0412644A3 (en) * 1989-08-08 1991-03-20 Applied Materials, Inc. Low temperature low pressure thermal cvd process for forming conformal group iii and/or group v-doped silicate glass coating of uniform thickness on integrated structure
JP2506451B2 (ja) * 1989-08-18 1996-06-12 富士通株式会社 化学気相成長装置及び化学気相成長法
DE69024578T2 (de) * 1989-09-28 1996-09-19 Applied Materials Inc Integrierte Schaltungsstruktur mit einer zusammengesetzten Borphosphorsilikatglasschicht auf einer Halbleiterscheibe und verbesserte Herstellungsmethode dafür
US5166101A (en) * 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
JPH0680657B2 (ja) * 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 半導体装置の製造方法
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5129360A (en) * 1990-01-24 1992-07-14 The United States Of America As Represented By The Secretary Of The Air Force Actively cooled effusion cell for chemical vapor deposition
US5252366A (en) * 1990-01-24 1993-10-12 The United States Of America As Represented By The Secretary Of The Air Force Chemical vapor deposition method using an actively cooled effuser to coat a substrate having a heated surface layer
US5132774A (en) * 1990-02-05 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including interlayer insulating film
US5250468A (en) * 1990-02-05 1993-10-05 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device including interlaying insulating film
US5236511A (en) * 1990-03-16 1993-08-17 Schott Glaswerke Plasma CVD process for coating a dome-shaped substrate
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5451435A (en) * 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
US5288325A (en) * 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
DE69230322T2 (de) * 1991-04-04 2000-07-06 Hitachi Ltd Verfahren und Vorrichtung zur Plasmabehandlung
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH0574763A (ja) * 1991-07-19 1993-03-26 G T C:Kk ゲート絶縁膜の形成方法
DE69222110T2 (de) * 1991-10-18 1998-03-05 Koninkl Philips Electronics Nv Verfahren zum Herstellen einer Halbeiteranordnung, wobei auf der Oberfläche einer Halbleiterscheibe aus einem Prozessgas eine Materialschicht abgeschieden wird
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
DE4220827A1 (de) * 1992-06-25 1994-01-13 Pokorny Gmbh Anlage zur Behandlung von Objekten unter Reinluftraum-Bedingungen
KR0131062B1 (ko) * 1992-08-27 1998-04-14 순페이 야마자끼 반도체장치 제작방법
US5387289A (en) * 1992-09-22 1995-02-07 Genus, Inc. Film uniformity by selective pressure gradient control
EP0595159B1 (de) * 1992-10-26 1997-12-29 Schott Glaswerke Verfahren und Vorrichtung zur Beschichtung der Innenfläche stark gewölbter im wesentlichen kalottenförmiger Substrate mittels CVD
JP3362432B2 (ja) * 1992-10-31 2003-01-07 ソニー株式会社 プラズマ処理方法及びプラズマ処理装置
EP0602595B1 (en) * 1992-12-15 1997-07-23 Applied Materials, Inc. Vaporizing reactant liquids for CVD
US5360769A (en) * 1992-12-17 1994-11-01 Micron Semiconductor, Inc. Method for fabricating hybrid oxides for thinner gate devices
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
EP0608633B1 (en) * 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
US5332443A (en) * 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
JPH0799162A (ja) * 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5455014A (en) * 1993-07-20 1995-10-03 Hughes Aircraft Company Liquid deposition source gas delivery system
KR100274754B1 (ko) * 1993-08-18 2000-12-15 히가시 데쓰로 성막장치 및 성막방법
US5567661A (en) * 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
US5565382A (en) * 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
JPH07201753A (ja) * 1993-12-29 1995-08-04 Nippon Steel Corp 薄膜製造方法およびその装置
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
JPH07268622A (ja) * 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5468298A (en) * 1994-04-13 1995-11-21 Applied Materials, Inc. Bottom purge manifold for CVD tungsten process
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5672388A (en) * 1994-07-08 1997-09-30 Exxon Research & Engineering Company Membrane reparation and poer size reduction using interfacial ozone assisted chemical vapor deposition
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5492868A (en) * 1994-10-24 1996-02-20 Taiwan Semiconductor Manufacturing Corp. Ltd. Capped reflow process to avoid contact autodoping and supress tungsten silicide peeling
US6155198A (en) * 1994-11-14 2000-12-05 Applied Materials, Inc. Apparatus for constructing an oxidized film on a semiconductor wafer
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5976310A (en) * 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
KR0144228B1 (ko) * 1995-03-04 1998-08-17 김주용 다층 금속배선의 층간 절연막 형성 방법
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
DE19516669A1 (de) * 1995-05-05 1996-11-07 Siemens Ag Verfahren zur Abscheidung einer Siliziumoxidschicht
US5950092A (en) * 1995-06-02 1999-09-07 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US6716769B1 (en) 1995-06-02 2004-04-06 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US7294578B1 (en) * 1995-06-02 2007-11-13 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0925586A (ja) * 1995-07-11 1997-01-28 Anelva Corp 基板処理装置および基板処理方法
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US5518959A (en) * 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
US5614249A (en) * 1995-08-28 1997-03-25 Lsi Logic Corporation Leak detection system for a gas manifold of a chemical vapor deposition apparatus
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5908504A (en) * 1995-09-20 1999-06-01 Memc Electronic Materials, Inc. Method for tuning barrel reactor purge system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5665644A (en) * 1995-11-03 1997-09-09 Micron Technology, Inc. Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5736423A (en) * 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
JP2000502212A (ja) * 1995-12-15 2000-02-22 ワトキンズ―ジョンソン カンパニー 金属汚染物質を減らした絶縁膜の形成方法
US5926689A (en) * 1995-12-19 1999-07-20 International Business Machines Corporation Process for reducing circuit damage during PECVD in single wafer PECVD system
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US5746834A (en) * 1996-01-04 1998-05-05 Memc Electronics Materials, Inc. Method and apparatus for purging barrel reactors
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
AU6332296A (en) 1996-02-02 1997-08-22 Micron Technology, Inc. Reducing fixed charge in semiconductor device layers
JPH09232296A (ja) * 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6017144A (en) * 1996-03-05 2000-01-25 Applied Materials, Inc. Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
JP3177436B2 (ja) * 1996-03-21 2001-06-18 株式会社日立製作所 半導体集積回路装置
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5976993A (en) 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US6599574B1 (en) 1996-04-04 2003-07-29 Applied Materials Inc. Method and apparatus for forming a dielectric film using helium as a carrier gas
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US7763327B2 (en) * 1996-04-22 2010-07-27 Micron Technology, Inc. Methods using ozone for CVD deposited films
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6248398B1 (en) 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
US6072160A (en) * 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
JP3220645B2 (ja) * 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
US6039812A (en) * 1996-10-21 2000-03-21 Abb Research Ltd. Device for epitaxially growing objects and method for such a growth
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
JP3925566B2 (ja) * 1996-11-15 2007-06-06 キヤノンアネルバ株式会社 薄膜形成装置
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) * 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
JPH10242142A (ja) * 1997-02-21 1998-09-11 Nippon Asm Kk 半導体素子とその製造方法
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
US5817566A (en) * 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US5861086A (en) * 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5877072A (en) * 1997-03-31 1999-03-02 Intel Corporation Process for forming doped regions from solid phase diffusion source
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6149974A (en) 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6162285A (en) * 1997-05-08 2000-12-19 Applied Materials, Inc. Ozone enhancement unit
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
JP3396399B2 (ja) * 1997-06-26 2003-04-14 シャープ株式会社 電子デバイス製造装置
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US5972078A (en) * 1997-07-31 1999-10-26 Fsi International, Inc. Exhaust rinse manifold for use with a coating apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6003526A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd In-sit chamber cleaning method
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
JPH11111680A (ja) 1997-09-30 1999-04-23 Yasuhiro Horiike エッチング方法
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6105435A (en) 1997-10-24 2000-08-22 Cypress Semiconductor Corp. Circuit and apparatus for verifying a chamber seal, and method of depositing a material onto a substrate using the same
WO1999023691A2 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Improved low mass wafer support system
US5920791A (en) * 1997-11-06 1999-07-06 Vanguard International Semiconductor Corporation Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
JP4812938B2 (ja) 1997-12-15 2011-11-09 レック シリコン インコーポレイテッド 多結晶シリコン棒製造用化学的蒸気析着方式
US6544333B2 (en) * 1997-12-15 2003-04-08 Advanced Silicon Materials Llc Chemical vapor deposition system for polycrystalline silicon rod production
US5970383A (en) * 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6095159A (en) 1998-01-22 2000-08-01 Micron Technology, Inc. Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities
US6120605A (en) * 1998-02-05 2000-09-19 Asm Japan K.K. Semiconductor processing system
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
KR100605770B1 (ko) 1998-02-11 2006-07-28 어플라이드 머티어리얼스, 인코포레이티드 저 유전상수 필름을 증착하는 플라즈마 방법
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6142164A (en) * 1998-03-09 2000-11-07 Ultra Clean Technology Systems & Service, Inc. Method and apparatus for removing leaking gas in an integrated gas panel system
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
JP3189780B2 (ja) * 1998-03-24 2001-07-16 日本電気株式会社 半導体装置の製造装置及びその製造方法
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
DE19821007A1 (de) * 1998-05-11 1999-11-25 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6080241A (en) * 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US5985375A (en) * 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6159333A (en) * 1998-10-08 2000-12-12 Applied Materials, Inc. Substrate processing system configurable for deposition or cleaning
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6063196A (en) * 1998-10-30 2000-05-16 Applied Materials, Inc. Semiconductor processing chamber calibration tool
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6302960B1 (en) 1998-11-23 2001-10-16 Applied Materials, Inc. Photoresist coater
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6350397B1 (en) 1999-03-10 2002-02-26 Aspen Research Corporation Optical member with layer having a coating geometry and composition that enhance cleaning properties
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6194030B1 (en) 1999-03-18 2001-02-27 International Business Machines Corporation Chemical vapor deposition velocity control apparatus
JP3236576B2 (ja) * 1999-03-24 2001-12-10 キヤノン販売株式会社 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
US6090675A (en) * 1999-04-02 2000-07-18 Taiwan Semiconductor Manufacturing Company Formation of dielectric layer employing high ozone:tetraethyl-ortho-silicate ratios during chemical vapor deposition
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
US6170430B1 (en) 1999-04-13 2001-01-09 Applied Materials, Inc. Gas feedthrough with electrostatic discharge characteristic
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6303496B1 (en) 1999-04-27 2001-10-16 Cypress Semiconductor Corporation Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit
NL1012004C2 (nl) * 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
JP4288767B2 (ja) * 1999-07-07 2009-07-01 東京エレクトロン株式会社 半導体装置の製造方法
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6354241B1 (en) 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US6180508B1 (en) * 1999-09-02 2001-01-30 Micron Technology, Inc. Methods of fabricating buried digit lines and semiconductor devices including same
JP4393677B2 (ja) 1999-09-14 2010-01-06 株式会社堀場エステック 液体材料気化方法および装置並びに制御バルブ
US6149365A (en) 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6635114B2 (en) 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
IT1316286B1 (it) * 2000-01-20 2003-04-10 St Microelectronics Srl Processo di attacco a bassa velocita' di rimozione nella fabbricazionedi dispositivi integrati a semiconduttore, utilizzante una camera atta
US6221164B1 (en) 2000-01-25 2001-04-24 Advanced Micro Devices, Inc. Method of in-situ cleaning for LPCVD teos pump
JP2001214277A (ja) * 2000-01-31 2001-08-07 Canon Inc 堆積膜形成装置および堆積膜形成方法
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
JP3549188B2 (ja) * 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
WO2002019363A2 (en) * 2000-08-28 2002-03-07 Applied Materials, Inc. Pre-polycoating of glass substrates
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US7030045B2 (en) * 2000-11-07 2006-04-18 Tokyo Electron Limited Method of fabricating oxides with low defect densities
WO2002045561A2 (en) * 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6748994B2 (en) * 2001-04-11 2004-06-15 Avery Dennison Corporation Label applicator, method and label therefor
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
JP5175414B2 (ja) * 2001-06-27 2013-04-03 アプライド マテリアルズ インコーポレイテッド 膜形成方法及び装置
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6530157B1 (en) 2001-09-04 2003-03-11 Process Integration Precise positioning device for workpieces
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
TW563176B (en) * 2001-10-26 2003-11-21 Applied Materials Inc Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6812064B2 (en) * 2001-11-07 2004-11-02 Micron Technology, Inc. Ozone treatment of a ground semiconductor die to improve adhesive bonding to a substrate
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
JP4102072B2 (ja) 2002-01-08 2008-06-18 株式会社東芝 半導体装置
US6906305B2 (en) * 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
DE10247051A1 (de) * 2002-10-09 2004-04-22 Polymer Latex Gmbh & Co Kg Latex und Verfahren zu seiner Herstellung
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
KR100491396B1 (ko) * 2002-12-03 2005-05-25 삼성전자주식회사 반도체 소자의 피이-테오스(pe-teos)막 형성 방법
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US6759297B1 (en) 2003-02-28 2004-07-06 Union Semiconductor Technology Corporatin Low temperature deposition of dielectric materials in magnetoresistive random access memory devices
JP2006523384A (ja) * 2003-03-05 2006-10-12 ダフ, ウィリアム ビー. ジュニア 電力特性を強化した電荷保存デバイス
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
KR100511914B1 (ko) * 2003-05-09 2005-09-02 주식회사 하이닉스반도체 피이사이클 시브이디법을 이용한 반도체소자의 제조방법
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
JP2005064284A (ja) * 2003-08-14 2005-03-10 Asm Japan Kk 半導体基板保持装置
DE10339988B4 (de) 2003-08-29 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer antireflektierenden Schicht
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050109280A1 (en) * 2003-09-22 2005-05-26 Chen Xiangqun S. Rapid thermal chemical vapor deposition apparatus and method
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
EP1676300B1 (en) * 2003-10-03 2014-10-01 Applied Materials, Inc. Method for annealing a substrate comprising an absorber layer
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
KR100634288B1 (ko) * 2003-12-01 2006-10-16 야스히로 모리 고체물질의 표면 개질방법 및 표면 개질된 고체물질
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7585371B2 (en) * 2004-04-08 2009-09-08 Micron Technology, Inc. Substrate susceptors for receiving semiconductor substrates to be deposited upon
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2006000846A1 (en) * 2004-06-08 2006-01-05 Epispeed S.A. System for low-energy plasma-enhanced chemical vapor deposition
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US20060286807A1 (en) * 2005-06-16 2006-12-21 Jack Hwang Use of active temperature control to provide emmisivity independent wafer temperature
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7259381B2 (en) * 2004-08-03 2007-08-21 Applied Materials, Inc. Methodology for determining electron beam penetration depth
KR20060012703A (ko) * 2004-08-04 2006-02-09 주식회사 유진테크 반도체 기판상에 싱글 챔버식 화학 기상 증착를 이용한 열산화막 증착장치 및 그 증착방법
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7172969B2 (en) * 2004-08-26 2007-02-06 Tokyo Electron Limited Method and system for etching a film stack
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
JP4435666B2 (ja) * 2004-11-09 2010-03-24 東京エレクトロン株式会社 プラズマ処理方法、成膜方法
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7402520B2 (en) * 2004-11-26 2008-07-22 Applied Materials, Inc. Edge removal of silicon-on-insulator transfer wafer
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7306983B2 (en) * 2004-12-10 2007-12-11 International Business Machines Corporation Method for forming dual etch stop liner and protective layer in a semiconductor device
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US8241708B2 (en) 2005-03-09 2012-08-14 Micron Technology, Inc. Formation of insulator oxide films with acid or base catalyzed hydrolysis of alkoxides in supercritical carbon dioxide
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
WO2006133730A1 (en) * 2005-06-16 2006-12-21 Innovative Systems & Technologies Method for producing coated polymer
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US20070012557A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc Low voltage sputtering for large area substrates
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7371695B2 (en) * 2006-01-04 2008-05-13 Promos Technologies Pte. Ltd. Use of TEOS oxides in integrated circuit fabrication processes
US7547598B2 (en) * 2006-01-09 2009-06-16 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
JP4807619B2 (ja) * 2006-03-06 2011-11-02 株式会社島津製作所 真空装置の加熱機構
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070240644A1 (en) 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP2007266347A (ja) * 2006-03-29 2007-10-11 Renesas Technology Corp 半導体装置の製造方法
US7923376B1 (en) * 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7967911B2 (en) * 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070243714A1 (en) * 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
TWI435376B (zh) * 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US7884021B2 (en) * 2007-02-27 2011-02-08 Spartial Photonics, Inc. Planarization of a layer over a cavity
US7541288B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
KR100845941B1 (ko) * 2007-03-27 2008-07-14 성균관대학교산학협력단 저유전 상수값을 갖는 박막 제조 방법 및 이에 의하여제조된 박막
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
WO2009009606A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Apparatus and method for centering a substrate in a process chamber
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
JP5683063B2 (ja) * 2007-09-05 2015-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化アルミニウム又は酸化ベリリウムのセラミックカバーウェハ
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8283644B2 (en) 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
DE102008026974A1 (de) * 2008-06-03 2009-12-10 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten aus polymeren Para-Xylylene oder substituiertem Para-Xylylene
CN102047387B (zh) * 2008-06-30 2012-07-04 S.O.I.Tec绝缘体上硅技术公司 模块化的cvd反应器子系统、其配置方法和独立功能模块
CN102144309A (zh) * 2008-07-08 2011-08-03 桑迪士克3D有限责任公司 基于碳的电阻率-切换材料及其形成方法
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP5268626B2 (ja) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR101105508B1 (ko) * 2009-12-30 2012-01-13 주식회사 하이닉스반도체 반도체 메모리 소자의 제조 방법
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US20120058281A1 (en) * 2010-03-12 2012-03-08 Applied Materials, Inc. Methods for forming low moisture dielectric films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8859393B2 (en) * 2010-06-30 2014-10-14 Sunedison Semiconductor Limited Methods for in-situ passivation of silicon-on-insulator wafers
US20120015113A1 (en) * 2010-07-13 2012-01-19 Applied Materials, Inc. Methods for forming low stress dielectric films
US8460466B2 (en) * 2010-08-02 2013-06-11 Veeco Instruments Inc. Exhaust for CVD reactor
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
EP2659026B1 (en) 2010-12-30 2015-06-17 Veeco Instruments Inc. Wafer processing with carrier extension
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR20140057214A (ko) * 2011-05-27 2014-05-12 크리스탈솔라,인코포레이티드 에피택셜 증착에 의한 실리콘 웨이퍼들
EP2527824B1 (en) * 2011-05-27 2016-05-04 ams international AG Integrated circuit with moisture sensor and method of manufacturing such an integrated circuit
US8828878B2 (en) 2011-06-01 2014-09-09 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
DE102011113293A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
DE102011113294A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN102456566B (zh) * 2011-10-12 2016-03-30 上海华力微电子有限公司 一种低温二氧化硅的处理方法
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
CN102605346A (zh) * 2012-03-31 2012-07-25 上海华力微电子有限公司 一种mim型电容中绝缘体二氧化硅薄膜的制备方法
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10541183B2 (en) * 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR20140026724A (ko) * 2012-08-23 2014-03-06 주식회사 원익아이피에스 박막 제조 방법 및 기판 처리 장치
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
CN102828172A (zh) * 2012-09-18 2012-12-19 大连交通大学 一种PECVD法制备SiO2薄膜的方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9388493B2 (en) 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US20140264557A1 (en) * 2013-03-15 2014-09-18 International Business Machines Corporation Self-aligned approach for drain diffusion in field effect transistors
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US20150128862A1 (en) * 2013-11-11 2015-05-14 Xuesong Li Apparatus for processing a substrate
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
WO2016210301A1 (en) 2015-06-26 2016-12-29 Tokyo Electron Limited Gas phase etching system and method
KR102485541B1 (ko) 2015-06-26 2023-01-05 도쿄엘렉트론가부시키가이샤 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105256369A (zh) * 2015-10-20 2016-01-20 中国电子科技集团公司第四十八研究所 一种用于SiC外延的耐高温水平多层进气装置
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
FR3056993B1 (fr) * 2016-10-04 2018-10-12 Kobus Sas Dispositif pour amener un gaz dans un reacteur de depot chimique en phase gazeuse
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102527232B1 (ko) 2018-01-05 2023-05-02 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
CN108388036B (zh) * 2018-03-05 2021-04-30 京东方科技集团股份有限公司 一种用于修复玻璃基板的材料、用于修复玻璃基板的方法和阵列基板的制造方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111156161A (zh) * 2018-11-07 2020-05-15 宁波方太厨具有限公司 一种增压泵减振降噪结构
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
US20200354831A1 (en) * 2019-05-07 2020-11-12 Alta Devices, Inc. Methods and systems for cleaning deposition systems
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
CN112981367B (zh) * 2019-12-17 2022-12-27 江苏鲁汶仪器有限公司 一种快捷方便的断裂顶针回收装置
US11411013B2 (en) 2020-01-08 2022-08-09 Micron Technology, Inc. Microelectronic devices including stair step structures, and related electronic devices and methods
CN113496869A (zh) * 2020-04-03 2021-10-12 重庆超硅半导体有限公司 一种外延基底用硅晶片之背面膜层及制造方法
CN111573238B (zh) * 2020-05-07 2021-08-06 泰兴市和宸晶体科技有限公司 一种石英晶体壳体压封导出引向装置
CN112371452B (zh) * 2020-11-04 2022-03-18 上海华力集成电路制造有限公司 半导体制造工艺环境的调风装置

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE215968C (ja) *
NL284295A (ja) * 1961-10-12 1900-01-01
US3200019A (en) * 1962-01-19 1965-08-10 Rca Corp Method for making a semiconductor device
FR1490476A (fr) * 1964-11-12 1967-08-04 Texas Instruments Inc Procédé de diffusion planar
GB1151746A (en) * 1965-12-27 1969-05-14 Matsushita Electronics Corp A method for the Deposition of Silica Films
US3556841A (en) * 1967-04-11 1971-01-19 Matsushita Electronics Corp Process for forming silicon dioxide films
US3627590A (en) * 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
DE1900116C3 (de) * 1969-01-02 1978-10-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen hxxochreiner, aus Silicium bestehender einkristalliner Schichten
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3934060A (en) * 1973-12-19 1976-01-20 Motorola, Inc. Method for forming a deposited silicon dioxide layer on a semiconductor wafer
US4002512A (en) * 1974-09-16 1977-01-11 Western Electric Company, Inc. Method of forming silicon dioxide
JPS5160454A (ja) * 1974-11-22 1976-05-26 Hitachi Ltd Tasohogomakunokeiseiho
US4282268A (en) * 1977-05-04 1981-08-04 Rca Corporation Method of depositing a silicon oxide dielectric layer
JPS5824374B2 (ja) 1977-10-03 1983-05-20 ティーディーケイ株式会社 酸化珪素被膜作製方法
JPS5623745A (en) 1979-08-01 1981-03-06 Hitachi Ltd Plasma etching device
JPS6029295B2 (ja) * 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
NL7906996A (nl) * 1979-09-20 1981-03-24 Philips Nv Werkwijze voor het reinigen van een reaktor.
US4647266A (en) * 1979-12-21 1987-03-03 Varian Associates, Inc. Wafer coating system
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
JPS5691435A (en) * 1979-12-25 1981-07-24 Fujitsu Ltd Plasma vapor growing method
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS57100720A (en) 1980-12-16 1982-06-23 Seiko Epson Corp Manufacture of amorphous semiconductor film
US4341582A (en) * 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber
GB2104054B (en) * 1981-08-11 1984-11-14 British Petroleum Co Plc Protective silica coatings
JPS58163434A (ja) * 1982-03-25 1983-09-28 Semiconductor Energy Lab Co Ltd プラズマ気相反応方法
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS594028A (ja) 1982-06-30 1984-01-10 Fujitsu Ltd 半導体製造装置
JPS5946094A (ja) 1982-09-08 1984-03-15 セイコーインスツルメンツ株式会社 プリント回路板用導体回路の製造方法
DD209485A1 (de) * 1982-09-16 1984-05-09 Mikroelektronik Zt Forsch Tech Verfahren zum reinigen elektrisch leitfaehiger reaktionsrohre von cvd-anlagen
US4535228A (en) * 1982-12-28 1985-08-13 Ushio Denki Kabushiki Kaisha Heater assembly and a heat-treatment method of semiconductor wafer using the same
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
JPS59222922A (ja) * 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
JPS6024012A (ja) * 1983-07-19 1985-02-06 Matsushita Electric Ind Co Ltd イオン注入基板の活性化法
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
US4550684A (en) * 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4496809A (en) * 1983-10-17 1985-01-29 A B C Auto Alarms, Inc. Vibration sensitive trip switch for vehicle alarm system of the like
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
JPS60125371A (ja) 1983-12-09 1985-07-04 Hitachi Ltd 真空内基板加熱装置
DE3401168A1 (de) * 1984-01-14 1985-07-18 Martin Dipl.-Ing. Förster (FH), 7707 Engen Einrichtung zur versorgung von tieren mit einem fluessigen futtermittel
US4584045A (en) * 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US4547247A (en) * 1984-03-09 1985-10-15 Tegal Corporation Plasma reactor chuck assembly
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
JPS60202937A (ja) 1984-03-28 1985-10-14 Hitachi Ltd ドライエツチング装置
JPS60219724A (ja) 1984-04-16 1985-11-02 Matsushita Electric Ind Co Ltd 回転サセプタ支持装置
US4522681A (en) * 1984-04-23 1985-06-11 General Electric Company Method for tapered dry etching
US4582306A (en) 1984-05-07 1986-04-15 Richard Sassenberg Vise jaw
JPS61579A (ja) * 1984-06-14 1986-01-06 Ricoh Co Ltd 薄膜製造方法
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
US4731255A (en) * 1984-09-26 1988-03-15 Applied Materials Japan, Inc. Gas-phase growth process and an apparatus for the same
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
JPH0236276Y2 (ja) * 1985-01-10 1990-10-03
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
CA1251100A (en) * 1985-05-17 1989-03-14 Richard Cloutier Chemical vapor deposition
EP0204182B1 (de) * 1985-05-22 1991-06-05 Siemens Aktiengesellschaft Verfahren zum Herstellen von mit Bor und Phosphor dotierten Siliziumoxid-Schichten für integrierte Halbleiterschaltungen
US4845054A (en) * 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4640224A (en) * 1985-08-05 1987-02-03 Spectrum Cvd, Inc. CVD heat source
EP0215968A1 (de) * 1985-09-21 1987-04-01 Leybold Aktiengesellschaft Einrichtung zur Herstellung von Solarzellen mit amorphes Silizium enthaltenden Schichten, Verfahren zum Betrieb dieser Einrichtung und Kathode zur Verwendung in dieser Einrichtung
NL8602357A (nl) * 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor het chemisch uit damp neerslaan met gebruik van een axiaal symmetrische gasstroming.
US4798165A (en) 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
NL8602356A (nl) * 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
US4640221A (en) * 1985-10-30 1987-02-03 International Business Machines Corporation Vacuum deposition system with improved mass flow control
US4791398A (en) * 1986-02-13 1988-12-13 Rosemount Inc. Thin film platinum resistance thermometer with high temperature diffusion barrier
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JPH062951B2 (ja) 1986-03-13 1994-01-12 日立電子エンジニアリング株式会社 気相反応装置
US4687682A (en) * 1986-05-02 1987-08-18 American Telephone And Telegraph Company, At&T Technologies, Inc. Back sealing of silicon wafers
WO1987007310A1 (en) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus
US4768464A (en) * 1986-09-26 1988-09-06 Semiconductor Energy Laboratory Co., Ltd. Chemical vapor reaction apparatus
EP0272141B1 (en) * 1986-12-19 1994-03-02 Applied Materials, Inc. Multiple chamber integrated process system
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
EP0566220B1 (en) * 1986-12-19 1997-04-02 Applied Materials, Inc. Magnetic field enhanced plasma etch reactor
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
ATE133006T1 (de) * 1987-06-26 1996-01-15 Applied Materials Inc Verfahren zur selbstreinigung einer reaktionskammer

Also Published As

Publication number Publication date
ES2049729T3 (es) 1994-05-01
US5362526A (en) 1994-11-08
ATE101879T1 (de) 1994-03-15
DE3789142T2 (de) 1994-05-26
EP0272140A2 (en) 1988-06-22
JPH0855843A (ja) 1996-02-27
US5000113A (en) 1991-03-19
JPS63246829A (ja) 1988-10-13
US6167834B1 (en) 2001-01-02
EP0272140B1 (en) 1994-02-23
JPH0613368A (ja) 1994-01-21
EP0272140A3 (en) 1990-11-14
US5354715A (en) 1994-10-11
JPH0613367A (ja) 1994-01-21
JPH0612771B2 (ja) 1994-02-16
JP2584960B2 (ja) 1997-02-26
JPH0870035A (ja) 1996-03-12
JP2723845B2 (ja) 1998-03-09
DE3789142D1 (de) 1994-03-31
JP2716642B2 (ja) 1998-02-18

Similar Documents

Publication Publication Date Title
JP2651102B2 (ja) 半導体処理リアクタ
US4872947A (en) CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) Process for PECVD of silicon oxide using TEOS decomposition
US5755886A (en) Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
JP2618445B2 (ja) 反応器チャンバー自己清掃方法
TWI391034B (zh) 用於感應耦合室的減少污染襯墊
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US6071573A (en) Process for precoating plasma CVD reactors
CN100472733C (zh) 低k介电薄膜的后处理
JP2925535B2 (ja) 環状導波路を有するマイクロ波供給器及びそれを備えたプラズマ処理装置及び処理方法
KR20080014059A (ko) 유전체 갭필용 공정 챔버
JP4694108B2 (ja) 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
EP1039522A1 (en) Process for producing insulating film
JPH0766186A (ja) 誘電体の異方性堆積法
JP2001345312A (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JP2667364B2 (ja) 成膜装置
KR20000022193A (ko) 고밀도 플라즈마 화학기상증착 장치 및 그 방법
JPH08148486A (ja) プラズマ処理装置
JPH11329792A (ja) マイクロ波供給器
US20220081765A1 (en) Systems and methods for cleaning low-k deposition chambers
US5744403A (en) Dielectric film deposition method and apparatus
JPH11162961A (ja) プラズマ成膜方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19951023

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080516

Year of fee payment: 11