CN100472733C - 低k介电薄膜的后处理 - Google Patents

低k介电薄膜的后处理 Download PDF

Info

Publication number
CN100472733C
CN100472733C CNB2005800127783A CN200580012778A CN100472733C CN 100472733 C CN100472733 C CN 100472733C CN B2005800127783 A CNB2005800127783 A CN B2005800127783A CN 200580012778 A CN200580012778 A CN 200580012778A CN 100472733 C CN100472733 C CN 100472733C
Authority
CN
China
Prior art keywords
dielectric constant
low dielectric
constant films
films
reprocessing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005800127783A
Other languages
English (en)
Other versions
CN1947229A (zh
Inventor
崔振江
约瑟芬·J·常
亚历山德罗斯·T·迪莫斯
瑞泽·阿扎维尼
德里克·R·威蒂
海伦·R·阿默
吉利士·A·迪克西特
希琴曼·麦萨迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1947229A publication Critical patent/CN1947229A/zh
Application granted granted Critical
Publication of CN100472733C publication Critical patent/CN100472733C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions

Abstract

本发明提供一种在衬底上沉积低介电常数薄膜并后处理该低介电常数薄膜的方法。该后处理包括将该低介电常数薄膜快速加热至所需高温并接着将该低介电常数薄膜快速冷却,从而使该低介电常数薄膜暴露在该所需高温约5秒或更少。在实施方案中,该后处理还包括将该低介电常数薄膜暴露在电子束处理和/或UV辐射中。

Description

低K介电薄膜的后处理
技术领域
本发明的实施方式涉及集成电路的制造。更明确而言,本发明的实施方式涉及用于沉积及后处理低介电常数薄膜的工序。
背景技术
从数十年前半导体组件首次提出以来,半导体组件几何结构在尺寸上已显著地降低。从当时起,集成电路大致依循着两年/尺寸减半的准则(常称为摩尔定律),其表示每过两年芯片上的组件数目便会倍数增加。现今制造设备可固定地生产具0.13μm甚至0.1μm特征尺寸的组件,而未来设备很快将可生产出几何结构更小的组件。
为进一步缩小集成电路上的组件尺寸,现已需要使用低电阻的导电材料以及低介电常数(k)的绝缘体以降低邻近金属线间的电容耦合。近来在低介电常数绝缘薄膜的发展已将重心放在将硅(Si)、碳(C)及氧(O)原子混入薄膜中。此领域的一大挑战是开发出含Si、C及O原子的薄膜,从而使该膜薄膜具有低k值并展现所需的热及机械特性。通常含Si、C及O原子且具有所需介电常数的薄膜的机械强度较差,且于随后工序期间容易受到蚀刻化学物及等离子暴露的损伤,使集成电路失效。
热及等离子退火工序已开发出可改善低介电常数薄膜的特性。热及等离子退火工序通常在约低于400℃的温度下实施,以避免损伤沉积有低介电常数薄膜的衬底或器件上的其它元件。现已发现热及等离子退火工序可密化(densify)含Si、C及O原子的低介电常数薄膜。然而,前述退火工序通常实施约30分钟至2小时,且因此会明显增加衬底处理时间。同样的,业界仍持续需要对低介电常数薄膜的机械及介电特性的改善。
因此,需要低介电常数薄膜的后处理方法,以期能改善低介电常数薄膜的特性。
发明内容
本发明的实施方式涉及一种处理衬底的方法,包括:在RF电源下在衬底上沉积包含硅及碳的低介电常数薄膜,并通过下述工序后处理该经沉积的低介电常数薄膜,所述工序包括:以至少约10℃/秒的速率将该低介电常数薄膜加热到至少约600℃的所需温度,其中该低介电常数薄膜维持在该所需温度约5秒或更少,以及以至少约10℃/秒的速率冷却该低介电常数薄膜。在一实施方式中,加热该低介电常数薄膜的起始至冷却该低介电常数薄膜的终了的时间长度一般在0.5分钟至5分钟。
在一实施方式中,该低介电常数薄膜从在约25℃至约250℃之间的温度加热至在约600℃至约1000℃之间的所需温度,其中该低介电常数薄膜在该所需温度下加热约5秒或更少,并然后从该所需温度进行冷却,其中加热该低介电常数薄膜的起始至冷却该低介电常数薄膜的终了的时间长度一般在0.5分钟至5分钟。
本发明的另一实施方式包括通过以至少约10℃/秒的速率将该低介电常数薄膜加热至所需温度以后处理低介电常数薄膜,其中该低介电常数薄膜维持在该所需温度约5秒或更少,并以至少约10℃/秒的速率冷却该低介电常数薄膜,并以选自电子束处理及UV辐射处理的一个或多个工序处理该低介电常数薄膜。
附图说明
通过本发明上述简述的详细、明确的叙述并参照实施方式及附图所示可以理解本发明前述的特征。然而应注意的是,附图所示仅为本发明的一般实施方式,因此不应视为限制本发明的范围,本发明范围不包括其它等效实施方式。
图1所示示例性CVD反应器的截面图,其配置为根据本文所述实施方式使用;
图2所示根据本发明一实施方式的快速热处理(RTP)腔室的一部份的示意性垂直截面图;以及
图3所示为根据本发明实施方式的电子束腔室。
具体实施方式
本发明的一或多个实施方式提供一种方法,其包含在衬底上沉积低介电常数薄膜以及并对该低介电常数薄膜进行后处理,而该后处理通过一工序进行,该工序包括:快速加热该低介电常数薄膜至所需高温(例如从约600℃至约1000℃);以及接着快速冷却该低介电常数薄膜;该介电常数薄膜暴露在该所需高温约5秒或更少。优选的,该低介电常数薄膜暴露在该所需高温约1秒或更少。在一实施例中,该快速加热及快速冷却该低介电常数薄膜的工序是一瞬间(spike)退火工序。
沉积低介电常数薄膜
该低介电常数薄膜的介电常数约小于4,且包含硅及碳,较佳则包含氧。该低介电常数薄膜是在RF电源下由至少包含一种或多种有机硅化物的混合物所沉积。该一种或多种用于沉积低介电层的有机硅化物为有机硅烷(organosilane)、有机硅氧化物(organosiloxanes)或其结合物。此处所用的术语“有机硅化物(organosilicon compound)”意指为有机基团中含有碳原子的化合物,且其可为环状或链状。有机基团可包括烷基、烯基、环已烯基、以及除其功能性衍生物外的芳基。优选地,该有机硅化物包括一个或多个接于硅原子的碳原子,以使碳原子不会在适当工序条件下通过氧化而快速移除。该有机硅化物优选地也包括一个或多个氧原子。
合适的环状有机硅化物包括具有三个或更多硅原子的环状结构,及选择性地包括一个或多个氧原子。商业可得的可用环状有机硅化物包括具有数个硅及氧原子交替的环,其中该硅原子与一或两个烷基相键结。一些示例性的环状有机硅化物包括:
2,4,6-硅代环己烷                    -(-SiH2CH2-)3-    (环状)
1,3,5,7-四甲基环四硅氧烷(TMCTS)    -(-SiHCH3-O-)4-   (环状)
八甲基环四硅氧烷(OMCTS)               -(-Si(CH3)2-O-)4- (环状)
1,3,5,7,9-五甲基环五硅氧烷        -(-SiHCH3-O-)5-   (环状)
1,3,5,7-硅代-2,6-氧代环辛烷       -(-SiH2CH2-SiH2-O-)2-  (环状)
六甲基环三硅氧烷                      -(-Si(CH3)2-O-)3-  (环状)
合适的直链有机硅化物包括具有直链或分支结构(具一或多个硅原子及一或多个碳原子)的脂肪族有机硅化物。该有机硅化物还可包含一个或多个氧原子。一些示例性的直链有机硅化物包括:
甲基硅烷                       CH3-SiH3
二甲基硅烷                     (CH3)2-SiH2
三甲基硅烷                     (CH3)3-SiH
乙基硅烷                       CH3-CH2-SiH3
二硅烷基甲烷                   SiH3-CH2-SiH3
双(甲基硅烷基)甲烷             CH3-SiH2-CH2-SiH2-CH3
1,2-二硅烷基乙烷              SiH3-CH2-CH2-SiH3
1,2-双(甲基硅烷基)乙烷        CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-二硅烷基丙烷              SiH3-C(CH3)2-SiH3
二乙基硅烷                     (C2H5)2-SiH2
丙基硅烷                       C3H7-SiH3
乙烯基甲基硅烷                 (CH2=CH)-SiH2-CH3
1,1,2,2-四甲基二硅烷        (CH3)2-SiH-SiH-(CH3)2
六甲基二硅烷                   CH3)3Si-Si-(CH3)3
1,1,2,2,3,3-六甲基三硅烷  (CH3)2-SiH-Si(CH3)2-SiH-(CH3)2
1,1,2,3,3-五甲基三硅烷     (CH3)2-SiH-SiH(CH3)-SiH-(CH3)2
1,3-双(甲基硅烷基)丙烷        CH3-SiH2-(CH2)3-SiH2-CH3
1,2-双(二甲基硅烷基)乙烷      (CH3)2-SiH-(CH2)2-SiH-(CH3)2
1,3-双(二甲基硅烷基)丙烷      (CH3)2-SiH-(CH2)3-SiH-(CH3)2
二乙氧甲基硅烷基(DEMS)         CH3-SiH-(O-CH2-CH3)2
1,3-二甲基二硅氧烷            CH3-SiH2-O-SiH2-CH3
1,1,3,3-四甲基二硅氧烷      (CH3)2-SiH-O-SiH-(CH3)2
六甲基二硅氧烷(HMDS)           (CH3)3-Si-O-Si-(CH3)3
1,3-双(硅烷基甲撑)二硅氧烷    (SiH3-CH2-SiH2-)2-O
双(1-甲基二硅氧烷基)甲烷       (CH3-SiH2-O-SiH2-)2-CH2
2,2-双(1-甲基二硅氧烷基)丙烷  (CH3-SiH2-O-SiH2-)2-C(CH3)2
二甲基二甲氧基硅烷(DMDMOS)          (CH3O)2-Si-(CH3)2
苯基二甲氧基硅烷                    C6H5-SiH-(O-CH3)2
二苯基甲基硅烷                      (C6H5)2-SiH-CH3
二甲基苯硅烷                        (CH3)2-SiH-C6H5
二甲氧基甲基乙烯基硅烷(DMMVS)       (CH3O)2-Si(CH3)-CH2=CH3
在一实施方式中,该低介电常数薄膜是在RF电源下由包含一种或多种有机硅化物及一种或多种氧化气体的混合物中所沉积。可使用的氧化气体包括氧气(O2)、臭氧(O3)、一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)、水(H2O)、二甲基代乙二醛(2,3-butane dione)或其结合物。当使用臭氧作为氧化气体时,臭氧产生器可将气体源中重量6%至20%(一般约15%)的氧气转换为臭氧,而剩余仍为氧气。然而,臭氧浓度可依据所需臭氧的量、以及所用臭氧产生设备的类型增加或减少。氧气或含氧化合物的解离可在进入沉积室之前在微波腔室内进行,以降低含硅化合物的过量解离。优选地,可施加射频(RF)电源至反应区以增加解离量。
亦可选择的是,除该一种或多种有机硅化物及该选择性的一种或多种氧化气体外,混合物中也可包括一种或多种碳氢化合物以沉积低介电常数薄膜。可使用的碳氢化合物包括具有从约1至20个邻接碳原子的脂肪族碳氢化合物。该碳氢化合物可包括数个通过单键、双键及三键的任一结合而键结的邻接碳原子。例如,有机化合物可包括具有两个至约20个碳原子的烯烃基及亚烃基,例如乙烯、丙烯、乙炔及丁二烯。
也可使用一种或多种具有环状团的碳氢化合物。此处所用的术语“环状团(cyclic group)”意指为环形结构。该环形结构可包含仅三个原子。该原子可包括,例如碳、硅、氮、氧、氟及其结合物。该环状团可包括一个或多个单键、双键、三键及其任一结合。例如,环状团可包括一个或多个芳香烃、芳基、苯基、环已烷基、环己二烯基、环庚二烯基、及其组合。该环状团可为双环或三环。此外,该环状团优选地为键结至直链或分支官能基团。该直链或分支官能基团优选地包含烷基或烷基乙烯基团,且具有约1个至20个碳原子。该直链或分支官能基团也可包括氧原子,例如酮、醚及酯类。一些具有至少一个环状团的示例性碳氢化合物包括松油烯(alpha-terpinene,ATP)、乙烯基环已胺(vinylcyclohexane,VCH)及乙酸苯酯(phenylacetate)。
亦可选择的是,该混合物中也可包括一种或多种用于沉积低介电常数薄膜的运载气体。可使用的运载气体包括氩、氦、二氧化碳及其组合。
该薄膜也可利用任何化学气相沉积腔室进行沉积。图1所示为可使用的平行板式CVD工序腔室10的截面图。该腔室10包括高真空区15及气体散流歧管11,该气体散流歧管11具有数个穿孔用以散布工艺气体至衬底(未示出)。该衬底置于衬底支撑板或基座12上。该基座12安装在支撑杆13上,而该支撑杆13将该基座12连接至提升电机14。该提升电机14可将该基座12升举及降低于处理位置及较低的衬底装载位置,以使基座12(及该支撑在基座12上表面上的衬底)在较低的装载/卸载位置及较高的处理位置(相当接近该歧管11)之间控制地移动。当该基座12及该衬底位于较高处理位置时,绝缘体17环绕该基座12及该衬底。
引入歧管11的气体均匀地径向分布在衬底表面。具有节流阀的真空泵32可经由歧管24控制来自该腔室10的气体的排气速率。若需要时,沉积物及运载气体可流经气体线18进入混合系统19并接着至该歧管11。一般而言,各工艺气体供应线18包括(i)安全关闭阀(未示出),可用以自动或手动地关闭流进腔室的工艺气体,以及(ii)质量流量控制器(亦未示出),用以测量流经气体供应线18的气体。当工序中使用有毒气体时,通常配置中各气体供应线18上设置数个安全关闭阀。
在一实施例中,有机硅化物以用于200或300mm衬底的流率(约100sccm至约10000sccm)引入该混合系统19。该选择性碳氢化合物以约100sccm至约1000sccm的流率引入该混合系统19。该选择性使用的氧化气体流率约为100sccm至约6000sccm。该运载气体流率约为100sccm至约5000sccm。在一优选实施方式中,该有机硅化物为八甲基环四硅氧烷(OMCTS),而该碳氢化合物为乙烯。
该沉积工序优选地为等离子增强型工序。在等离子增强型工序中,受控的等离子一般通过施加(利用RF电源25)至气体散流歧管11的RF能量形成在该衬底邻近处。或者,RF电源可提供至基座12。至该沉积腔室的RF电源可为周期式或脉冲式,以降低衬底受热并促进沉积薄膜的多孔性。用于200mm或300mm衬底的等离子的功率密度在约0.03W/cm2至约3.2W/cm2之间,该值与用于200mm衬底时约10瓦至约1000瓦的RF功率水平相对应,并与用于300mm衬底时约20瓦至约2250瓦的RF功率水平相对应。优选地,用于300mm衬底的RF功率水平约为200瓦至约1700瓦。
RF电源25可提供在约0.01MHz至300MHz之间的单频率RF电源。优选地,该RF电源可利用混合、同步频率作传送以增加引入高真空区域15的反应物的分解。在一方面,该混合频率为约12kHz的低频及约13.56mHz的高频的混合频率。于另一方面中,该低频范围在约300Hz至约1000kHz之间,而该高频范围在约5mHz及约50mHz之间。优选地,该低频功率水平为150瓦。优选地,该高频功率水平在约200瓦至约750瓦之间,且更优选地在约200瓦至约400瓦。
在沉积期间,该衬底保持在从约-20℃至约500℃之间的温度,并优选地在从约100℃至约450℃之间。该沉积压力一般在约1Torr至约20Torr之间,并优选地在约4Torr至约7Torr之间。下文将详述依据此处所述实施方式中可用于沉积低介电常数层的示例性腔室。
当需要远程分解氧化气体时,可选择使用的微波腔室28在气体进入处理腔室10之前先将在约50瓦至约6000瓦间的电源输入至该氧化气体。该附加的微波电源可避免有机硅化物在与氧化气体反应之前发生过量分解。在微波电源加至氧化气体时,具有分别用于有机硅化物及氧化气体的通道的气体散流板(未示出)为优选的。
一般而言,该腔室内衬、散流歧管11、基座12及各种其它反应器硬件中任一或全部由诸如铝或电镀铝的材料制成。上述CVD反应器的实施例描述于授予Wang等人并转让给应用材料公司(本发明的受让人)的美国专利案第5,000,113号,标题为“AThemal CVD/PECVDReactor and Use for Thermal Chemical VaporDeposition of Silicon Dioxideand In-situ Multi-step Planarized Process”中,在将其全文与本发明范围相符部分结合进来以供参考。
系统控制器34可控制电机14、气体混合系统19及高频电源25,其等系藉控制线36相连接。系统控制器34可控制该CVD反应器的动作,并且一般包括硬盘驱动器、软盘驱动器及插件架(card rack)。该插件架包含单板式计算机(single board computer,SBC)、模拟及数字输入/输出板、接口板以及步进电机控制板。系统控制器34符合总线模块欧式卡(Versa Modular Europeans,VME)标准,该标准定义了主板、插件架(card cage)以及连接器尺寸及类型。该VME标准还定义了具有16位数据总线及24位地址总线的总线结构。系统控制器34在存储在硬盘驱动器38上的计算机程序的控制下运行。
此处所述的该低介电常数薄膜可利用存储有软件例程的计算机存储介质进行沉积,其中当执行该软件例程时可使通用计算机控制沉积腔室。该软件例程包含用于根据这里所述的任意实施方式沉积任何薄膜的数个指令。
后处理低介电常数薄膜
在该低介电常数薄膜沉积后,该通过下述工序对低介电常数薄膜进行后处理,该工序包括将该低介电常数薄膜快速加热致所需高温,并接着将其快速冷却。该所需高温在约600℃至约1000℃,例如约800℃。优选地,该低介电常数薄膜由从约25℃至约250℃的温度,以至少约10℃/秒的速率加热至该所需高温。例如,该低介电常数薄膜可以约10℃/秒至约300℃/秒的速率加热。优选地,该低介电常数薄膜以从约100℃/秒至约300℃/秒的速率(例如约250℃/秒)作加热。在该低介电常数薄膜达该所需高温后,关闭该用于加热该低介电常数薄膜的热源(群),并以至少约10℃/秒(例如从约10℃/秒至约100℃/秒有速率)冷却该低介电常数薄膜。该低介电常数薄膜的冷却可以通过在该后处理工序室中设反射板的方式增强。优选地,该冷却速率可以通过该后处理工序室中的反射板、以及通过将该衬底(其上沉积有该低介电常数薄膜)背侧暴露在惰性气体(如氦气)流等两种方式来增强。例如,该衬底背侧可暴露在流率在约10sccm至约500sccm的氦气。由于对该低介电常数薄膜进行快速加热及快速冷却,该加热低介电常数薄膜的起始至冷却该低介电常数薄膜的终了的时间长度一般在约0.5分至约5分钟。
通常,该低介电常数薄膜在腔室氛围下进行加热及冷却,该氛围可能包括氩气(Ar)、氮气(N2)、氦气(He)、氧气(O2)、氢气(H2)、水蒸气(H2O)、一氧化二氮(N2O)或其组合。该腔室压力可在约100Torr至约760Torr之间。该腔室压力可经调整以改变该低介电常数薄膜的冷却速率。
在一实施方式中,该低介电常数薄膜在氩气环境下进行加热及冷却。在一实施方式中,氩气是以在约10sccm至约100sccm的速率引入该腔室中。
任何能够以约5秒或更少的时间(较佳为1秒或更少)将低介电常数薄膜快速加热至所需高温、并接着将其快速冷却的腔室均可用于后处理该低介电常数薄膜。下面将详细说明这里所述的可用于后处理低介电常数薄膜的示例性腔室。
一种可使用的腔室为RadianceTMRTP腔室,其可从加州圣塔克拉拉应用材料公司购得。图2所示为腔室200,其为该RadianceTMRTP腔室的一实施方式。图2所示的腔室200包括由侧壁214及底壁215围住的处理区213。该腔室200的侧壁214上部通过O形环216密封至窗口248。
衬底或晶片261在其边缘通过支撑环262(一般由碳化硅制成)支撑在处理区213内。支撑环262安装在一可转式石英柱263上。通过可转式石英柱263,支撑环262及衬底261可因而旋转。也可使用额外的碳化硅转接环以可以处理不同尺寸的晶片(例如150mm、200mm及300mm)。支撑环262外缘优选地由衬底261外径延伸不超过2英寸。对300mm系统而言,腔室200容积大约为9公升。
腔室200包括贯穿侧壁214形成的进气口269,用以将工艺气体注入处理区213内以使不同处理步骤可在处理区213内实施。位于进气口269对侧上(即侧壁214处)的为排气口268。排气口268耦接至真空源286(例如泵),以将工艺气体排出腔室200并降低腔室200中的压力。在工序期间该真空源286维持所需的压力,同时将工艺气体送入该腔室中。
辐射能组件218位于该窗口248上方。该辐射能组件218包括数个卤钨灯灯组219(例如Sylvania EYT灯),其各安装至光导管221中且光导管可为不锈钢、金、铜、铝或其它金属。灯组219包括缠绕成为线圈的灯丝,其轴平行于该灯套(lamp envelope)的轴。绝大多数的光线会垂直于该轴发散至周围光导管221的壁。该光导管长度经选择以至少与相关的灯组等长。该光导管221可较长以使触及衬底的能量不会因增加的反射而实质减弱。该灯组219以六角形阵列或蜂巢形方式设置。灯组219设置为足以覆盖衬底261及支撑环262的整个表面积。根据该工艺所需,灯组219(其可能在几百的数量级)聚集在一区中,以可独立地控制从而提供相当均匀或不均匀的衬底261加热。
该辐射能组件218至少包含数个光导管221及相关灯组219,以使薄窗口248可提供光端口,用于加热该排真空工序腔室内的衬底。该窗口248的主要目的是将工艺环境与灯组219隔绝,避免灯组过热而与工艺气体反应。光导管221通过在各种热导管间流动冷却剂(例如水)的方式进行冷却。
虽然前述的辐射能组件218包括数个卤钨灯灯组219,然于另一实施方式中,该辐射能组件218包括紫外光灯。
腔室200的底壁215包括上表面211,用于反射衬底261背侧上的能量。此外,腔室200包括数个光学温度探针270,贯穿腔室200底壁215设置以检测衬底261表面数个位置的温度。硅衬底261及反射表面211间的反射会形成黑体腔(blackbody cavity),使温度检测不受晶片背侧发散影响,并提供正确温度测量能力。
在一实施方式中,该反射表面211呈吸收反射板形式,反射0.7至0.96nm的波长,并以反射该该辐射能组件218发散的另一波长。该反射板的吸收特性可提高低介电常数薄膜的冷却速率。该低介电常数薄膜的冷却速率通过将该衬底(其上沉积有该低介电薄膜)背侧暴露在惰气流的方式进一步提高,例如通过将惰性气体引至该反射板边缘周围或通过孔洞(设于反射板中)而至衬底背侧。
腔室200的各方面由控制系统(未示出)所操作。该控制系统可包括任何数量的控制器、处理器及输入/输出装置。在一实施例中,该控制系统为封闭回路反馈系统的组件,其可监控该工序腔室200内的各种参数,同时处理衬底,并接着送出一个或多个控制讯号以依据各种设定值作必要调整。一般而言,被监控的参数包括温度、压力及气流速率。
在又一实施方式中,后处理该低介电常数薄膜的步骤包括将该低介电常数薄膜快速加热至一所需高温,并将该低介电常数薄膜从该所需高温快速冷却,并用电子束处理对该低介电常数薄膜进行处理。该低介电常数薄膜可在该快速加热及快速冷却前或后用电子束处理进行处理。
该电子束(e-beam)处理一般剂量在约1至20千伏(KeV)下,在从每平方公分50至约2000微库伦(μc/cm2)之间。该电子束电流一般在约1mA至约40mA,且优选为约1至约20微米。该电子束电流一般在约1mA至约40mA,而优选在约1mA至约20mA。该电子束处理一般在约室温至约450℃之间的温度下实施约10秒至约15分钟。在一实施方案中,该电子束处理条件包括6kV、10-18mA及50μc/cm2以350℃处理约15至约30秒,以处理厚度约1微米的薄膜。在另一实施方案中,该电子束处理条件包括4.5kV、10-18mA及50μc/cm2以350℃处理约15至约30秒,以处理厚度约
Figure C200580012778D0014144512QIETU
的薄膜。在该电子束处理期间可使用氩气及氢气。虽然可使用任一种电子束装置,但示例性装置为EBK腔室,其可从应用材料公司商业购得。在低介电常数薄膜沉积后,用电子束处理该低介电常数薄膜会挥发该薄膜中至少一些有机基团,并因此在薄膜中形成孔洞。
图3所示为依据本发明的一实施方式的电子束处理器300。该电子束处理器300包括真空室320、大面积阴极322、位于无场区(field free)338中的靶材面330以及网状阳极326(设于该靶材面330及该大面积阴极322间)。该电子束腔室300还包括高压绝缘体324及加速场区336(其可将该网状阳极326由大面积阴极322隔绝出)、阴极覆盖绝缘体328(位于该真空室320内)、可变簧片阀332(用以控制该真空室320内的压力)、可变高压电源329(连接至该大面积阴极322)以及可变低压电源331(连接至该网状阳极326)。
在操作中,其上具有欲暴露在电子束的低介电常数薄膜的衬底(未示出)置于该靶材面330上。该真空室320从常压抽真空至范围约1mTorr至约200mTorr的压力。该确切压力由可变速率簧片阀332控制,其可将压力控制至约0.1mTorr。该电子束一般是在足够高压下产生,并且通过高压电源329施加至大面积阴极322。电压范围在约500伏特至约30000伏特或更高。该高压电源329可为纽约州Bertan of Hickville公司所制造的Bertan Model#105-30R电源、或纽约州Spellman High Voltage Electronics Corp.,of Hauppauge公司所制造的Spellman Model#SL30N-1200X258电源。该可变低压电源331可施加电压至该网状阳极326,其相对于施加至该大面积阴极322的电压为正值(positive)。此电压用于控制自该大面积阴极322的电子发射。该可变低压电源331可为Acopian of Easton,Pa公司所上市的Acopian Model#150PT12电源。
该电子束腔室300的其它细节则描述于授予William R.Livesay等人的美国专利案第5,003,178号,发明名称为“Large-Area Uniform Electron Source”,其受让给Electron Vision Corporation公司(目前由本发明的受让人所拥有)且在此将其全文与本发明相符部分结合进来以供参考。
在另一实施方式中,后处理该低介电常数薄膜的步骤包括将该低介电常数薄膜快速加热至所需高温、将该低介电常数薄膜从该所需高温快速冷却及以紫外线(UV)辐射处理该低介电常数薄膜。优选地,该低介电常数薄膜以UV辐射处理,同时对该低介电常数薄膜施予至少一部份快速加热和/或冷却处理。然而,该低介电常数薄膜也可在快速加热及冷却该低介电常数薄膜之前或之后以UV辐射作处理。优选地,在快速加热及冷却低介电常数薄膜之前或之后以UV辐射处理低介电常数薄膜的实施方式中,在UV辐射期间该低介电常数薄膜是以在约200℃至约600℃间的温度加热。例如,该低介电常数薄膜可暴露于腔室中的UV辐射下,例如具有UV源的高温炉中。在UV辐射处理期间,该腔室可为真空或常压氛围。
该低介电常数薄膜可暴露在一种或多种UV辐射波长中。腔室的实施例及可将该低介电常数薄膜暴露在UV辐射下的方法公开在美国专利第6,614,181号,共同转让给本申请受让人,并且在此引用全文以供参考。
在另一实施方式中,该低介电常数薄膜通过包括下述步骤的方法进行后处理:将该低介电常数薄膜快速加热及冷却、以UV辐射处理该低介电常数薄膜及用电子束处理该低介电常数。该后处理工序可以任何顺序进行。然而,优选地根据这里所述本发明的实施方式通过对该低介电常数薄膜进行快速加热及冷却并且同时UV辐射该低介电常数薄膜然后以电子束处理该低介电常数薄膜进行后处理。
包括快速加热及快速冷却低介电常数薄膜并UV辐射和/或用电子束处理该低介电常数薄膜的后处理可提高低介电常数薄膜的特性。例如,包括快速加热和冷却以及UV辐射的后处理能够降低沉积薄膜的介电常数。包括快速加热及快速冷却及任意的UV辐射的后处理能够降低该沉积薄膜的介电常数,并增加薄膜硬度及模数(modulus)。
在前述任一实施方式中,该低介电常数薄膜可在集成的处理系统(例如应用材料公司出售的
Figure C200580012778D00161
Figure C200580012778D00162
机台)内进行沉积及后处理。因此,该低介电常数薄膜可在无需暴露至大气下的方式进行沉积及后处理。在实施超过一种后处理工序的实施方式中,该低介电常数薄膜在不同后处理工序间可受保护免受大气影响。例如,在另一腔室中快速加热及冷却及选择性实施的UV辐射后,该低介电常数薄膜可送至电子束腔室,而无需在快速加热及快速冷却与电子束处理之间暴露在大气中。
下述的实施例将说明处理其上沉积有低介电常数薄膜沉积的衬底的方法。该薄膜利用应用材料公司出售的
Figure C200580012778D00163
CVD腔室沉积在300mm衬底上。
对照例1
包含硅、碳及氧的低介电常数薄膜是由包含八甲基环四硅氧烷(OMCTS)、三甲基硅烷以及乙烯的气体混合物沉积在衬底上。该OMCTS以约520sccm的流率引入腔室中,该三甲基硅氧烷是以300sccm引入该腔室中,且该乙烯以约2200sccm的流率引入该腔室。氦气以约1000sccm的流率引入腔室中,而氧气系以约1000sccm的流率引入腔室。该薄膜利用频率为13.56MHz、800瓦RF电源在400℃、5.7Torr的压力下沉积约20秒。当沉积时,该低介电常数薄膜厚度为
Figure C200580012778D0017144631QIETU
介电常数(k)为2.77,且硬度为0.59gPa。
对照例2
低介电常数薄膜以对照例1中所述方式沉积在衬底上。该低介电常数薄膜在800℃的温度下进行热退火处理1分钟的方式进行后处理。当沉积时,该低介电常数薄膜厚度为。在该后处理后,该低介电常数薄膜厚度为(收缩了12.2%)。在该后处理后,该低介电常数薄膜的介电常数(k)为3.35,且硬度为1.82gPa。
对照例3
低介电常数薄膜以对照例1所述沉积衬底上。该低介电常数薄膜通过3mA电流并且在温度400℃、电压4.5kV下且剂量为100μc/cm2的电子束处理进行后处理。当沉积时,该低介电常数薄膜厚度为
Figure C200580012778D0017144727QIETU
。在该后处理后,该低介电常数薄膜厚度为
Figure C200580012778D0017144749QIETU
(收缩了6.1%)。在该后处理后,该低介电常数薄膜的介电常数(k)为2.74,且硬度为1.14gPa。
实施例1
低介电常数薄膜以对照例1所述方式沉积在衬底上。该低介电常数薄膜在RadianceTM RTP腔室中由室温快速加热至800℃并立即快速冷却至120℃,从而以在30秒内加热及冷却该低介电常数薄膜的方式进行后处理。当沉积时,该低介电常数薄膜厚度为
Figure C200580012778D0017144809QIETU
。在该后处理后,该低介电常数薄膜厚度为
Figure C200580012778D0017144821QIETU
(收缩了0.3%)。在该后处理后,该低介电常数薄膜的介电常数(k)为2.53,且硬度为0.62gPa。
实施例2
低介电常数以对照例1所述方式沉积在衬底上。该低介电常数薄膜在RadianceTMRTP腔室中由室温快速加热至800℃并且立即快速冷却至120℃,从而以在30秒内加热及冷却该低介电常数薄膜的方式进行后处理。当沉积时,该低介电常数薄膜厚度为
Figure C200580012778D0018144844QIETU
。在该后处理后,该低介电常数薄膜厚度为
Figure C200580012778D0018144852QIETU
(收缩了0.3%)。在该后处理后,该低介电常数薄膜的介电常数(k)为2.44。
实施例1及实施例2均说明在依据此处所述实施方式以快速加热及快速冷却该低介电常数薄膜的方式后处理该低介电常数薄膜会使薄膜的介电常数较未作后处理的薄膜、或以电子束处理或传统退火处理进行后处理的薄膜者更低。以此处所述的后处理处置的薄膜通过对其进行后处理,使致孔剂(porogens,例如有机基团)由低介电常数薄膜中释出的方式达到低介电常数。
实施例1更证明依据此处所述实施方式快速加热及冷却该低介电常数薄膜并不会对沉积薄膜的硬度造成影响。该实施例还表明依据此处所述实施方式所进行的低介电常数薄膜后处理,其相较于其它后处理工序所导致的收缩更低。
因此,本发明的该实施方式提供一种低介电常数薄膜的后处理方法,以在不降低该薄膜硬度的情况下降低其介电常数,并使因后处理造成的收缩减至最小。本发明实施方式中快速加热及冷却后处理的其它优点包括可因快速的后处理工序而使衬底产量较高,并降低依据本发明实施方式处理的衬底的热平衡。
虽然前文所述是本发明的实施方式,然而在不脱离本发明基本范围的情况下还可以设计本发明的其它及进一步的实施方式,而本发明范围所附权利要求书确定。

Claims (19)

1.一种处理衬底的方法,包括:
在射频电源下在衬底上沉积包含硅及碳的低介电常数薄膜,其中该低介电常数薄膜的介电常数小于4;以及
通过下述工序对该沉积的低介电常数薄膜进行后处理,该工序包括:
将该低介电常数薄膜以至少10℃/秒的速率加热到至少600℃的所需温度,其中该低介电常数薄膜维持在该所需温度5秒或更少;以及
以至少10℃/秒的速率冷却该低介电常数薄膜。
2.根据权利要求1所述的方法,其特征在于,该低介电常数薄膜以在10℃/秒至300℃/秒之间的速率加热,并在10℃/秒至100℃/秒之间的速率冷却。
3.根据权利要求1所述的方法,其特征在于,所述后处理还包括用紫外光辐射处理该低介电常数薄膜。
4.根据权利要求3所述的方法,其特征在于,包含加热及冷却该低介电常数薄膜的所述工序与用紫外光辐射处理该低介电常数薄膜同时进行。
5.根据权利要求4所述的方法,其特征在于,该后处理还包括用电子束处理该低介电常数薄膜,其中该电子束处理在加热及冷却该低介电常数薄膜之前或之后实施。
6.根据权利要求5所述的方法,其特征在于,该低介电常数薄膜是在一集成处理系统中进行后处理,从而使该低介电常数薄膜在加热该低介电常数薄膜及用电子束处理该低介电常数薄膜之间不会暴露在大气中。
7.根据权利要求3所述的方法,其特征在于,用紫外光辐射处理该低介电常数薄膜在包括加热及冷却该低介电常数薄膜的所述工序之前或之后相继实施。
8.根据权利要求1所述的方法,其特征在于,该后处理还包括用电子束处理该低介电常数薄膜,其中该电子束处理在加热及冷却该低介电常数薄膜之前或之后实施。
9.根据权利要求8所述的方法,其特征在于,该低介电常数薄膜在一集成处理系统中进行后处理,从而使该低介电常数薄膜在加热该低介电常数薄膜及用电子束处理该低介电常数薄膜之间不会暴露在大气中。
10.根据权利要求1所述的方法,其特征在于,该低介电常数薄膜还包含氧。
11.一种处理衬底的方法,包括:
在射频电源下在衬底上沉积包含硅及碳的低介电常数薄膜,其中该低介电常数薄膜的介电常数小于4;以及
通过下述工序对该沉积的低介电常数薄膜进行后处理,该工序包含:
将该低介电常数薄膜从在25℃至250℃的温度加热至在600℃至1000℃的温度,其中该低介电常数薄膜在所述600℃至1000℃的温度下加热5秒或更少;以及
将该低介电常数薄膜从所述600℃至1000℃的温度冷却,其中加热该低介电常数薄膜的起始至冷却该低介电常数薄膜的终了的时间长度在0.5分钟至5分钟。
12.根据权利要求11所述的方法,其特征在于,该低介电常数薄膜以10℃/秒至300℃/秒的速率加热,并以10℃/秒至100℃/秒的速率冷却。
13.根据权利要求11所述的方法,其特征在于,该后处理还包括以紫外光辐射处理该低介电常数薄膜。
14.根据权利要求11所述的方法,其特征在于,该后处理还包括用电子束处理该低介电常数薄膜,其中该电子束处理在加热及冷却该低介电常数薄膜之前或之后实施。
15.根据权利要求11所述的方法,其特征在于,所述冷却该低介电常数薄膜的步骤包括将该衬底背侧暴露在气体流。
16.一种处理衬底的方法,包括:
在射频电源下在衬底上沉积包含硅及碳的低介电常数薄膜,其中该低介电常数薄膜的介电常数小于4;以及
通过下述工序对该沉积的低介电常数薄膜进行后处理,该工序包含:
将该低介电常数薄膜以至少10℃/秒的速率加热至至少600℃的所需温度,其中该低介电常数薄膜维持在该至少600℃的所需温度5秒或更少;以及
将该低介电常数薄膜以至少10℃/秒的速率冷却,其中加热低介电常数薄膜的起始至冷却该低介电常数薄膜的终了的时间长度在0.5分钟至5分钟的时间范围内。
17.根据权利要求16所述的方法,其特征在于,该低介电常数薄膜从25℃至250℃的温度进行加热,而所述的所需温度在800℃至900℃之间。
18.根据权利要求16所述的方法,其特征在于,该后处理还包括用紫外光辐射处理该低介电常数薄膜。
19.根据权利要求16所述的方法,其特征在于,该后处理还包括用电子束处理该低介电常数薄膜,其中该电子束处理在加热及冷却该低介电常数薄膜之前或之后实施。
CNB2005800127783A 2004-04-21 2005-01-27 低k介电薄膜的后处理 Expired - Fee Related CN100472733C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/830,203 US7018941B2 (en) 2004-04-21 2004-04-21 Post treatment of low k dielectric films
US10/830,203 2004-04-21

Publications (2)

Publication Number Publication Date
CN1947229A CN1947229A (zh) 2007-04-11
CN100472733C true CN100472733C (zh) 2009-03-25

Family

ID=34960755

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800127783A Expired - Fee Related CN100472733C (zh) 2004-04-21 2005-01-27 低k介电薄膜的后处理

Country Status (6)

Country Link
US (1) US7018941B2 (zh)
JP (1) JP4769344B2 (zh)
KR (1) KR101046530B1 (zh)
CN (1) CN100472733C (zh)
TW (1) TWI374498B (zh)
WO (1) WO2005109484A1 (zh)

Families Citing this family (445)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002329606A1 (en) * 2001-07-17 2003-03-03 Bioforce Nanosciences, Inc. Combined molecular blinding detection through force microscopy and mass spectrometry
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
JP2006013289A (ja) * 2004-06-29 2006-01-12 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
US20060035477A1 (en) * 2004-08-12 2006-02-16 Karen Mai Methods and systems for rapid thermal processing
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20090107527A1 (en) * 2007-10-31 2009-04-30 United Microelectronics Corp. Method of cleaning transparent device in a thermal process apparatus, thermal process apparatus and process using the same thermal process apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103121856B (zh) * 2011-07-25 2014-08-13 重庆文理学院 一种介孔氧化硅薄膜材料的制备方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8735204B1 (en) 2013-01-17 2014-05-27 Alliance For Sustainable Energy, Llc Contact formation and gettering of precipitated impurities by multiple firing during semiconductor device fabrication
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8895416B2 (en) * 2013-03-11 2014-11-25 Alliance For Sustainable Energy, Llc Semiconductor device PN junction fabrication using optical processing of amorphous semiconductor material
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9947576B2 (en) * 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6500740B1 (en) 1997-07-14 2002-12-31 Agere Systems Inc. Process for fabricating semiconductor devices in which the distribution of dopants is controlled
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6033999A (en) 1998-02-02 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of solving contact oblique problems of an ILD layer using a rapid thermal anneal
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6025279A (en) 1998-05-29 2000-02-15 Taiwan Semiconductor Manufacturing Company Method of reducing nitride and oxide peeling after planarization using an anneal
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6133086A (en) 1999-06-24 2000-10-17 United Microelectronics Corp. Fabrication method of a tantalum pentoxide dielectric layer for a DRAM capacitor
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6437406B1 (en) 2000-10-19 2002-08-20 International Business Machines Corporation Super-halo formation in FETs
US6790789B2 (en) 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6607980B2 (en) 2001-02-12 2003-08-19 Symetrix Corporation Rapid-temperature pulsing anneal method at low temperature for fabricating layered superlattice materials and making electronic devices including same
US6303524B1 (en) 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6764940B1 (en) * 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US20020162500A1 (en) 2001-05-02 2002-11-07 Applied Materials, Inc. Deposition of tungsten silicide films
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US20040101632A1 (en) 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6586297B1 (en) 2002-06-01 2003-07-01 Newport Fab, Llc Method for integrating a metastable base into a high-performance HBT and related structure
US7058237B2 (en) * 2002-06-28 2006-06-06 Microsoft Corporation Real-time wide-angle image correction system and method for computer image viewing
US6780720B2 (en) 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6927169B2 (en) 2002-12-19 2005-08-09 Applied Materials Inc. Method and apparatus to improve thickness uniformity of surfaces for integrated device manufacturing
US6737365B1 (en) 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process

Also Published As

Publication number Publication date
CN1947229A (zh) 2007-04-11
JP4769344B2 (ja) 2011-09-07
KR101046530B1 (ko) 2011-07-04
US7018941B2 (en) 2006-03-28
TW200536018A (en) 2005-11-01
WO2005109484A1 (en) 2005-11-17
JP2007534174A (ja) 2007-11-22
KR20070004975A (ko) 2007-01-09
US20050239293A1 (en) 2005-10-27
TWI374498B (en) 2012-10-11

Similar Documents

Publication Publication Date Title
CN100472733C (zh) 低k介电薄膜的后处理
US7906174B1 (en) PECVD methods for producing ultra low-k dielectric films using UV treatment
US11270896B2 (en) Apparatus for UV flowable dielectric
KR100787657B1 (ko) 낮은 수치의 유전 상수를 갖는 필름을 증착하기 위한 방법
JP2651102B2 (ja) 半導体処理リアクタ
US20180061650A1 (en) High dry etch rate materials for semiconductor patterning applications
US7060330B2 (en) Method for forming ultra low k films using electron beam
CN100400707C (zh) 用电子束硬化低介电常数膜的方法
JP2017034245A (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
US9916977B2 (en) Low k dielectric deposition via UV driven photopolymerization
US20060270221A1 (en) Heated gas feedthrough for cvd chambers
US20050178333A1 (en) System and method of CVD chamber cleaning
US20080099920A1 (en) Multi-stage curing of low k nano-porous films
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
WO2006109735A1 (ja) 成膜方法及び成膜装置
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
US20090197086A1 (en) Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
WO2010090038A1 (ja) 絶縁膜材料、この絶縁膜材料を用いた成膜方法および絶縁膜
US7501354B2 (en) Formation of low K material utilizing process having readily cleaned by-products
US6709721B2 (en) Purge heater design and process development for the improvement of low k film properties
US6028014A (en) Plasma-enhanced oxide process optimization and material and apparatus therefor
US9502255B2 (en) Low-k damage repair and pore sealing agents with photosensitive end groups
US20070243327A1 (en) Film forming method and apparatus
KR20050004844A (ko) 전자 비임에 의한 저유전상수 필름의 경화 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090325

Termination date: 20150127

EXPY Termination of patent right or utility model