KR20070004975A - 저 유전체 상수(κ) 필름의 후처리 방법 - Google Patents

저 유전체 상수(κ) 필름의 후처리 방법 Download PDF

Info

Publication number
KR20070004975A
KR20070004975A KR1020067024006A KR20067024006A KR20070004975A KR 20070004975 A KR20070004975 A KR 20070004975A KR 1020067024006 A KR1020067024006 A KR 1020067024006A KR 20067024006 A KR20067024006 A KR 20067024006A KR 20070004975 A KR20070004975 A KR 20070004975A
Authority
KR
South Korea
Prior art keywords
dielectric constant
low dielectric
constant film
substrate processing
processing method
Prior art date
Application number
KR1020067024006A
Other languages
English (en)
Other versions
KR101046530B1 (ko
Inventor
젠지앙 쿠이
조세핀 제이. 창
알렉산드로스 티. 데모스
레자 아르그하바니
데렉 알. 위티
헬렌 알. 아르메르
기리쉬 에이. 디씩트
히쳄 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070004975A publication Critical patent/KR20070004975A/ko
Application granted granted Critical
Publication of KR101046530B1 publication Critical patent/KR101046530B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

저 유전체 상수 필름을 기판 상에 증착하고 상기 저 유전체 상수 필름을 후처리하는 방법이 제공된다. 상기 후처리 단계는 상기 저 유전체 상수 필름이 약 5초 이하 동안 상기 소정의 온도에 노출되지 않도록 상기 저 유전체 상수 필름을 소정의 온도로 급속 냉각하고 상기 저 유전체 상수 필름을 급속 냉각하는 단계를 포함한다. 일면에서, 상기 후처리 단계는 상기 저 유전체 상수 필름을 전자 비임 및/또는 UV 방사선에 노출시키는 단계도 포함한다.

Description

저 유전체 상수(κ) 필름의 후처리 방법 {POST TREATMENT OF LOW κ DIELECTRIC FILMS}
본 발명은 집적 회로의 제조에 관한 것이다. 특히, 본 발명은 저 유전체 상부 필름의 증착 및 후처리 공정에 관한 것이다.
반도체 소자의 구조는 수십 년 전에 제일 먼저 도입된 이후로 크기 면에서 현저히 감소 되었다. 그 이후로, 집적 회로는 칩 상에 제공되는 반도체 소자의 수가 매 2 년마다 두 배가된다는 것을 의미하는 2년/절반 크기 법칙(소위, 무어의 법칙이라 칭함)을 일반적으로 따르고 있었다. 오늘날의 제조 공장들은 0.13 ㎛ 심지어는 0.1 ㎛의 회로선 폭을 갖는 반도체 소자들을 반복적으로 생산하고 있으나, 미래의 공장들은 보다 작아진 크기를 갖는 반도체 소자들을 생산할 것이다.
집적 회로 상의 반도체 소자들의 크기를 더욱 감소시키기 위해서, 인접 금속 라인들 사이의 용량성 결합을 감소시킬 수 있도록 저 유전체 상수(κ)를 갖는 절연체를 사용하고 낮은 저항을 갖는 전도체 재료를 사용할 필요가 있다. 저 유전체 상수의 절연 필름에서의 최근의 발전 상황은 실리콘(Si), 탄소(C), 및 산소(O) 원자들을 필름으로 결합시키는 것에 촛점이 맞춰져 있다. 이러한 분야에 있어서의 하나의 도전은 낮은 유전체 상수 값을 가지며 바람직한 열적 기계적 특성을 나타내 는 Si, C, 및 O를 함유하는 필름을 발전시키는데 있다. 종종, 바람직한 유전체 상수를 갖는 Si, C, 및 O를 함유하는 필름은 연속적인 후속 공정 중의 플라즈마 노출과 에칭 화학물에 의해 용이하게 손상되고 열악한 기계적 강도를 나타내게 되어, 집적 회로로의 완성에 실패하게 된다.
열적 및 플라즈마 어닐링 공정은 낮은 유전체 상수 필름의 특성을 개선시키고자 하는 시도들로 인해 발전 되어 왔다. 열적 및 플라즈마 어닐링 공정은 통상적으로, 낮은 유전체 상수 필름이 증착되는 기판 또는 반도체 소자의 다른 성분들에 대한 손상을 방지하도록 약 400℃ 미만의 온도에서 수행된다. 열적 및 플라즈마 어닐링 공정은 Si, C, 및 O를 포함하는 저 유전체 상수 필름을 고밀도화시키 것이 발견되었다. 그러나, 그러한 어닐링 공정은 통상적으로 약 30 분 내지 2 시간 동안 수행되어야 하기 때문에 기판 처리 시간을 상당히 증가시킨다. 또한, 저 유전체 상수 필름에 대한 기계적 유전체적 특성에 대한 추가의 개선이 여전히 요망되고 있다.
따라서, 저 유전체 상수 필름의 특성을 개선하기 위해 저 유전체 상수 필름을 후처리하는 방법에 대한 필요성이 남아 있다.
본 발명은, RF 전력의 존재하에서 실리콘과 탄소를 함유하는 저 유전체 상부 필름을 기판 상에 증착하는 단계; 및 상기 저 유전체 상수 필름을 약 5초 이하 동안 소정의 온도로 유지하면서, 약 10℃/초 이상의 속도로 약 600℃ 이상의 소정 온도에서 상기 저 유전체 상수 필름을 가열한 후에, 약 10℃/초 이상의 속도로 상기 저 유전체 상수 필름을 냉각시키는 공정에 의해 상기 증착된 저 유전체 상수 필름을 후처리하는 단계를 포함하는 기판 처리 방법을 제공한다. 일 실시예에서, 저 유전체 상수 필름은 약 0.5 분 내지 약 5분의 시간 주기 동안 내에 가열 및 냉각된다.
일 실시예에서, 저 유전체 상수 필름은 약 25℃ 내지 약 250℃ 범위의 온도로부터 약 600℃ 내지 약 1000℃의 소정의 온도로 가열되며, 여기서 상기 저 유전체 상수 필름은 약 5초 이하 동안 소정의 온도에서 가열된 후에 소정의 온도로부터 냉각되며, 약 0.5분 내지 약 5분의 시간 주기 내에서 가열 및 냉각된다.
또한, 본 발명은 저 유전체 상수 필름을 약 5초 이하 동안 소정의 온도로 유지하면서 약 10℃/초 이상의 속도로 상기 저 유전체 상수 필름을 소정의 온도로 가열하고, 상기 저 유전체 상수 필름을 약 10℃/초 이상의 속도로 냉각시키고, 전자 비임 처리 및 UV 방사선 처리로 이루어지는 그룹으로부터 선택되는 적어도 하나 이상의 공정으로 상기 저 유전체 상수 필름을 처리함으로써 저 유전체 상수 필름을 후처리하는 방법을 제공한다.
전술한 본 발명의 특징들이 더 상세히 이해될 수 있도록, 서두에서 간단히 요약한 본 발명에 대한 더욱 상세한 설명을 위해 첨부 도면에 일부가 도시되어 있는 몇몇 실시예들을 참조했다. 그러나, 첨부된 도면들은 단지 본 발명의 통상적인 실시예들이므로 본 발명의 사상을 한정하는 것이라고 이해해서는 않되며 다른 동등한 효과적인 실시예들이 있을 수 있다고 이해해야 한다.
도 1은 본 발명의 실시예들에 사용될 수 있도록 구성된 예시적인 CVD 반응기의 횡단면도이며,
도 2는 본 발명의 실시예에 따른 급속 열처리(RTP) 챔버의 일부분에 대한 종단면도이며,
도 3은 본 발명에 따른 전자 비임 챔버이다.
본 발명의 실시예들은 저 유전체 상수 필름을 기판 상에 증착하고, 약 600℃ 내지 약 1000℃와 같은 소정의 고온으로 상기 저 유전체 상수 필름을 급속 가열한 후에 상기 저 유전체 상수 필름이 약 5초 이하 동안 상기 소정의 고온에 노출되도록 상기 저 유전체 상수 필름을 급속 냉각하는 공정에 의해 상기 저 유전체 상수 필름을 후처리하는 방법을 제공한다. 바람직하게, 상기 저 유전체 상수 필름은 약 1초 이하 동안 상기 소정의 고온에 노출된다. 일 실시예에서, 상기 저 유전체 상수 필름을 급속 가열하고 냉각하는 공정은 스파이크(spike) 어닐링 공정이다.
저 유전체 상수 필름의 증착
저 유전체 상수 필름은 약 4 미만의 유전체 상수를 가지며 실리콘과 탄소, 및 바람직하게 산소를 포함한다. 저 유전체 상수 필름은 RF 전력의 존재하에서 하나 이상의 유기 실리콘 화합물을 포함하는 혼합물로부터 증착된다. 저 유전체 상수 층을 증착하는데 사용되는 하나 이상의 유기 화합물은 유기실란, 유기실록산, 또는 이들의 혼합물일 수 있다. 본 발명에 사용된 용어 "유기 화합물"은 유기족에서 탄소 원자를 함유하는 화합물을 지칭하며 고리형 또는 선형일 수 있다. 유기족 은 알킬, 알케닐, 사이클로헥세닐, 및 아릴족과 이들의 기능성 유도체를 포함할 수 있다. 바람직하게, 유기 실란 화합물은 탄소 원자가 적합한 처리 조건에서 산화에 의해 쉽게 제거될 수 있도록 실리콘 원자에 부착된 하나 이상의 탄소 원자를 포함한다. 유기 실란 화합물은 바람직하게 하나 이상의 산소 원자를 포함할 수 있다.
적합한 고리형 유기 실란 화합물은 3 개 이상의 실리콘 원자와, 선택적으로 하나 이상의 산소 원자를 갖는 링 구조를 포함한다. 본 발명에 사용될 수 있는 상업적으로 이용가능한 고리형 유기 실란 화합물은 실리콘 원자에 결합된 하나 또는 두 개의 알킬족과 교대하는 실리콘 및 산소 원자를 갖는 링을 포함한다. 몇몇 예시적인 고리형 유기 실란 화합물은 다음과 같다.
Figure 112006083814789-PCT00001
적합한 유기 실리콘 화합물은 하나 이상의 실리콘 원자와 하나 이상의 탄소 원자를 갖는 선형 또는 가지형 구조의 지방족 유기 실리콘 화합물을 포함한다. 유기 실란 화합물은 하나 이상의 산소 원자를 더 포함할 수 있다. 몇몇 예시적인 선형 유기 실리콘 화합물은 다음과 같다.
Figure 112006083814789-PCT00002
일 실시예에서, 저 유전체 상수 필름은 RF 전력하에서 하나 이상의 유기 실 리콘 화합물과 하나 이상의 산화 가스를 포함하는 혼합물로부터 증착된다. 사용가능한 산화 가스로는 산소, 오존, 질소 산화물(N2O), 일산화탄소, 이산화탄소, 물, 2,3-부탄 디온(dione), 또는 이들의 조합물이다. 오존이 산화 가스로서 사용될 때, 오존 발생기는 소오스 가스 내의 6 중량% 내지 20 중량%, 통상 약 15 중량%의 산소를 오존으로 변환시키며, 나머지는 통상적으로 산소이다. 그러나, 오존 농도는 요구되는 오존량과 사용되는 오존 발생 장비의 형태에 근거하여 증가 또는 감소된다. 산소나 산호 함유 화합물의 용해는 실리콘 함유 화합물의 과도한 용해를 감소시키기 위해 증착 챔버로 유입되기 이전에 마이크로웨이브 챔버 내에서 발생될 수 있다. 바람직하게, RF 전력은 용해를 증가시키도록 반작용 영역에 가해질 수 있다.
선택적으로, 하나 이상의 유기 실리콘 화합물과 선택적인 하나 이상의 산화 가스 이외에도, 하나 이상의 탄화 수소 화합물이 저 유전체 상수 필름을 증착시키기 위한 혼합물에 포함될 수 있다. 사용될 탄화 수소 화합물로는 하나 내지 약 20의 탄소 원자를 갖는 지방족 탄화 수소 화합물이 포함된다. 탄화 수소 화합물은 단결합, 이중 결합, 및 삼중 결합의 임의 조합에 의해 결합되는 인접 탄소 원자들을 포함할 수 있다. 예를 들어, 유기 화합물로는 에틸렌, 프로필렌, 아세틸렌, 및 부타디엔과 같은, 2 개 내지 약 20개의 탄소 원자를 갖는 알켄 및 알킬렌을 포함한다.
고리형 족(cyclic group)을 갖는 하나 이상의 탄화 수소 화합물도 사용된다. 본 발명에 사용된 용어 "고리형 족"은 링 구조를 지칭하는 의도이다. 링 구조는 3개 정도의 몇몇 원자만을 포함한다. 상기 원자로는 예를 들어, 탄소, 실리콘, 질소, 산소, 불소, 및 이들의 조합물을 포함할 수 있다. 고리형 족은 하나 이상의 단결합, 이중 결합, 삼중 결합, 및 이들의 조합 결합을 포함할 수 있다. 예를 들어, 고리형 족은 하나 이상의 지방족, 아릴, 페닐, 사이클로헥산, 사이클로헥사디엔, 사이클로헵타디엔, 및 이들의 조합물을 포함할 수 있다. 고리형 족은 또한 이중 고리 또는 삼중 고리를 포함할 수 있다. 또한, 고리형 족은 바람직하게 선형 또는 가지형 기능족과 결합된다. 선형 또는 가지형 기능족은 바람직하게, 알킬 또는 비닐 알킬족을 포함하며 1 내지 20 개의 탄소 원자를 가진다. 선형 또는 가지형 기능족도 케톤, 에테르, 및 에스테르와 같은 산소 원자를 포함할 수 있다. 적어도 하나의 고리형 족을 갖는 몇몇 예시적인 탄화수소 화합물은 알파테르핀(ATP), 비닐사이클로헥산(VCH), 및 페닐아세테이트를 포함한다.
선택적으로, 하나 이상의 캐리어 가스가 저 유전체 상수 필름을 증착시키는데 사용된 혼합물에 포함될 수 있다. 사용될 캐리어 가스는 아르곤, 헬륨, 이산화탄소, 및 이들의 조합물을 포함한다.
상기 필름은 화학 기상 증착(CVD) 가능한 임의의 프로세싱 챔버를 사용하여 증착될 수 있다. 도 1은 사용가능한 평행판 CVD 프로세싱 챔버(10)의 종단면도이다. 상기 챔버(10)는 고 진공 영역(15) 및 프로세스 가스들을 기판(도시 않음)으로 분산시키는 천공 구멍들을 갖는 가스 분배 매니폴드(11)를 포함한다. 기판은 기판 지지대 판 또는 서셉터(12) 상에 놓여 진다. 서셉터(12)는 서셉터(12)를 리 프트 모터(14)에 연결하는 지지대 스템(13) 상에 장착된다. 리프트 모터(14)는 프로세싱 위치와 하부 기판 정전 위치 사이에서 서셉터(12)를 상승 및 하강시킴으로써 서셉터(12)[및 서셉터(12)의 상면 상에 지지된 기판]이 매니폴드(11)에 아주 근접한 상부 프로세싱 위치와 하부 장전/비장전 위치 사이에서 제어가능하게 이동될 수 있다. 절연체(17)는 서셉터(12)와 기판이 상부 프로세싱 위치에 있을 때 기판과 서셉터(12)를 에워싼다.
매니폴드(11)로 도입되는 가스들은 기판 표면에 걸쳐서 반경 방향으로 균일하게 분포된다. 드로틀 밸브를 갖는 진공 펌프(32)는 챔버(10)로부터 매니폴드(24)를 통해 가스의 배기량을 제어한다. 필요하다면, 증착 및 캐리어 가스들은 가스 라인(18)을 통해 혼합 시스템(19)으로, 그 후에 매니폴드(11)로 유동한다. 일반적으로, 각각의 프로세스 가스 공급 라인(18)은 챔버 내측으로 프로세스 가스의 유동을 자동 또는 수동으로 차단하는데 사용되는 안전 셧-오프 밸브(도시 않음), 및 가스 공급 라인(18)을 통한 가스의 유동을 측정하기 위한 질량 유동 제어기(도시 않음)를 포함한다. 독성 가스가 공정 중에 사용되는 경우에, 종래의 구성에서는 여러 개의 안전 셧-오프 밸브가 각각의 가스 공급 라인(18) 상에 위치된다.
본 발명의 일면에서, 유기 실리콘 화합물이 200 mm 또는 300 mm 기판에 대해 약 100 sccm 내지 약 10,000 sccm의 유동율로 혼합 시스템(19)의 내측으로 유입된다. 선택적인 탄화수소 화합물이 약 100 sccm 내지 약 10,000 sccm의 유동율로 혼합 시스템(19)의 내측으로 유입된다. 선택적인 산화 가스는 약 100 sccm 내지 약 6,000 sccm의 유동율을 가진다. 캐리어 가스는 약 100 sccm 내지 약 5,000 sccm의 유동율을 가진다. 적합한 실시예에서, 유기 실리콘 화합물은 옥타메틸사이클로테트라실록산이며 탄화수소 화합물은 에틸렌이다.
증착 공정은 바람직하게 플라즈마 강화 공정이다. 플라즈마 강화 공정에 있어서, 제어된 플라즈마가 통상적으로, RF 전력원(25)을 사용하여 가스 분배 매니폴드(11)에 인가된 RF 에너지에 의해 기판에 인접되게 형성된다. 이와는 달리, RF 전력이 서셉터(12)에 제공될 수 있다. 증착 챔버로의 RF 전력은 기판의 가열을 감소시키고 증착된 필름 내에 더욱 큰 다공도를 제공하도록 주기화되거나 펄스화될 수 있다. 200 mm 또는 300 mm 기판에 대한 플라즈마 전력 밀도는 약 0.03 W/㎠ 내지 약 3.2 W/㎠ 범위이며, 이는 200 mm 기판에 대해 약 10 W 내지 약 1,000W, 그리고 300 mm 기판에 대해 약 20 W 내지 약 2,250 W의 RF 전력 레벨과 대응한다. 바람직하게, RF 전력 베벨은 300 mm 기판에 대해 약 200 W 내지 약 1,700 W범위이다.
RF 전력원(25)은 약 0.01 ㎒내지 약 300 ㎒ 범위의 단일 주파수 RF 전력을 공급할 수 있다. 바람직하게, RF 전력은 고 진공 영역(15)의 내측으로 유입되는 반응성 종의 분해를 개선하도록 혼합형, 동기 주파수를 사용하여 분배될 수 있다. 일면에서, 혼합 주파수는 약 12 ㎑의 저 주파수와 약 13.56 ㎑의 고 주파수를 가진다. 다른 일면에서, 저 주파수는 약 300 ㎐ 내지 약 1,000 ㎑ 범위이고 고 주파수는 약 5 m㎐ 내지 약 50 m㎐ 범위이다. 바람직하게, 저 주파수 전력 레벨은 약 150 W이다. 바람직하게, 고 주파수 전력 레벨은 약 200 W 내지 약 750 W, 바람직하게 약 200 W 내지 약 400 W이다.
증착 중에, 기판은 약 -20 ℃ 내지 약 500 ℃, 바람직하게 약 100 ℃ 내지 약 450℃ 범위의 온도로 유지된다. 증착 압력은 통상적으로, 약 1 Torr 내지 약 20 Torr, 바람직하게 약 4 Torr 내지 약 7 Torr 범위이다. 전술한 실시예에 따라 저 유전체 상수 층을 증착하는데 사용될 수 있는 예시적인 챔버가 아래에 제공된다.
산화 가스의 원격 분해가 바람직할 때, 선택적인 마이크로웨이브 챔버(28)는 프로세싱 챔버(10)로 가스를 유입시키기 이전에 약 500 와트 내지 약 약 6,000와트 범위의 전력을 산화 가스에 입력시키는데 사용될 수 있다. 추가의 마이크로웨이브 전력으로 산화 가스와의 반응 이전에 유기 실리콘 화합물의 과도한 분해를 피할 수 있다. 유기 실란 화합물과 산화 가스용 분리된 통로를 갖는 가스 분배판(도시 않음)은 마이크로웨이브 전력이 산화 가스에 추가될 때 바람직하다.
통상적으로, 임의의 또는 전체적인 챔버 라이닝, 분배 매니폴드(11), 서셉터(12), 및 다수의 다른 반응로 하드웨어는 알루미늄 또는 양극 산화 처리된 알루미늄과 같은 재료로 제조될 수 있다. 그러한 예시적인 CVD 반응로는 왕 등에게 허여되어 본 발명의 양수인인 어플라이드 머티어리얼스에게 양도되었으며 본 발명과 불일치되지 않는 범위 내에서 본 발명에 참조된, 발명의 명칭이 "실리콘 이산화물의 열적 화학 기상 증착을 위한 열적 CVD/PECVD 반응로와 그 용도 및 인-시츄 다단계 평탄화 공정"인 미국 특허 제 5,000,113호에 설명되어 있다.
시스템 제어기(34)는 제어 라인(36)에 의해 접속되는 모터(14), 가스 혼합 시스템(19) 및 고 주파수 전력원(25)을 제어한다. 시스템 제어기(34)는 CVD 반응로의 활동도를 제어하고 통상적으로, 하드 디스크 드라이브 및 카드 랙을 포함한 다. 카드 랙은 단일 보드 컴퓨터(SBC), 아나로그 및 디지털 입력/출력 보드, 인터페이스 보드, 및 스텝퍼 모터 제어기 보드를 포함한다. 시스템 제어기(34)는 보드, 카드 케이지, 및 제어기의 칫수 및 형태를 규정하는 버사 모듈러 유럽 표준[Versa Modular Europeans(VME) standard]을 만족한다. VME 표준은 또한 16-비트 데이터 및 24-비트 어드레스 버스를 갖는 버스 구조도 규정한다. 시스템 제어기(34)는 하드 디스크 드라이브(38) 상에 저장된 컴퓨터 프로그램의 제어 하에서 작동한다.
본 발명에서 설명하는 저 유전체 상수 필름은 실행시, 범용 컴퓨터가 증착 챔버를 제어하게 하는 소프트웨어 루틴을 내장하는 컴퓨터 저장 매체를 사용하여 증착될 수 있다. 소프트웨어 루틴은 본 발명에 따른 임의의 실시예들에 따른 임의의 필름을 증착하기 위한 명령어를 포함할 수 있다.
저 유전체 필름의 후처리
저 유전체 상수 필름이 증착된 후에, 저 유전체 상수 필름은 저 유전체 상수 필름을 소정의 고온으로 급속 가열한 후에 저 유전체 상수 필름을 급속 냉각하는 공정에 의해 후처리된다. 소정의 고온은 약 800℃와 같은 약 600℃ 내지 약 1000℃ 범위의 온도일 수 있다. 바람직하게, 저 유전체 상수 필름은 적어도 약 10℃/초의 속도로 약 25℃ 내지 약 250℃ 범위의 온도로부터 소정의 고온으로 가열된다. 예를 들어, 저 유전체 상수 필름은 약 10℃/초 내지 약 300℃/초 범위의 속도로 가열될 수 있다. 바람직하게, 저 유전체 상수 필름은 약 100℃/초 내지 약 300℃/초, 예를 들어 약 250℃/초의 속도로 가열된다. 저 유전체 상수 필름이 소정의 온 도에 도달한 후에, 저 유전체 상수 필름을 가열하는데 사용되는 열원이 꺼지고 저 유전체 상수 필름은 약 10℃/초 내지 약 100℃/초의 범위와 같은 적어도 약 10℃/초의 속도로 냉각된다. 저 유전체 상수 필름의 냉각은 후처리 챔버 내의 반사판에 의 존재에 의해 개선될 수 있다. 바람직하게, 냉각 속도는 후처리 챔버 내의 반사판과 저 유전체 상수 필름이 증착되는 기판의 후면을 헬륨과 같은 불활성 가스의 유동에 노출시킴으로써 개선된다. 예를 들어, 기판의 후면은 약 10 sccm 내지 약 500 sccm 범위의 유동율을 갖는 헬륨의 유동에 노출될 수 있다. 저 유전체 상수 필름이 급속 가열 및 냉각되기 때문에, 저 유전체 상수 필름의 가열 초기와 저 유전체 상수 필름의 냉각 말기 사이의 시간 길이는 통상적으로 약 0.5분 내지 약 5분이다.
일반적으로, 저 유전체 상수 필름은 아르곤, 질소, 헬륨, 산소, 수소, 수증기, 산화 질소(N2O), 또는 이들의 혼합물을 포함하는 챔버 분위기에서 가열 및 냉각된다. 챔버 압력은 약 100 Torr 내지 약 760 Torr 범위일 수 있다. 챔버 압력은 저 유전체 상수 필름의 냉각속도를 변경하도록 조절될 수 있다.
일 실시예에서, 저 유전체 상수 필름은 아르곤 분위기에서 가열 및 냉각된다. 일 실시예에서, 아르곤은 약 10 sccm 내지 약 100 sccm 범위의 유동율로 챔버 내부로 유입된다.
약 5초 이하, 바람직하게 약 2초 이하 동안 소정의 고온으로 저 유전체 상수 필름을 급속 가열한 후에 저 유전체 상수를 급속 냉각할 수 있는 임의의 챔버가 저 유전체 상수 필름을 후처리하는데 사용될 수 있다. 전술한 실시예들에 따라 저 유전체 상수 필름을 후처리하는데 사용될 수 있는 예시적인 챔버가 아래에 제공된다.
사용 가능한 하나의 챔버는 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이션에 의해 이용가능한 래디언스(Radiance:등록 상표) RTP 챔버이다. 도 2는 래디언스 RTP 챔버의 실시예인 챔버(200)를 도시한다. 도 2에 도시한 챔버(200)는 측벽(214)과 바닥 벽(215)에 의해 둘러싸인 프로세스 영역(213)을 도시한다. 챔버(200)의 측벽(214)의 상부는 "O" 형 링(216)에 의해 윈도우에 대해 밀봉된다.
기판 또는 웨이퍼(261)가 통상적으로 실리콘 탄화물로 제조되는 지지 링(262)에 의해 에지 내측 영역(213) 상에 지지된다. 지지 링(262)은 회전 가능한 석영 실린더(263) 상에 장착된다. 석영 실린더(263)의 회전에 의해 지리 링(262)과 웨이퍼(261)가 회전된다. 추가의 실리콘 탄화물 어댑터 링이 사용되어서 다른 치수(예를 들어, 150 mm, 200mm 및 300mm)의 웨이퍼가 처리될 수 있게 한다. 지지 링(262)의 외측 에지는 바람직하게 웨이퍼(261)의 외경으로부터 약 2인치 미만으로 연장된다. 챔버(200)의 부피는 약 300mm 웨이퍼에 대해 약 9 리터이다.
챔버(200)는 프로세스 가스를 영역(213)으로 분사하여 다양한 처리 단계가 영역(213)에서 수행될 수 있게 하는, 측벽(214)을 관통 형성되는 가스 입구(269)를 포함한다. 가스 입구(269)의 대향 측면에 있는 측벽(214)에 위치된 것은 가스 출구(268)이다. 가스 출구(268)는 펌프와 같은 진공원(286)에 연결되어서, 프로세스 가스를 챔버(200)로부터 배기시키고 챔버(200) 내의 압력을 감소시킨다. 진공 원(286)은 프로세스 가스가 처리 중에 챔버의 내측으로 공급되는 동안에 소정의 압력을 유지한다.
복사 에너지 조립체(218)는 윈도우(248) 위에 위치된다. 복사 에너지 조립체(218)는 복수의 텅스텐 할로겐 램프(219), 예를 들어 실바니아 이와티 램프(Sylvania EYT lamp)를 포함하며, 이들 각각은 스테인레스 스틸, 금, 청동, 알루미늄 또는 기타 재료일 수 있는 광 파이프(221)의 내측에 장착된다. 램프(219)는 램프 외피의 축선에 평행한 축선을 갖는 코일로서 감긴 필라멘트를 포함한다. 대부분은 광은 광 파이프(221)를 에워싸는 벽을 향해서 상기 축선에 수직하게 방출된다. 광 파이프 길이는 적어도 관련 램프보다 길게 선택된다. 광 파이프(221)는 웨이퍼에 도달하는 파워가 증가된 반사에 의해 실질적으로 증폭되지 않으면 보다 길어질 수 있다. 램프(219)는 "벌집 형상체" 또는 육각형 배열로 위치될 수 있다. 램프(219)는 웨이퍼(261)와 지지 링(262)의 전체 표면적을 적절히 덮도록 위치된다. (수백 개 정도일 수 있는)램프(219)는 공정에 따라 바람직한 형태로 웨이퍼(261)를 극히 균일하거나 불균일한 가열을 제공하도록 독립적으로 제어될 수 있는 영역에서 그룹을 이루고 있다.
복수의 광 파이프(221)와 관련 램프(219)를 포함하는 복사 에너지원(218)은 진공 프로세싱 챔버 내에서 기판을 가열하기 위한 광 포트를 제공하도록 석영 윈도우(248)의 사용을 가능하게 한다. 윈도우(248)의 주 목적은 램프(219)와 프로세싱 환경을 격리시키는 것인데, 그 이유는 램프(219)가 너무 뜨거워지면 프로세스 가스들과 반응하기 때문이다. 광 파이프(221)는 다양한 광 파이프들 사이로 물과 같은 냉각제를 유동시킴으로써 냉각될 수 있다.
복사 에너지원(218)이 복수의 텅스텐 할로겐 램프(219)를 포함하는 것으로서 설명하였지만, 다른 실시예에서는 복사 에너지원(218)은 자외선 램프를 포함한다.
챔버(200)의 바닥 벽(215)은 에너지를 웨이퍼(261)의 후면 상에 반사시키기 위한 상부면(211)을 포함한다. 또한, 챔버(200)는 바닥 표면을 가로지르는 복수의 위치에서 웨이퍼(261)의 온도를 검출하도록 챔버(200)의 바닥 벽(215)을 관통해 위치되는 복수의 광학 온도 탐침(270)을 포함한다. 실리콘 웨이퍼(261)의 후면과 반사면(211) 사이의 반사는 웨이퍼 후면 방사율에 무관하게 온도 측정을 하는 흑체 공동(black cavity)을 형성함으로써 정확한 온도 측정 능력을 제공한다.
일 실시예에서, 반사면(211)은 파장 0.7 내지 0.96 nm에서, 그리고 복사 에너지 조립체에 의해 방출되는 다른 파장에서 반사 성질을 갖는 흡수 반사기 판의 형태이다. 반사기 판의 흡수 특성은 저 유전체 상수 필름의 냉각 속도를 개선한다. 저 유전체 상수 필름의 냉각 속도는 반사기 판의 에지 주위로 또는 반사기 판 내의 구멍을 통해 기판의 후면으로 불활성 가스를 유입시키는 것과 같이, 저 유전체 상수 필름이 증착되는 기판을 불활성 가스의 유동에 노출시킴으로써 더욱 개선될 수 있다.
챔버(200)는 (도시 않은) 제어 시스템에 의해 작동된다. 제어 시스템은 다수의 제어기, 프로세서 및 입력/출력 장치를 포함한다. 일 실시예에서, 제어 시스템은 기판을 처리하는 동안에 프로세스 챔버(200) 내의 다수의 변수들을 모니터링하여 다수의 셋포인트에 따라 필요한 조절을 수행하는 폐루프 피이드백 시스템 성 분이다. 일반적으로, 모니터링될 변수들은 온도, 압력, 및 가스 유동율을 포함한다.
다른 실시예에서, 저 유전체 상수 필름의 후처리는 저 유전체 상수 필름을 소정의 고온으로 급속 가열하는 단계, 저 유전체 상수 필름을 상기 소정의 고온으로부터 급속 냉각하는 단계, 및 저 유전체 상수 필름을 전자 비임 처리에 의해 처리하는 단계를 포함한다. 저 유전체 상수 필름은 급속 가열 및 냉각 이전 또는 이후에 전자 비임 처리에 의해 처리될 수 있다.
전자 비임(e-beam) 처리는 통상적으로, 약 1 내지 20 킬로일렉트론 볼트(KeV)에서 약 50 내지 약 2000 마이크로 컬럼 당 스퀘어 센티미터(μc/㎠) 범위의 1회 조사량(dose)을 가진다. 전자 비임 전류는 통상적으로, 약 1 ㎃ 내지 약 40 ㎃ 범위, 바람직하게 약 1 내지 약 20 ㎃ 범위이다. 전자 비임 처리는 통상적으로 약 실온 내지 약 450℃에서 약 10 초 내지 약 15 분 동안 작동한다. 일면에서, 전자 비임은 약 1 마이크론 두께를 갖는 필름을 처리하기 위해 약 15 내지 약 30초 동안에 6 ㎸, 10 내지 18㎃ 및 50 μc/㎠의 처리 조건을 포함한다. 다른 일면에서, 전자 비임은 약 5000Å 두께를 갖는 필름을 처리하기 위해 약 15 내지 약 30초 동안에 4.5 ㎸, 10 내지 18㎃ 및 50 의 처리 조건을 포함한다. 아르곤 또는 수소가 전자 비임 처리 동안에 존재할 수 있다. 어떤 전자 비임 장치도 사용될 수 있지만, 하나의 예시적인 장치는 어플라이드 머티리얼스 인코포레이티드로부터 이용가능한 EBK 챔버이다. 저 유전체 상수 필름이 증착된 후에 전자 비임으로 저 유전체 상수 필름의 처리로 필름 내부의 유기 족의 적어도 일부를 증발시킴으로써 필 름 내에 공동을 형성할 것이다.
도 3은 본 발명의 실시예에 따른 전자 비임 챔버(300)를 도시한다. 전자 비임 챔버(300)는 진공 챔버(320), 대형 캐소드(322), 필드-프리(field-free) 영역(338) 내에 위치되는 타겟 평면(330), 타겟 평면(330)과 대형 캐소드(322) 사이에 위치되는 그리드 애노드(326)를 포함한다. 전자 비임 챔버(300)는 고전압 절연체(324) 및 대형 캐소드(322)로부터 그리드 애노드(326)를 고립시키는 가속 필드 영역(336), 진공 챔버(320)의 외측에 위치되는 캐소드 커버 절연체(328), 진공 챔버(320) 내측의 압력을 제어하기 위한 가변 누설 밸브(leak valve; 332), 대형 캐소드(322)에 연결되는 가변 고전압 전력원(329), 및 그리드 애노드(326)에 연결되는 가변 저전압 전력원(331)을 포함한다.
작동시, 전자 비임에 노출될 저 유전체 상수 필름을 상부에 갖는 (도시 않은)기판이 타겟 평면(330) 상에 놓인다. 진공 챔버(320)는 대기압으로부터 약 1 mTorr 내지 약 200 mTorr 범위의 압력으로 펌핑된다. 정확한 압력은 약 0.1 mTorr의 압력으로 제어할 수 있는 가변 누설 밸브(332)에 의해 제어된다. 전자 비임은 일반적으로 고 전압 전력원(329)에 의해 대형 캐소드(322)에 인가되는 충분히 높은 전압에서 발생된다. 전압은 약 -500볼트 내지 약 30,000볼 드이상의 범위수일 있다. 고 전압 전력원(329)은 미국 뉴욕 힉빌리 소재의 베르탄(Bertan)에 의해 제작된 베르탄 모델 #105-30R, 또는 미국 뉴욕 하우포지(Hauppauge) 소재의 스펠맨 하이 볼테이지 일렉트로닉스 코포레이션에 의해 제작된 스펠맨 모델 #SL30N-1200X258일 수 있다. 가변 저전압 전력원(331)은 대형 캐소드(322)에 인가되는 전압에 대 해 양의 전압을 그리드 얘노드(326)에 인가한다. 이들 전압은 대형 캐소드(322)로부터의 전자 방출을 제어하는데 사용된다. 가변 저 전압 전력원(331)은 미국 펜실베니아 이스톤 소재의 아코피언(Acopian)으로부터 이용가능한 아코피언 모델 #150PT12 전력원일 수 있다.
전자 비임 챔버(300)의 다른 세부 사항은 윌리암 알. 리베세이에게 허여되어 (현재 본 발명의 양수인의 소유인)일렉트론 비젼 코포레이션에게 양도되었으며 본 발명에 불일치됨이 없는 한 본 발명에 참조된, 발명의 명칭이 "대형 균일 전자 소오스"인 미국 특허 제 5,003,178호이다.
다른 실시예에서, 저 유전체 상수 필름의 후처리는 저 유전체 상수 필름을 소정의 고온으로 급속 가열하는 단계, 저 유전체 상부 필름을 소정의 고온으로 급속 냉각하는 단계, 및 저 유전체 상수 필름을 UV 방사선으로 처리하는 단계를 포함한다. 바람직하게, 저 유전체 상수 필름은 저 유전체 상수 필름의 급속 가열 및/또는 냉각 단계 중의 적어도 일부와 동시에 UV 방사선으로 처리된다. 그러나, 저 유전체 상수 필름은 저 유전체 상수 필름이 급속 가열되고 냉각되는 이전 또는 이후에 UV로 처리될 수 있다. 저 유전체 상수 필름이 급속 가열되고 냉각되는 이전 또는 이후에 저 유전체 상수 필름이 UV로 처리되는 실시예에서, 저 유전체 상수 필름은 UV 방사선 처리 중에 약 200℃ 내지 약 600℃ 범위의 온도에서 가열된다. 예를 들어, 저 유전체 상수 필름은 UV 소오스를 갖는 노와 같은 챔버 내에서 UV 방사선에 노출될 수 있다. 챔버는 UV 방사선 처리 중에 진공 또는 대기압을 가질 수 있다.
저 유전체 상수 필름은 하나 이상의 UV 방사선 파장에 노출될 수 있다. 저 유전체 상수 필름을 UV 방사선에 노출시키는데 사용될 수 있는 챔버 및 방법의 예들이 공동 양도되어 본 발명에 참조된 미국 특허 제 6,614,181호에 제공되어 있다.
또 다른 실시예에서, 저 유전체 상수 필름은 저 유전체 상수 필름을 급속 가열 및 냉각시키는 단계, 저 유전체 상수 필름을 UV 방사선으로 처리하는 단계, 및 저 유전체 상수 필름을 전자 비임으로 처리하는 단계를 포함하는 방법에 의해 후처리된다. 후처리 공정은 임의의 순서로 수행될 수 있다. 그러나, 바람직하게 저 유전체 상수 필름은 본 발명에 설명된 실시예들에 따라 저 유전체 상수 필름을 급속 가열 및 냉각하는 단계와 동시에, 저 유전체 상수 필름을 UV 조사하는 한 후에 저 유전체 상수 필름을 전자 비임으로 처리하는 단계에 의해 후처리된다.
저 유전체 상수 필름을 급속 가열 및 냉각하는 단계, 및 저 유전체 상수 필름을 UV 조사하고 전자 비임으로 처리하는 단계를 포함하는 후처리는 저 유전체 상수 필름의 특성을 개선하는 것으로 여겨진다. 예를 들어, 급속 가열 및 냉각 단계 및 UV 조사 단계를 포함하는 후처리는 증착된 필름의 유전체 상수를 낮추는 것으로 여겨진다. 급속 가열 및 냉각 단계, 전자 비임 처리 단계, 및 선택적인 UV 조사 단계를 포함하는 후처리 단계는 증착된 필름의 유전체 상수를 낮추며 필름의 경도와 모듈러스를 증가시키는 것으로 여겨진다.
본 발명에 기술된 임의의 실시예에서, 저 유전체 상수 필름은 어플라이드 머티리얼스, 인코포레이트로부터 이용가능한 센츄라(등록상표) 또는 프로듀서(등록상표) 플랫폼과 같은 통합 프로세싱 시스템 내에서 증착 및 후처리될 수 있다. 따라 서, 저 유전체 상수 필름은 필름은 대기에 노출됨이 없이 증착 및 후처리될 수 있다. 하나 이상의 후처리 단계가 수행되는 실시예에서, 저 유전체 상수 필름은 상이한 후처리 공정들 사이에서 대기로부터 보호될 수 있다. 예를 들어, 저 유전체 상수 필름은 급속 가열 및 냉각 단계와 전자 비임 처리 단계 사이에 대기에 노출됨이 없이, 급속 가열 및 냉각된 후에, 그리고 선택적으로 다른 챔버 내에서 UV 조사된 후에 전자 비임 챔버로 이송될 수 있다.
다음 예들은 상부에 증착된 저 유전체 상수 필름을 갖는 기판의 처리 방법을 예증한다. 필름들은 어플라이드 머티어리얼스 인코포레이티드에 의해 이용가능한 프로듀서(등록상표) CVD 챔버를 사용하여 300mm 기판 상에 증착된다.
비교예 1
실리콘, 탄소 및 산소를 포함하는 저 유전체 상수 필름이 OMCTS, 트리메틸실란, 및 에틸렌을 포함하는 가스 혼합물로부터 기판 상에 증착되었다. OMCTS는 520 sccm의 유동율로 챔버의 내측으로 유입되며, 트리메틸실란은 300 sccm의 유동율로 챔버 내측으로 유입되며, 에틸렌은 2200 sccm의 유동율로 챔버 내측으로 유입된다. 헬륨은 1000 sccm의 유동율로 챔버의 내측으로 유입되며, 산소는 1000 sccm의 유동율로 챔버의 내측으로 유입된다. 필름은 13.56 ㎒의 800와트 RF 전력을 사용하여 5.7 Torr의 압력 및 400℃에서 20초동안 증착되었다. 증착되었을 때, 저 유전체 상수 필름은 5,043Å의 두께, 2.77의 유전체 상수, 및 0.59 gPa의 경도를 가진다.
비교예 2
저 유전체 상수 필름이 비교예 1에 설명된 대로 기판 상에 증착되었다. 저 유전체 상수 필름은 1분 동안 800℃의 온도에서 저 유전체 상수 필름을 열적으로 어닐링함으로써 후처리되었다. 증착되었을 때, 저 유전체 상수 필름은 5,085Å의 두께를 가진다. 후처리 후에, 저 유전체 상수 필름은 4,463Å의 두께를 가진다(감소율 12.2%). 후처리 후에, 저 유전체 상수 필름은 3.35의 유전체 상수와 1.82 gPa의 경도를 가진다.
비교예 3
저 유전체 상수 필름이 비교예 1에 설명된 대로 기판 상에 증착되었다. 저 유전체 상수 필름은 3 mA의 전류, 4.5 kV에서 100 μc/㎠의 도우즈량, 및 400℃의 온도에서 전자 비임 처리에 의해 후처리되었다. 증착되었을 때, 저 유전체 상수 필름은 5,074Å의 두께를 가진다. 후처리 후에, 저 유전체 상수 필름은 4,763Å의 두께를 가진다(감소율 6.1%). 후처리 후에, 저 유전체 상수 필름은 2.74의 유전체 상수와 1.14 gPa의 경도를 가진다.
예 1
저 유전체 상수 필름이 비교예 1에 설명된 대로 기판 상에 증착되었다. 저 유전체 상수 필름은 실온으로부터 800℃의 온도로 급속 가열된 후에 상기 저 유전체 상수 필름을 120 ℃로 급속 냉각시킴으로써 상기 필름이 30초 이내에 가열 및 냉각될 수 있도록 래디언스(등록상표) RTP 챔버에서 후처리 되었다. 증착되었을 때, 저 유전체 상수 필름은 5,036Å의 두께를 가진다. 후처리 후에, 저 유전체 상수 필름은 5,021Å의 두께를 가진다(감소율 0.3%). 후처리 후에, 저 유전체 상수 필름은 2.53의 유전체 상수와 0.62 gPa의 경도를 가진다.
예 2
저 유전체 상수 필름이 비교예 1에 설명된 대로 기판 상에 증착되었다. 저 유전체 상수 필름은 실온으로부터 800℃의 온도로 급속 가열된 후에 즉시 상기 저 유전체 상수 필름을 120 ℃로 급속 냉각시킴으로써 상기 필름이 30초 이내에 가열 및 냉각될 수 있도록 래디언스(등록상표) RTP 챔버에서 후처리 되었다. 증착되었을 때, 저 유전체 상수 필름은 5,011Å의 두께를 가진다. 후처리 후에, 저 유전체 상수 필름은 4,996Å의 두께를 가진다(감소율 0.3%). 후처리 후에, 저 유전체 상수 필름은 2.44의 유전체 상수를 가진다.
예 1 과 예 2는 전술한 본 발명의 실시예에 따른 저 유전체 상수 필름을 급속 가열 및 냉각함으로써 저 유전체 상수 필름을 후처리한 결과 후처리 되지 않은 필름 또는 전자 비임이나 종래의 어닐링 공정에 의해 후처리 된 필름들보다 낮은 유전체 상수를 갖는다는 것을 보여준다. 이는 본 발명에 따라 후처리된 필름의 낮은 유전체 상수는 저 유전체 상수 필름의 후처리시 저 유전체 상수 필름으로부터 유기 족과 같은 프로젠(progens)의 방출에 의해 달성되는 것으로 여겨진다.
예 1은 본 발명의 실시예들에 따른 저 유전체 상수 필름의 급속 가열 및 냉각이 증착된 필름의 경도에 실질적으로 영향을 끼치지 않는다는 것도 입증한다. 예 1 및 예 2는 또한 본 발명에 따른 저 유전체 상수 필름의 후처리가 다른 후처리 공정보다도 감소율을 적게 한다는 것도 입증하고 있다.
따라서, 본 발명의 실시예들은 필름의 경도를 감소시킴이 없이 유전체 상수 를 낮추며 후처리에 의해 필름 감소율을 최소화할 수 있는 저 유전체 상수 필름의 후처리 방법을 제공한다. 본 발명의 실시예에 따른 급속 가열 및 냉각의 다른 장점들은 본 발명에 따라 처리되는 기판들에 대한 낮은 열 목표값과 신속한 후처리 공정으로 인한 높은 기판 생산력을 제공한다는 점이다.
본 발명의 실시예들에 대해 전술하였지만, 본 발명의 다른 그리고 추가의 실시예들이 본 발명의 기본 사상으로부터 벗어남이 없이 고려될 수 있으며 본 발명의 사상은 다음의 청구의 범위에 의해 결정된다.

Claims (20)

  1. 기판 처리 방법으로서,
    RF 전력의 존재하에서 실리콘과 탄소를 함유하는 저 유전체 상부 필름을 기판 상에 증착하는 단계, 및
    상기 저 유전체 상수 필름을 약 5초 이하 동안 소정의 온도로 유지하면서, 약 10℃/초 이상의 속도로 약 600℃ 이상의 소정 온도에서 상기 저 유전체 상수 필름을 가열한 후에, 약 10℃/초 이상의 속도로 상기 저 유전체 상수 필름을 냉각시키는 공정에 의해 상기 증착된 저 유전체 상수 필름을 후처리하는 단계를 포함하는,
    기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 후처리는 저 유전체 상수 필름의 유전체 상수를 낮추기에 충분한 조건하에서 수행되는,
    기판 처리 방법.
  3. 제 1 항에 있어서,
    상기 저 유전체 상수 필름은 약 10 ℃/초 내지 약 300 ℃/초 범위의 속도로 가열되고 약 10 ℃/초 내지 약 100 ℃/초 범위의 속도로 냉각되는,
    기판 처리 방법.
  4. 제 1 항에 있어서,
    상기 후처리는 상기 저 유전체 상수 필름을 UV 방사선에 의해 처리하는 단계를 더 포함하는,
    기판 처리 방법.
  5. 제 4 항에 있어서,
    상기 저 유전체 상수 필름을 가열하고 냉각하는 상기 공정은 상기 저 유전체 상수 필름을 UV 방사선으로 처리하는 단계와 동시에 수행되는,
    기판 처리 방법.
  6. 제 5 항에 있어서,
    상기 후처리 단계는 상기 저 유전체 상수 필름을 전자 비임으로 처리하는 단계를 더 포함하는,
    기판 처리 방법.
  7. 제 6 항에 있어서,
    상기 저 유전체 상수 필름은 상기 저 유전체 상수 필름을 가열하는 단계와 전자 비임으로 처리하는 단계 사이에 상기 저 유전체 상수 필름이 대기에 노출되지 않도록 통합 처리 시스템 내에서 후처리되는,
    기판 처리 방법.
  8. 제 4 항에 있어서,
    상기 저 유전체 상수 필름을 가열 및 냉각하는 단계 및 상기 저 유전체 상수 필름을 UV 방사선으로 처리하는 단계를 포함하는 상기 공정은 순차적으로 수행되는,
    기판 처리 방법.
  9. 제 1 항에 있어서,
    상기 후처리 단계는 상기 저 유전체 상수 필름을 전자 비임으로 처리하는 단계를 더 포함하는,
    기판 처리 방법.
  10. 제 9 항에 있어서,
    상기 저 유전체 상수 필름은 상기 저 유전체 상수 필름을 가열하는 단계와 전자 비임으로 처리하는 단계 사이에 상기 저 유전체 상수 필름이 대기에 노출되지 않도록 통합 처리 시스템 내에서 후처리되는,
    기판 처리 방법.
  11. 제 1 항에 있어서,
    상기 저 유전체 상수 필름은 산소를 더 포함하는,
    기판 처리 방법.
  12. 기판 처리 방법으로서,
    RF 전력하에서 실리콘과 탄소를 포함하는 저 유전체 상수 필름을 기판 상에 증착하는 단계, 및
    저 유전체 상수 필름을 약 25℃ 내지 약 250℃ 범위의 온도로부터 약 600℃ 내지 약 1000℃의 온도로 가열하는 단계로서, 상기 저 유전체 상수 필름이 약 5초 이하 동안 약 600℃ 내지 약 1000℃의 온도에서 가열되는 가열단계; 및 상기 가열 단계 후에 약 600℃ 내지 약 1000℃의 온도로부터 상기 저 유전체 상수 필름을 냉각하는 단계로서, 상기 저 유전체 상수 필름이 약 0.5분 내지 약 5분의 시간 주기 내에서 가열 및 냉각되는 냉각 단계;를 포함하는 공정에 의해 상기 증착된 저 유전체 상수 필름을 후처리하는 단계를 포함하는,
    기판 처리 방법.
  13. 제 12 항에 있어서,
    상기 저 유전체 상수 필름은 약 10 ℃/초 내지 약 300 ℃/초 범위의 속도로 가열되고 약 10 ℃/초 내지 약 100 ℃/초 범위의 속도로 냉각되는,
    기판 처리 방법.
  14. 제 12 항에 있어서,
    상기 후처리는 상기 저 유전체 상수 필름을 UV 방사선에 의해 처리하는 단계를 더 포함하는,
    기판 처리 방법.
  15. 제 12 항에 있어서,
    상기 후처리 단계는 상기 저 유전체 상수 필름을 전자 비임으로 처리하는 단계를 더 포함하는,
    기판 처리 방법.
  16. 제 12 항에 있어서,
    상기 저 유전체 상수 필름을 냉각하는 단계는 상기 기판을 후면 가스에 노출시키는 단계를 더 포함하는,
    기판 처리 방법.
  17. 기판 처리 방법으로서,
    RF 전력하에서 실리콘과 탄소를 포함하는 저 유전체 상수 필름을 기판 상에 증착하는 단계, 및
    저 유전체 상수 필름을 적어도 약 10℃/초의 속도로 소정의 온도로 가열하는 단계로서, 상기 저 유전체 상수 필름이 약 5초 이하 동안 상기 소정의 온도에서 유지되는 가열단계; 및 상기 가열 단계 후에 적어도 약 10℃/초의 속도로 상기 저 유전체 상수 필름을 냉각하는 단계로서, 상기 저 유전체 상수 필름이 약 0.5분 내지 약 5분의 시간 주기 내에서 가열 및 냉각되는 냉각 단계;를 포함하는 공정에 의해 상기 증착된 저 유전체 상수 필름을 후처리하는 단계를 포함하는,
    기판 처리 방법.
  18. 제 17 항에 있어서,
    상기 저 유전체 상수 필름은 약 25℃ 내지 약 250℃ 범위의 온도로부터 가열되며, 상기 소정의 온도는 약 800℃ 내지 약 900℃ 범위인,
    기판 처리 방법.
  19. 제 17 항에 있어서,
    상기 후처리는 상기 저 유전체 상수 필름을 UV 방사선에 의해 처리하는 단계를 더 포함하는,
    기판 처리 방법.
  20. 제 17 항에 있어서,
    상기 후처리 단계는 상기 저 유전체 상수 필름을 전자 비임으로 처리하는 단계를 더 포함하는,
    기판 처리 방법.
KR1020067024006A 2004-04-21 2005-01-27 저 유전 상수(κ) 필름의 후-처리 방법 KR101046530B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/830,203 US7018941B2 (en) 2004-04-21 2004-04-21 Post treatment of low k dielectric films
US10/830,203 2004-04-21
PCT/US2005/002927 WO2005109484A1 (en) 2004-04-21 2005-01-27 Post treatment of low k dielectric films

Publications (2)

Publication Number Publication Date
KR20070004975A true KR20070004975A (ko) 2007-01-09
KR101046530B1 KR101046530B1 (ko) 2011-07-04

Family

ID=34960755

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067024006A KR101046530B1 (ko) 2004-04-21 2005-01-27 저 유전 상수(κ) 필름의 후-처리 방법

Country Status (6)

Country Link
US (1) US7018941B2 (ko)
JP (1) JP4769344B2 (ko)
KR (1) KR101046530B1 (ko)
CN (1) CN100472733C (ko)
TW (1) TWI374498B (ko)
WO (1) WO2005109484A1 (ko)

Families Citing this family (448)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003008941A2 (en) * 2001-07-17 2003-01-30 Bioforce Nanosciences, Inc. Combined molecular blinding detection through force microscopy and mass spectrometry
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
JP2006013289A (ja) * 2004-06-29 2006-01-12 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
US20060035477A1 (en) * 2004-08-12 2006-02-16 Karen Mai Methods and systems for rapid thermal processing
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20090107527A1 (en) * 2007-10-31 2009-04-30 United Microelectronics Corp. Method of cleaning transparent device in a thermal process apparatus, thermal process apparatus and process using the same thermal process apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103121856B (zh) * 2011-07-25 2014-08-13 重庆文理学院 一种介孔氧化硅薄膜材料的制备方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8735204B1 (en) 2013-01-17 2014-05-27 Alliance For Sustainable Energy, Llc Contact formation and gettering of precipitated impurities by multiple firing during semiconductor device fabrication
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8895416B2 (en) * 2013-03-11 2014-11-25 Alliance For Sustainable Energy, Llc Semiconductor device PN junction fabrication using optical processing of amorphous semiconductor material
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9947576B2 (en) * 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6500740B1 (en) 1997-07-14 2002-12-31 Agere Systems Inc. Process for fabricating semiconductor devices in which the distribution of dopants is controlled
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6033999A (en) 1998-02-02 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of solving contact oblique problems of an ILD layer using a rapid thermal anneal
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6025279A (en) 1998-05-29 2000-02-15 Taiwan Semiconductor Manufacturing Company Method of reducing nitride and oxide peeling after planarization using an anneal
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6133086A (en) 1999-06-24 2000-10-17 United Microelectronics Corp. Fabrication method of a tantalum pentoxide dielectric layer for a DRAM capacitor
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6437406B1 (en) 2000-10-19 2002-08-20 International Business Machines Corporation Super-halo formation in FETs
US6790789B2 (en) 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6607980B2 (en) 2001-02-12 2003-08-19 Symetrix Corporation Rapid-temperature pulsing anneal method at low temperature for fabricating layered superlattice materials and making electronic devices including same
US6303524B1 (en) 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6764940B1 (en) * 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US20020162500A1 (en) 2001-05-02 2002-11-07 Applied Materials, Inc. Deposition of tungsten silicide films
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US20040101632A1 (en) 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US6586297B1 (en) 2002-06-01 2003-07-01 Newport Fab, Llc Method for integrating a metastable base into a high-performance HBT and related structure
US7058237B2 (en) * 2002-06-28 2006-06-06 Microsoft Corporation Real-time wide-angle image correction system and method for computer image viewing
US6780720B2 (en) 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6927169B2 (en) 2002-12-19 2005-08-09 Applied Materials Inc. Method and apparatus to improve thickness uniformity of surfaces for integrated device manufacturing
US6737365B1 (en) 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process

Also Published As

Publication number Publication date
TWI374498B (en) 2012-10-11
US7018941B2 (en) 2006-03-28
US20050239293A1 (en) 2005-10-27
CN1947229A (zh) 2007-04-11
KR101046530B1 (ko) 2011-07-04
TW200536018A (en) 2005-11-01
JP4769344B2 (ja) 2011-09-07
WO2005109484A1 (en) 2005-11-17
CN100472733C (zh) 2009-03-25
JP2007534174A (ja) 2007-11-22

Similar Documents

Publication Publication Date Title
KR101046530B1 (ko) 저 유전 상수(κ) 필름의 후-처리 방법
US7060330B2 (en) Method for forming ultra low k films using electron beam
US6614181B1 (en) UV radiation source for densification of CVD carbon-doped silicon oxide films
JP4435666B2 (ja) プラズマ処理方法、成膜方法
KR100558768B1 (ko) 성막개질장치 및 성막개질방법
KR100906516B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI452645B (zh) 半導體處理用之成膜裝置
US7056560B2 (en) Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
KR100605799B1 (ko) 반도체 처리 시스템의 매엽식 열처리 장치
KR102401034B1 (ko) 플라즈마 cvd 막들에서의 오버레이의 가스 유동 프로파일 조절식 제어
US6566278B1 (en) Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
KR100954258B1 (ko) 실리콘 및 유기 전구체를 이용하여 pecvd 공정에서의가스상 반응을 감소시켜 결함이 없는 초기 층을 증착하는방법
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
TWI576914B (zh) Pattern forming method and substrate processing system
TW200403766A (en) Method for curing low dielectric constant film by electron beam
US20050214457A1 (en) Deposition of low dielectric constant films by N2O addition
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
JP2002543584A (ja) プラズマラジカルに基板を曝露する装置及び方法
WO2005109483A1 (ja) 電子装置用基板およびその処理方法
WO2005020310A1 (en) Stress reduction of sioc low k films
US7776736B2 (en) Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same
JP3062116B2 (ja) 成膜・改質集合装置
KR20060026045A (ko) Omcts 기반 프로세스에 알킬렌을 첨가하여 sioc낮은 k 막의 응력을 감소시키기 위한 방법
KR100537679B1 (ko) 성막장치 및 성막방법
KR20050004844A (ko) 전자 비임에 의한 저유전상수 필름의 경화 방법

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 7