TWI374498B - Post treatment of low k dielectric films - Google Patents

Post treatment of low k dielectric films Download PDF

Info

Publication number
TWI374498B
TWI374498B TW094103444A TW94103444A TWI374498B TW I374498 B TWI374498 B TW I374498B TW 094103444 A TW094103444 A TW 094103444A TW 94103444 A TW94103444 A TW 94103444A TW I374498 B TWI374498 B TW I374498B
Authority
TW
Taiwan
Prior art keywords
dielectric constant
low dielectric
constant film
post
film
Prior art date
Application number
TW094103444A
Other languages
English (en)
Other versions
TW200536018A (en
Inventor
Zhenjiang Cui
Josephine J Chang
Alexander T Demos
Reza Arghavani
Derek R Witty
Helen R Armer
Girish A Dixit
Saad Hichem M
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200536018A publication Critical patent/TW200536018A/zh
Application granted granted Critical
Publication of TWI374498B publication Critical patent/TWI374498B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1374498 I · * 玖、發明說明: 【發明所屬之技術領域】 本發明之該等實施例係關於積體電路之製造。更明確 而言,本發明該等實施例係與用於沉積及後處理低介電常 數薄膜有關。 【先前技術】
從數十年前半導體元件首次提出以來,半導體元件幾 何結構在尺寸上已顯著地降低。從當時起,積體電路大致 依循著兩年/尺寸減半的準則(常稱為莫爾定律),其表示每 過兩年晶月上的元件數目便會倍數增加。現今製造設備可 固定地生產具0.13必m甚至0 · 1 3必m特徵尺寸的元件,而未 來設備很快將可生產出幾何結構更為小的元件。
為進一步縮小積體電路上之元件尺寸,現已需要使用 低電阻之導電材料以及低介電常數(k)之絕緣體以降低鄰 近金屬線間的電容耦合。近來在低介電常數絕緣薄膜的發 展已將重心擺在將矽(Si)、碳(C)及氧(0)原子混入薄膜中。 此領域的一大挑戰係發展出含S i、C及Ο原子之薄膜,使 之具低k值並展現所欲之熱及機械特性。通常含Si、C及 Ο原子且具有所欲介電常數之薄膜之機械強度較差,且於 隨後製程期間易受蝕刻化學物及電漿暴露而受損,使積體 電路裂化。 熱及電漿退火製程已發展可改善低介電常數薄膜之特 性。熱及電漿退火製程通常係實施在約低於 400 °C之溫 3
1374498 I · > · 度,以避免損傷基材或元件上沉積有低介電常 他部件。現已發現熱及電漿退火製程可密化 Si、C及0原子之低介電常數薄膜。然而,前 通常係實施約3 0分鐘至2小時,且因此會明顯 程時間。同樣的,業界仍持續期待可改善低介 之機械及介電特性。 因此,業界對於低介電常數薄膜之後處理 求,以期能改善低介電常數薄膜之特性。 【發明内容】 本發明之該等實施例係提出一種處理一基 其至少包含在RF電源下於一基材上沉積一包 低介電常數薄臈,並藉一製程後處理該經沉積 數薄膜,該製程包含以至少約1 0 °C /秒的速率 常數薄膜加熱到至少約 6 0 0 °C之所欲溫度,其 常數薄膜係維持在該所欲溫度約5秒或更少, 少約1 0 °C /秒的速率冷卻該低介電常數薄膜。 中,該低介電常數薄膜係在約〇. 5分至約5分 内進行加熱及冷卻。 於一實施例中,該低介電常數薄膜係由乡 2 5 0 °C間之溫度加熱至約 6 0 0 °C及約 1 〇 〇 〇 °C間 度,其中該低介電常數薄膜係於該所欲溫度下 或更少,並接著由該所欲溫度冷卻,其中該低 膜係在約0.5分至約5分之一時間段内進行加1 數薄膜之其 (densify)含 述退火製程 增加基材製 電常數薄膜 方法存有需 材之方法, 含矽及碳之 之低介電常 將該低介電 中該低介電 且接著以至 於一實施例 之一時間段 ]2 5 °C至約 之一所欲溫 加熱約5秒 介電常數薄 办及冷卻。 4 1374498 I . · 本發明之另一實施例包括藉由至少約 1 o °c /秒之速率 將該低介電常數薄膜加熱至一所欲溫度以後處理一低介電 常數薄膜,其中該低介電常數薄膜係維持在該所欲溫度約 5秒或更少,並以至少約1 0°C /秒之速率冷卻該低介電常數 薄膜,並以一或多種選自一電子束處理及UV輻射處理所 組成群組之製程中處理該低介電常數薄膜。 【實施方式】
本發明之一或多値實施例係提供一種方法,其包含沉 積低介電常數薄膜於一基材上及藉一至少包含快速加熱該 低介電常數薄膜至一所欲高溫(例如介約 600°c至約 1000 °C)之製程對該低介電常數薄膜進行後處理,並接著快速冷 卻該低介電常數薄膜使之暴露於該所欲高溫約 5秒或更 少。較佳而言,該低介電常數薄膜係暴露於該所欲高溫約 1秒或更少。於一實施態樣中,該快速加熱及快速冷卻該 低介電常數薄膜的製程係一 spike退火製程。 沉精低介電當數薄膜
該低介電常數薄膜之介電常數約小於4,且至少包含 矽及碳,較佳則包含氧。該低介電常數薄膜係於RF電源 下由一至少包含一或多種有機矽化物之混合物所沉積。該 一或多種用於沉積低介電層之有機矽化物可為有機矽烷 (organosilane)、有機石夕氧化物(organosiloxanes)或其結合 物。此處所用之名詞 「有機石夕化物(organosilicon ⑧ 1374498 I I 1 · compound)」意指為有機基團中含有破原子之化合物,且 其可為環狀或鏈狀。有機基團可包括炫基、稀基、環已稀、 以及除其功能性衍生物外之芳香族羥基。較佳而言,該有 機梦化物包括一或多個接於發原子之碳原子,以使破原子 不會在適當製程條件下的氧化而快速移除。該有機發化物 較佳也包括一或多個氧原子。
合適之環狀有機矽化物包括一具有三個(或以上)之碎 原子的環狀結構,及選擇性的包括一或多個氧原子。市面 上所販售之環狀有機矽化物包括數個矽及氧原子交替之 環,其中該矽原子與一或兩個烷基相鍵結。一些例示性的 環狀有機矽化物包括: 2,4,6-矽代環己烷 -(-SiH2CH2-)3- (環狀) 1,3,5,7-四曱基環四矽氧烷(TMCTS) -(-SiHCH3-0-)4- (環狀) 八曱基環四矽氧烷(OMCTS) -(-Si(CH3)2-0-)4- (環狀) 1,3,5,7,9-五T基環五矽氧烷 -(-SiHCH3-0-)5- (環狀) 1,3,5,7-梦代-2,6-氧代環辛烷 -(-SiH2CH2-SiH2-0-)2- (環狀) 六甲基環三矽氧烷 -(-Si(CH3)2_0-)3- (環狀)
合適之直鏈有機矽化物包括具有直鏈或分支結構(具 一或多個矽原子及一或多個碳原子)的脂肪族有機矽化 物。該有機矽化物更可包含一或多個氧原子。若干例示性 之直鏈有機矽化物包括: 1374498.
甲基矽烷 CH3-SiH3 二甲基矽烷 (CH3)2-SiH2 三甲基矽烷 (CH3)3-SiH 乙基矽烷 CH3-CH2-S1H3 二矽炫基曱烷 SiH3-CH2-SiH3 二(曱基矽烷基)甲烷 CH3-SiH2-CH2-SiH2-CH3 1,2-二梦烷基乙烷 SiH3-CH2-CH2-SiH3 1,2-雙(甲基梦烷基)乙烷 CH3-SiH2-CH2-CH2-SiH2-CH3 2,2-雙矽烷基丙烷 SiH3-C(CH3)2-SiH3 二乙基矽烷 ((C2H5)2SiH2) 丙基矽烷 (C3H7SiH3) 乙烯基甲基梦烷 (CH2=CH)-SiH2-CH3 1,1,2,2-四曱基二發烷 (CH3)2-SiH-SiH-(CH3)2 六甲基二矽烷 CH3)3Si-Si-(CH3)3 1,1,2,2,3,3-六曱基三砍燒 (CH3)2-SiH-Si(CH3)2-SiH-(CH3)2 U,2,3,3-五甲基三矽烷 (CH3)2-SiH-SiH(CH3)-SiH-(CH3)2 I,3·雙(曱基矽烷基)丙烷 CH3-SiH2-(CH2)3-SiH2-CH3 U-雙(二曱基矽烷基)乙烷 (CH3)2-SiH-CH2)2-SiH-CH3)2 1,3_雙(二曱基矽烷基)丙烷 (CH3)rSiH-(CH2)rSiH-CH3)2 二乙氧曱基矽烷基(DEMS) CH3-SiH-(0-CH2-CH3)2 i,3·二甲基二矽氧烷 CH3- S1H2-O- S1H2-CH3 1,1,3,3-四甲基二矽氧烷(TMDS0) (CH3)2-SiH-0-SiH-(CH3)2 六甲基二矽氧烷(HMDS) (CH3)3-Si-0-Si-(CH3)3 i,3_雙(石夕烷基曱樓)二石夕氳烷 (SiH3-CH2-SiH2-)2-0 ⑧ 1374498 雙(1-甲基二碎氧烷基)曱烷 (CH3- SiH2-0- SiH2-)2-CH2 2,2-雙(1-甲基二矽氧烷基)丙烷 (CHr SiH2-0- SiH2-)2-C(CH3)2 二曱基二甲氧基矽烷(DMDMOS) (CH3)2-Si-(OCH3)2 苯基二甲氧基硅烷 C6H5-SiH-(0-CH3)2 二苯基甲基矽烷 (C6H5)2-SiH-CH3 二曱基苯矽烷 (CH3)2-SiH-C6H5 二甲氧基甲基乙烯基矽烷 (CH30)2-Si(CH3)0CH3)2
於一實施例中,該低介電常數薄膜係於RF電源下由 一包含一或多種有機石夕化物及一或多種氧化氣體之混合物 中所沉積。可使用之氧化氣體包括氧氣(〇2)、臭氧(〇3)、 一氧化二氮(N20)、一氧化碳(C0)、二氧化碳(co2)、水 (112〇)、二甲基代乙二路(2,3-1>1^3116(11〇1^)或其結合物。當 使用臭氧作為氧化氣體時,臭氧產生器可將一氣體源中重 量6%至2 0%(—般約15%)之氧氣轉換為臭氧,而剩餘仍為 氧氣。然而,臭氧濃度可依據所欲臭氧的量、以及所用臭 氧產生設備的種類作增加或減少。氧氣或含氧化合物的解 離可在進入沉積室前於微波處理室内進行,以降低含矽化 合物的過量解離。較佳而言,可施加射頻(RF)電源至反應 區以增加解離量。 亦可選擇的是,除該一或多種有機矽化物及該選擇性 的一或多種氧化氣體外,混合物中也可包括一或多種碳氫 化合物以沉積低介電常數薄膜。可使用之碳氫化合物包括 具有介約1至20個鄰接碳原子之脂肪族碳氫化合物。該碳 8 1374498 •· · ·
氫化合物可包括數個藉由單鍵、雙鍵及三鍵任一結合 結之鄰接碳原子。例如,有機化合物可包括具有兩個 20個碳原子之烯屬烴及烯烴基,例如乙烯、丙烯、乙 丁二烯。 也可使用一或多種具有環狀團之碳氫化合物。此 用之名詞「環狀團(cyclic group)」意指為環形結構。 形結構可包含僅三個原子。該等原子可包括,例如碳、 氮、氧、氟及其結合物。該環狀團可包括一或多個單 • · · · · 雙鍵、三鍵及其任一結合。例如,一環狀團可包括一 個芳香族、芳香族羥基、苯基、環已烷、環己二烯、 二烯、及其結合物。該環狀團可為雙環或三環。此外 環狀團較佳係鍵結至一直鏈或分支官能基團。該直鏈 支官能基團較佳包含一烷基或烷基乙烯基團,且具有 個至20個碳原子。該直鏈或分支官能基團也可包括 子,例如酮、醚及酯類。一些具有至少一個環狀團之 性破氫化合物包括松油稀(alpha-terpinene,ATP)、乙 環已胺(vinylcycl〇hexane,VCH)及 已酸笨 (phenylacetate) ° 亦可選擇的是,該混合物中也可包括一或多種用 積低介電常數薄膜之載氣。可使用之載氣包括氬、說 氧化碳及其結合物。 該薄膜也可利用任何化學氣相沉積製程室進行沉 第1圖係說明一可使用之平行扳式CVD製程處理室 截面圖。該處理室10包括一高真空區15及一氣體散 而鍵 至約 炔及 處所 該環 矽、 鍵、 或多 環庚 ,該 或分 約 1 氧原 例示 烯基 酯 於沉 、 二 積。 1 0的 流歧 1374498.. 管11,該氣體散流歧管丨丨具有數個穿孔用以散佈製程氣 體至基材(未不出)。該基材置於一基材支撐板或晶座12 上。該轉座12安裝於一支撐桿13上,而該支撐桿13係連 接該轉座12及一升舉馬達14。該升舉馬達14可將該轉座 12升舉及降低於一製程位置及一較低之基材裝載位置,以 使轉座12(及該支撐於轉座12上表面上的基材)可控制地 移動於一較低之裝載/卸載位置及一較高之製程位置(相當 接近該歧管u)。當該轉座12及該基材位於一較高製程位 置時,絕緣體17係環繞該轉座丨2及該基材。 引入歧管11之氣體係均勻地徑向分佈於基材表面。一 具有節流閥之真空幫浦32可經由歧管24控制來自該處理 室1 0之氣體排氣速率。若需要時,沉積物及載氣可流經氣 趙線18進入一混合系統19並接著至該歧管n。一般而 言’各製程氣體供應線18包括⑴安全關閉閥(未示出),可 用以自動或手動地關閉流進處理室之製程氣體,以及(ii) 質流控制器(亦未示出),用以測量流經氣體供應線1 8之氣 體。當製程中使用有毒氣體時,習知配置中係於各氣體供 應線1 8上放置數個安全關閉閥。 於一實施態樣中,一有機矽化物係以一用於200或 3〇〇mm基材之流率(約lOOsccm至約lOOOOsccm)弓丨入該混 合系統1 9。該選擇性碳氫化合物係以一約丨〇〇sccm至約 lOOOsccm之流率引入該混合系統19。該選擇性使用之氧 化劑流率約為1 〇 〇 s c c m至約6 0 0 0 s c c m β該載氣流率約為 lOOsccm至約5000sccm。於一較佳實施例中,該有機矽化
10 物為八甲基環四矽氧烷(〇MCTS),而該碳氫化合物為乙烯。 該沉積製程較佳為一電漿增強型製程。於一電漿增強 型製程中’ 一經控制電漿一般係藉施加(利用RF電源供應 器25)至氣體散流歧管Η之Rjj能量形成於該基材鄰近 處。或者’ RF電源可提供至轉座12。至該沉積處理室的 RF電源可為遇期式或脈衝式,以降低基材受熱並促進沉積 薄膜之多孔性。用於2〇〇mm或300mm基材之電漿電源密 度介約0.03W/cm2以及約3.2W/cm2之間,該值係與用於 200mm基材時約1 〇瓦至約.1 000瓦的RF電源位準相對應, 並與用於300mm基材時約20瓦至約2250瓦的RF電源位 準相對應。較佳來說’用於3〇〇mm基材之rf電源彳立準約 為200瓦至約1700瓦。 RF電源供應器25可提供一介約〇 〇1 mHz及300 MHz 間之單一頻率RF電源。較佳而言,該RF電源可利用混合、 同步頻率作傳送以增加引入高真空區域15之反應物種的 分解。於一實施態樣中,該混合頻率係一約1 2kHz之低頻 及一約13.56mHz之高頻的馮合頻率。於另一實施態樣中, 該低頻範圍介約300Hz至約i〇〇〇kHz間,而該高頻範圍介 約5mHz及約50mHz間。較佳而言,該低頻電源位準約為 1 50瓦’而該高頻電源位準以介約2〇〇瓦至約75〇瓦為佳, 且更佳者係介約2〇〇瓦至約4〇〇瓦。 於沉積期間’該基材係維持在約_ 2 〇及約5 〇 〇 °C間之 恤度,並以約1 〇〇 C至約45〇°c間為佳。該沉積壓力一般介 約1 Torr及約20 Torr ’並以介約4 τ〇ΓΓ至約7 τ〇ΓΓ間為 1374498 佳。下文將詳述依據此處所述實施例中可用於沉積一低介 電常數層之例示性處理室。 當欲遠端分解氧化氣體時,一可選擇使用之微波處理 室28便可在該氡體進入製程處理室10之前先將介約50 瓦至約6000瓦間的電源輸予該氧化氣體。該額外的微波電 源可避免該有機矽化物在與氧化氣體反應之前發生過量分 解。在微波電源加至氧化氣體時,以使用一具有個別用於
有機妙化物及氧化氣體之通道的氣體散流板(未示出)為 佳。 一般而言,該處理室内襯、散流歧管11、轉座12及 各種其他反應器硬體之任一或全部係以諸如鋁或電鍍鋁的 材料所製造。上述CVD反應器之一範例係描述於頒予 Wang等人並受讓予美商應用材料公司(本發明之受讓人) 的美國專利案第5,000,1 1 3號,標題為「A Themal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and Multi-step Planarized Process」中,其全文與
本發明範圍相符部分係合併於此以供參考。 系統控制器3 4可控制馬連1 4、氣體混合系統1 9及高 頻電源供應器2 5,其等係藉控制線3 6相連接。系統控制 器34可控制該CVD反應器之作動且一般包括一硬碟機、 一軟碟機及一卡架(card rack)。該卡架包含一單板式電腦 (single board computer, SBC)、類比及數位輸入/輸出板、 介面板以及步進馬達控制板。系統控制器34符合總線模組 歐式卡(Versa Modular Europeans,VME)標準,該標準可界
12 1374498 • · · 定基板、卡盒(card cage)以及連接器尺寸及類型。該VME 標準也界定具有16位元數據及24位元位址匯流排之匯流 排結構。系统控制器3 4係操作於電腦程式(儲存於硬碟機 中38)的控制下。 此處所述之該低介電常數薄膜可利用電腦儲存媒體的 控制進行沉積,而該電腦儲存媒體包含一軟體常式,在執 行時,可使一通用電腦控制沉積處理室。該軟體常式可包 含數個指令,用以依據此處所述實施例之任一者沉積任一 薄膜。 後處理低介電常數薄膜
在該低介電常數薄膜沉積後,該低介電常數薄膜會以 一製程作後處理,該製程包括將該低介電常數薄膜快速加 熱致一所欲高溫,並接著將之快速冷卻。該所欲高溫可介 約600°C致約1〇〇〇°C,例如約800°C。較佳而言,該低介 電常數薄膜係由一介約2 5 °C至約2 5 0 °C之溫度,以至少約 1 0 °C /秒的速率加熱至該所欲高溫。例如,該低介電常數薄 膜可以約10°C /秒至約 300°C /秒的速率加熱。較佳而言, 該低介電常數薄膜係以一介約1〇〇°C /秒至約300°C /秒的速 率(例如約250°C /秒)作加熱。在該低介電常數薄膜達該所 欲高溫後,使關閉該用於加熱該低介電常數薄膜之熱源 (群),並以至少約1 0°C /秒(例如一介約1 〇°C /秒至約1 〇〇°C /秒之速率)冷卻該低介電常數薄膜。該低介電常數薄膜之 冷卻可藉由在該後處理製程室中設一反射板的方式提升。 較佳而言,該冷卻速率可藉該後處理製程室中之反射板、 ⑧ 13 1374498. 及藉將該基材(其上沉積有該低介電常數薄膜)背側暴露於 惰性氣趙(如氦氣)流等兩種方式予以提升,例如,該基材 背側可暴露於流率介約lOsccm至約500sccm之氦氣。由 於該低介電常數薄膜係作快速加熱及快速冷卻,該加熱低 介電常數薄膜之起始至冷卻該低介電常數薄膜之終了的時 間長度一般介約0.5分至約5分鐘》 一般而言’該低介電常數薄膜係於處理室氛圍下進行 加熱及冷卻該氛圍可能包括氬氣(Ar)、氮氣(n2)、氦氣 (He)、氧氣(〇2)、氫氣(H2)、水蒸氣(H20)、一氧化二氮(N20) 或其結合物。該處理室壓力可介約丨〇〇 Τ〇Γ1:及約760 T〇rr »該處理室壓力可經調整以改變該低介電常數薄膜的 冷卻速率。 於一實施例令,該低介電常數薄膜係於一氬氣環境下 作加熱及冷卻。於一實施例中,氬氣係以一介約l〇sccm 至約lOOsccm之速率引入該處理室中。 一可以約5秒或更少的時間(較佳為1秒或更少)將 低η電常數薄骐快速加熱至一所欲高溫、並接著將之快 速冷部的處理室均可用以後處理該低介電常數薄膜。依據 此處所述可用於後處理-低介電常數薄膜之-例示性處理 室將詳述如下。 種可使用之處理室為RadianceTMRTP處理室,其係 由加州聖 i °兄拉拉應用材料公司所上市。第2圖係說明一 處理至200,其為該RadianceTMRTP處理室之—實施例。 第2辱所不之處理t 200包括-由側壁214及底壁215圍 ⑧ 14 1374498 « · · 住之處理區213。該處理室2 00之側壁214上部係藉0形 環216密封至一窗口 248。
基材或晶圓261係藉一支撐環262(—般由碳化矽製成) 支撐於其邊緣内側區213。支撐環262係安裝於一可轉式 石英柱263上。藉由可轉式石英柱263,支撐環262及晶 圓261可因而旋轉。也可使用額外的碳化矽轉接環以讓不 同尺寸的晶圓(例如150mm、200mm及300mm)可作處理。 支撐環2 6 2外緣較佳係由晶圓2 6 1.外徑延伸不超過2英 吋。對300mm系統而言,處理室200容積大約為9公升。 處理室200包括一穿設側壁214之氣體入口 269,用 以將製程氣體注入區域213内以使不同製程步驟可於内實 施。位於氣體入口 2 6 9對側上(即側壁2 1 4處)者為一氣體 出口 268。氣體出口 268係耦接至一真空源286(例如幫 浦),以將製程氣體排出處理室200並降低處理室200中的 壓力。於製程期間該真空源2 8 6係維持在一所欲壓力,同 時將製程氣體餵進該處理室中。
輻射能組件2 1 8係位於該窗口 248之上。該輻射能組 件218包括數個鹵鎢燈219(例如Sylvania EYT燈),其各 安裝至一光導管221中且光導管可為不鏽鋼、金、铜、鋁 或其他金屬。包括一纏繞成為線圈之燈組219,其軸係平 行於該燈套(lamp envelope)之軸。絕大多數的光線會垂直 於該軸發散至周圍光導管221之壁。該光導管長度係經選 擇以至少與相關之燈組等長。該光導管22 1可較長以使觸 及基材之能量不會因增加的反射而實質減弱。該燈組219 ⑧ 15
1374498 * _ I
係以六角形陣列或蜂巢形方式設置。燈組2 1 9係經定 適當覆蓋基材 261及支撐環 2 62的整個表面積。 219(其可能為上百個之譜)係聚集於一區中,以可獨立 提供相當均勻或不均勻的晶圓261力d熱,如依據本發 程所欲求者。 該輻射能源2 1 8至少包含數個光導管2 2 1及相關 219,以使薄石英窗248可提供一光埠口,加熱該排真 製程處理室内的基材。該窗口 24 8的主要目的係將製 境與燈組219隔絕,避免燈組過熱而與製程氣體反應 導管2 2 1可藉流動一冷卻劑(例如水)於不同熱導管間 式予以冷卻。 雖然前述之輻射能源2 1 8包括數個鹵鎢燈2 1 9, 另一實施例中,該輻射能源2 1 8包括紫外光燈。 處理室200之底壁215包括一上表面211,用以 晶圓2 6 1背側上之能量。此外,處理室2 0 0包括數個 溫度探針2 70,穿設於處理室2 0 0底壁2 1 5以偵測晶圓 表面數個位置之溫度。石夕晶圓261及反射表面211間 射會形成一黑體腔(blackbody cavity) ’使溫度偵測不 圓背側發散影響,並提供正確溫度測量能力。 於一實施例中,該反射表面 2 11係呈吸收反射 式,其係以0.7至0.96nm波長反射,並以該輻射能 218發散之另一波長反射。該反射板之吸收特性可提 介電常數薄膜之冷卻速率。該低介電常數薄膜之冷卻 可藉由將該基材(其上沉積有該低介電薄膜)背側暴露 位以 燈組 控制 明製 燈組 空之 程環 。光 的方 然於 反射 光學 261 的反 受晶 板形 組件 升低 速率 於惰 ⑧ 16 1374498 .· · 氣流的方式進一步提升,例如藉由將惰性氣體引至該反射 板邊緣周圍或通過孔洞(設於反射板中)而至基材背側。
處理室200之態樣係由一控制系統(未示出)所操作。 該控制系統可包括任何數量的控制器、處理器及輸入/輪出 裝置。於一實施例_,該控制系統為一封閉迴路反饋系统 之元件,其可監控該製程處理室2〇〇内之各種參數,同時 處理一基材’並接著送出一或多個控制訊號以依據各種設 定值作必要調整。一般而言,被監控的參數包括溫度、壓 力及氣流速率。 於又一實施例中,後處理該低介電常數薄膜的步驟包 括將該低介電常數薄膜快速加熱至一所欲高溫,並由該所 欲高溫將該低介電常數薄膜作快速冷卻,並以一電子束處 理處理該低介電常數薄膜。該低介電常數薄膜可在該快速 加熱及快速冷卻前或後以一電子束處理進行處理。
該電子束(e-beam)處理一般劑量在約1至2〇千伏(KeV) 下係介於每平方公分50至約2000微庫倫(pc/cm2)。該電 子束電流一般介約1 mA至約40mA,且較佳約為i至約2〇 微米。該電子束電流一般介於約1mA至約4〇mA,而以約 1 mA至約20mA為佳。該電子束處理一般係操作在約室溫 至約45 0°C間之溫度下約10秒至約15分鐘。於_實施银 樣中,該電子束處理條件包括6kV、10-l8mA及5(^c/cm2 以35〇°C處理約15至約30秒,以處理厚度約i微米之薄 膜。於另一實施態樣中,該電子束處理條件包括4 5kv、 l〇-18mA 及 50μο/(:ιη2 以 350°C 處理約 15 至的,Λ . 之β 3 ϋ秒,以處 ⑧ 17 1374498 * · %
理厚度約5000A之薄瞪执—〜 Q 4膜。於該電子束處理期間可使用氬氣 及氫氣。雖然可使用 仕種電子束裝置,但一例示性裝置 為EBK處理室,其仫士 圹I 、“由應用材料公司所上市。在低介電常 數溥膜沉積後,以— €千末處理該低介電常數薄臈會揮發 該薄膜中至少若干右地 有機團’並因此於薄膜中形成孔洞。 第3圖係說明—仿诚 依據本發明之一實施例的電子束處理 器300。該電子束處理哭—』 爽理器300包括—真空處理室32〇、一大 面積陰極322、一位於 广w 证於一無%區(fleld free)338中之靶材面 30以及柵極陽極326 (設於該靶材面及該大面積陰 極322間)該電子束處理室3 00更包括一高壓絕緣體324 及一加速場區336(其可將該柵極陽極326由大面積陰極 322隔絕出)、一陰極覆蓋絕緣體328(位於該真空室Μ。 内) 可變簧片閥332(用以控制該真空室320内之壓 力)可變问壓電源供應器329(連接至該大面積陰極322) 以及一可變低壓電源供應器33〗(連接至該栅極陽極326)。
於操作中’該其上具有欲暴露於電子束之低介電常數 薄膜的基材(未示出)係置於該靶材面33〇上。該真空室32〇 係由大氣壓力抽吸至範圍約ImTorr至約 200mTorr之壓 力。該確切壓力係由可變速率簧片閥332所控制,其可將 壓力控制至約0. ImTorr。該電子束一般係於一足夠高壓下 產生,並藉高壓電源供應器329施加至大面積陰極322。 電壓範圍介約500伏特至約30000伏特或更高。該高壓電 源供應器329可為紐約州Bertan of Hickville公司所製造 之Bertan Model#105-30R電源供應器、或紐約州Spellman ⑤ 18 1374498 1 t * ·
High Voltage Electronics Corp” of Hauppauge 公司所製造 之 Spellman Model#SL30N-l 200X 258 電源供應器。該可變 低壓電源供應器331可施加一電壓至該柵極陽極326,其 相對於施加至該大面積陰極3 22之電壓為正值(p0sitive)。 此電壓係用以控制自該大面積陰極322的電子發散。該可 變低壓電源供應器331可為Acopian of Easton, Pa公司所 上市之Acopian Model#150PT12電源供應器。
該電子束處理室 3 00之其他細節則描述於授予 William R. Lives ay等人之美國專利案第5,003,1 78號,標 題為「Large-Area Uniform Electron Source」,其受讓予 Electron Vision Corporation公司(目前由本發明之受讓人 所擁有)且其全文與本發明相符部分係合併於此以供參考。 於另一實施例中,後處理該低介電常數薄膜之步驟包 括將該低介電常數薄膜快速加熱至一所欲高溫、將該低介 電常數薄膜由該所欲高溫快速冷卻及以紫外線(UV)輻射 處理該低介電常數薄膜。較佳而言,該低介電常數薄膜係 以UV輻射處理,同時對該低介電常數薄膜施予至少一部 份快速加熱及/或冷卻處理》然而,該低介電常數薄膜也可 在快速加熱及冷卻該低介電常數薄膜之前或之後以UV輻 射作處理。較佳而言,在快速加熱及冷卻低介電常數薄膜 之前或之後以UV輻射處理低介電常數薄膜之該等實施例 中,於UV輻射期間該低介電常數薄膜係以一介約200°C 至約600 °C間之溫度加熱。例如,該低介電常數薄膜可暴 露於一處理室中之UV輻射下,例如具有一 UV源之高溫 ⑧ 19 1374498 « · » 爐中。於uv輻射處理期間,該處理室可為真空或大氣壓 力氛圍。 該低介電常數薄膜可暴露於一或多種UV輻射波長 中 處理至之一範例及一可將該低介電常數薄膜暴露於 UV輕射下之方法係揭示於美國專利案第6,614,181號,其 係共同受讓予本案受讓人且全文合併於此以供參考。
於另一實施例中’該低介電常數薄膜係藉一方法進行 後處理包括將該低介電常數薄膜快速加熱及冷卻、以UV 輻射處理該低介電常數薄膜及以一電子束處理該低介電常 數。該後處理製程可以任何順序進行《然而,較佳者係依 據此處所述本發明之實施例將該低介電常數薄膜以快速加 熱及冷卻方式進行後處理,並同步UV輻射該低介電常數 薄膜,且接著以一電子束處理該低介電常數薄膜。
現已相信一包含快速加熱及快速冷卻低介電常數薄膜 並UV輻射及/或以電子束處理該低介電常數薄膜的後處理 可提升低介電常數薄膜的特性。例如’現已相信一包括快 速加熱及快速冷卻及uv賴射的後處理會降低該沉積薄膜 之介電常數,並增加薄膜硬度及模數(modulus)。於前述任 —實施例中’該低介電常數薄膜可於一集成製程系統(例如 美商應用材料公司所上市之Centura®4 Producer®機台)内 作沉積及後處理。因此’該低介電常數薄膜可在無需暴露 至大氣壓下的方式進行沉積及後處理。於實施超過—種後 處理製程之實施例中,該低介電常數薄膜於不同後處理製 程間可受保護免受大氣影響。例如’在另一處理室中快速 20 1374498 • I ' 加熱及冷卻及選擇性實施之uv輻射後,該低介電常數薄 膜可送至一電子束處理室,而無需在快速加熱及快速冷卻 與電子束處理之間暴露於大氣下。 下文範例將說明處理其上沉積有低介電常數薄膳沉積 之基材的方法。該薄膜係利用美商應用材料公司所上市之 Producer®CVD處理室沉積於300mm基材上。 比較例 1 9 一包含矽、碳及氧的低介電常數薄膜係由一包含八甲 基環四矽氧烷(OMCTS)、三甲基矽烷以及乙烯之氣體混合 物沉積於一基材上。該OMCTS係以約520sccm之流率引 入處理室中,該三甲基矽氧烷係以300sccm引入該處理室 中,且該乙烯係以約2200sccm的流率引入該處理室。氦 氣係以約1000seem之流率引入處理室中,而氧氣係以約 lOOOsccm 之流率引入處理室。該薄膜利用頻率為 13.56MHz之800瓦RF電源於400ΐ、5.7 Torr之壓力下 沉積約 20秒。當沉積時,該低介電常數薄膜厚度為 5 04 3A,介電常數(k)為2.77,且硬度為0.59gPa。 比較例2 比較例1中係描述將低介電常數薄膜沉積於一基材 上。該低介電常數薄膜係於800°C之溫度下進行熱退火處 理1分鐘的方式作後處理。當沉積時,該低介電常數薄膜 厚度為5085A。在該後處理後,該低介電常數薄膜厚度為 ⑧ 21 1374498 4463 A(收縮率為1 2.2%) °在該後處理後,該低介電常數薄 膜之介電常數(k)為3.35,且硬度為1.82 gPa。 比較例 3
比較例1中係描述將低介電常數薄膜沉積於一基材 上。該低介電常數薄膜係於溫度800°C、加速電壓4.5kV 下以3mA且劑量為1 00pc/cm2之電流的電子束處理進行後 處理。當沉積時,該低介電常數薄膜厚度為507 4人。在該 後處理後,該低介電常數薄膜厚度為 47 63 A(收縮率為 6.1 %)。在該後處理後,該低介電常數薄膜之介電常數(k) 為2.74,且硬度為1 · 14 gPa。 範例1
比較例1中係描述將低介電常數薄膜沉積於一基材 上。該低介電常數薄膜係於RadianceTM RTP處理室中由室 溫快速加熱至8 0 0 °C並接著快速冷卻至1 2 0 °C,以使該低介 電常數薄膜在3 0秒内被加熱及冷卻的方式進行後處理。當 沉積時,該低介電常數薄膜厚度為5036人。在該後處理後’ 該低介電常數薄膜厚度為5021A(收縮率為0.3%)。在該後 處理後,該低介電常數薄膜之介電常數(k)為2.53,且硬度 為 0.62 gPa。 範例2 比較例1中係描述將低介電常數薄膜沉積於一基材 ⑧ 22 1374498 • · ·
上。該低介電常數薄膜係於RadianceTM RTp處理室 溫快速加熱至8 0 〇 t並接著快速冷卻至1 2 0 °c,以使 電常數薄膜在30秒内被加熱及冷卻的方式進行後處 沉積時’該低介電常數薄膜厚度為5011A。在該後處 該低介電常數薄膜厚度為49 9 6 A(收縮率為0.3%)。 處理後,該低介電常數薄膜之介電常數(k)為2.44。 範例1及範例2均說明了在依據此處所述實施 速加熱及快速冷卻該低介電常數薄膜的方式後處理 電常數薄膜會使薄膜之介電常數較未作後處理之薄 以電子束處理或習知退火處理作後處理之薄膜者為 人相信以此處所述之後處理處置的薄膜將可藉由對 後處理’使孔化劑(ρ 〇 r 〇 g e n s,例如有機團)由低介 薄膜釋出的方式達到低介電常數。 範例1更說明了依據此處所述實施例快速加熱 該低介電常數薄膜並不會對沉積薄膜的硬度造成影 等範例也說明了依據此處所述實施例所進行的低介 薄膳後處理’其相較於其他後處理製程所導致的收 低。 因此,本發明之該等實施例係提供一種低介電 膜之後處理方法,以在不降低該薄膜硬度的情況下 介電常數,並使因後處理造成的收縮減至最小。本 等實施例中快速加熱及冷卻後處理的其他優點包括 速的後處理製程而使基材產量較高’並降低依據本 施例處理之基材的熱預算。 中由室 該低介 L理》當 理後, 在該後 例以快 該低介 膜、或 低。吾 其進行 電常數 及冷卻 響〃該 電常數 縮率更 常數薄 降低其 發明該 可因快 發明實 23 ⑧ 1374498 • · 雖然前文所述係與本發明之該等實施例有關,然而本 發明之其他及進一步的實施例應可在不悖離本發明基本範 圍的情況下作潤飾,而本發明範圍係由下文之申請專利範 圍所決定。 【圖式簡單說明】 本發明前述該等特徵可藉本發明簡述於上之詳細、明 確的敘述並參照實施例及附加圖示之說明而得以領會。然 而應注意的是,鵁等附加圖示僅為本發明之一般實施例, 因此不應視為其範圍之限制,本發明範圍亦應涵蓋其他等 效實施例。 第1圖係一例示性CVD反應器之截面圖,其係經配置 以依據本文所述實施例來使用。 第 2圖係依據本發明一實施例之快速熱製程(RTP)處 理室之一部份的垂直截面概要圖。 第3圖係依據本發明實施例之一電子束處理室。
【主要元件符號說明】 10 製 程 處理室 11 氣 體 散 流 歧管 12 轉 座 13 支 撐 桿 14 升 舉 馬達 15 高 真 空 區 域 17 絕 緣 體 18 氣 體 供 應 線 19 混 合 系統 24 歧 管 25 RF電源供應器 28 微 波 處 理 室 24 ⑧ 1374498 « « ·
32 真空幫浦 34 系統控制器 36 控制線 38 硬碟機 200 處理室 211 表面 213 區域 214 側壁 2 15 底壁 216 0形環 218 能源組件 219 鹵鎢燈 221 光導管 248 窗口 261 晶圓 262 支撐環 263 石英柱 268 氣體出口 269 氣體入口 270 溫度探針 286 真空源 300 電子束處理室 320 真空處理室 322 大面積陰極 324 高壓絕緣體 326 柵極陽極 328 覆蓋絕緣體 329 南壓電源供應β 330 靶材面 33 1 低壓電源供應器 332 可變速率簧月閥 336 加速場區 338 無場區
25

Claims (1)

1374498 第f%。八作號萌这/%年~月glk _ t · ㈣年^月,曰修(更)正本 拾、申請專利範圍:--——- 1. 一種處理一基材之方法,其包含下列步驟: 於RF電源下沉積一包含矽及碳之低介電常數薄膜於 一基材上;以及 以一製程對該沉積之低介電常數薄膜進行後處理,該 製程包含:
將該低介電常數薄膜以至少1 〇°C /秒的速率加熱至 一至少 600°C之所欲溫度,其中該低介電常數薄膜維持在 該所欲溫度5秒或更少;且接著 以至少1 0 °C /秒的速率冷卻該低介電常數薄膜。 2.如申請專利範圍第1項所述之方法,其中該後處理係於 足以降低該低介電常數薄膜之介電常數的條件下實施。
3.如申請專利範圍第1項所述之方法’其中該低介電常數 薄膜係以一介於1 〇 °C /秒至3 0 0 °C /秒的速率加熱,並以 一介於1 0 °C /秒至1 〇 〇 °C /秒的速率冷卻。 4.如申請專利範圍第1項所述之方法’其中該後處理更包 括以紫外光(UV)輻射處理該低介電常數薄膜。 5.如申請專利範圍第4項所述之方法,其中該包含加熱及 冷卻該低介電常數薄膜的製程係與以紫外光輻射處理 26 1374498 該低介電常數薄膜同時進行。 6.如申請專利範圍第5項所述之方法,其中該後處理更包 括以一電子束處理該低介電常數薄膜。
7.如申請專利範圍第6項所述之方法,其中該低介電常數 薄膜係於一集成製程系統中進行後處理,以使該低介電 常數薄膜在加熱及以一電子束處理該低介電常數薄膜 之間不會暴露於大氣下。 8.如申請專利範圍第4項所述之方法,其中該包括加熱及 冷卻該低介電常數薄膜之製程以及以紫外光輻射處理 該低介電常數薄膜係相繼進行。
9.如申請專利範圍第1項所述之方法,其中該後處理更包 括以一電子束處理該低介電常數薄膜。 10.如申請專利範圍第9項所述之方法,其中該低介電常數 薄膜係於一集成製程系統中進行後處理,以使該低介電 常數薄膜在加熱及以一電子束處理該低介電常數薄膜 之間不會暴露於大氣下。 11.如申請專利範圍第1項所述之方法,其中該低介電常數 27 1374498 薄膜更包含氧。 12. —種處理一基材之方法,其包含下列步驟: 於RF電源下沉積一包含矽及碳之低介電常數薄膜於 一基材上:以及 以一製程對該沉積之低介電常數薄膜進行後處理,該 製程包含:
將該低介電常數薄膜由一介於2 5 °C至 2 5 0 °C之溫 度加熱至一介於600 °C至1000 °C之溫度,其中該低介電常 數薄膜係於該介於600°C至l〇〇〇°C之溫度加熱 5秒或更 少;且接著 將該低介電常數薄膜由一介於600°C至 l〇〇〇°C之 溫度冷卻,其中該低介電常數薄膜係於〇. 5分鐘至5分鐘 的一時間範圍内作加熱及冷卻。
13.如申請專利範圍第12項所述之方法,其中該低介電常 數薄膜係以一介於1 0 °C /秒至3 0 0 °C /秒的速率加熱,並 以一介於1 0 °C /秒至1 0 0 °C /秒的速率冷卻。 14.如申請專利範圍第12項所述之方法,其中該後處理更 包括以紫外光輻射處理該低介電常數薄膜。 15.如申請專利範圍第12項所述之方法,其中該後處理更 28 1374498 包括以一電子束處理該低介電常數薄膜。 16_如申請專利範圍第12項所述之方法,其中該冷卻該低 介電常數薄膜的步驟包括將該基材暴露於背側氣體。 17. —種處理一基材之方法,其包含下列步驟:
於RF電源下沉積一包含矽及碳之低介電常數薄膜於 一基材上;以及 以一製程對該沉積之低介電常數薄膜進行後處理,該 製程包含: 將該低介電常數薄膜以一至少1 〇 °c /秒的速率加熱 至一所欲溫度,其中該低介電常數薄膜係維持在該所欲溫 度5秒或更少;且接著
將該低介電常數薄膜以一至少 1 0 °C /秒的速率冷 卻,其中該低介電常數薄膜係於〇. 5分鐘至5分鐘的一時 間範圍内作加熱及冷卻。 18.如申請專利範圍第17項所述之方法,其中該低介電常 數薄膜係由一介於2 5 °C至2 5 0 °C的溫度作加熱,而該所 欲溫度介於800°C至900°C。 19.如申請專利範圍第17項所述之方法,其中該後處理更 包括以紫外光輻射處理該低介電常數薄膜。 29 1374498 20.如申請專利範圍第17項所述之方法,其中該後處理更 包括以一電子束處理該低介電常數薄膜。
30
TW094103444A 2004-04-21 2005-02-03 Post treatment of low k dielectric films TWI374498B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/830,203 US7018941B2 (en) 2004-04-21 2004-04-21 Post treatment of low k dielectric films

Publications (2)

Publication Number Publication Date
TW200536018A TW200536018A (en) 2005-11-01
TWI374498B true TWI374498B (en) 2012-10-11

Family

ID=34960755

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094103444A TWI374498B (en) 2004-04-21 2005-02-03 Post treatment of low k dielectric films

Country Status (6)

Country Link
US (1) US7018941B2 (zh)
JP (1) JP4769344B2 (zh)
KR (1) KR101046530B1 (zh)
CN (1) CN100472733C (zh)
TW (1) TWI374498B (zh)
WO (1) WO2005109484A1 (zh)

Families Citing this family (448)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003008941A2 (en) * 2001-07-17 2003-01-30 Bioforce Nanosciences, Inc. Combined molecular blinding detection through force microscopy and mass spectrometry
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
JP2006013289A (ja) * 2004-06-29 2006-01-12 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
US20060035477A1 (en) * 2004-08-12 2006-02-16 Karen Mai Methods and systems for rapid thermal processing
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20090107527A1 (en) * 2007-10-31 2009-04-30 United Microelectronics Corp. Method of cleaning transparent device in a thermal process apparatus, thermal process apparatus and process using the same thermal process apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103121856B (zh) * 2011-07-25 2014-08-13 重庆文理学院 一种介孔氧化硅薄膜材料的制备方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8735204B1 (en) 2013-01-17 2014-05-27 Alliance For Sustainable Energy, Llc Contact formation and gettering of precipitated impurities by multiple firing during semiconductor device fabrication
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8895416B2 (en) * 2013-03-11 2014-11-25 Alliance For Sustainable Energy, Llc Semiconductor device PN junction fabrication using optical processing of amorphous semiconductor material
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9947576B2 (en) * 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
US6500740B1 (en) 1997-07-14 2002-12-31 Agere Systems Inc. Process for fabricating semiconductor devices in which the distribution of dopants is controlled
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6033999A (en) 1998-02-02 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of solving contact oblique problems of an ILD layer using a rapid thermal anneal
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6025279A (en) 1998-05-29 2000-02-15 Taiwan Semiconductor Manufacturing Company Method of reducing nitride and oxide peeling after planarization using an anneal
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6133086A (en) 1999-06-24 2000-10-17 United Microelectronics Corp. Fabrication method of a tantalum pentoxide dielectric layer for a DRAM capacitor
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6437406B1 (en) 2000-10-19 2002-08-20 International Business Machines Corporation Super-halo formation in FETs
US6790789B2 (en) 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6607980B2 (en) 2001-02-12 2003-08-19 Symetrix Corporation Rapid-temperature pulsing anneal method at low temperature for fabricating layered superlattice materials and making electronic devices including same
US6303524B1 (en) 2001-02-20 2001-10-16 Mattson Thermal Products Inc. High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
US6764940B1 (en) * 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US20020162500A1 (en) 2001-05-02 2002-11-07 Applied Materials, Inc. Deposition of tungsten silicide films
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US20040101632A1 (en) 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US6586297B1 (en) 2002-06-01 2003-07-01 Newport Fab, Llc Method for integrating a metastable base into a high-performance HBT and related structure
US7058237B2 (en) * 2002-06-28 2006-06-06 Microsoft Corporation Real-time wide-angle image correction system and method for computer image viewing
US6780720B2 (en) 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6927169B2 (en) 2002-12-19 2005-08-09 Applied Materials Inc. Method and apparatus to improve thickness uniformity of surfaces for integrated device manufacturing
US6737365B1 (en) 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process

Also Published As

Publication number Publication date
KR20070004975A (ko) 2007-01-09
US7018941B2 (en) 2006-03-28
US20050239293A1 (en) 2005-10-27
CN1947229A (zh) 2007-04-11
KR101046530B1 (ko) 2011-07-04
TW200536018A (en) 2005-11-01
JP4769344B2 (ja) 2011-09-07
WO2005109484A1 (en) 2005-11-17
CN100472733C (zh) 2009-03-25
JP2007534174A (ja) 2007-11-22

Similar Documents

Publication Publication Date Title
TWI374498B (en) Post treatment of low k dielectric films
TWI282125B (en) Method for curing low dielectric constant film by electron beam
TWI275146B (en) Techniques promoting adhesion of porous low K film to underlying barrier
KR100954258B1 (ko) 실리콘 및 유기 전구체를 이용하여 pecvd 공정에서의가스상 반응을 감소시켜 결함이 없는 초기 층을 증착하는방법
TWI278961B (en) Deposition of low dielectric constant films by N2O addition
US7056560B2 (en) Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
JP4435666B2 (ja) プラズマ処理方法、成膜方法
TWI452645B (zh) 半導體處理用之成膜裝置
US7189658B2 (en) Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US20090053895A1 (en) Film forming method of porous film and computer-readable recording medium
US7410916B2 (en) Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
TW201432085A (zh) 使用高密度電漿之金屬處理
KR20020027269A (ko) 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법
JP2014505356A (ja) 耐集積損傷性を改善するインシトゥ低誘電率キャッピング
KR101376969B1 (ko) 저―κ의 유전 필름의 이중층 캡핑
JP2009519612A (ja) 低誘電率膜のアッシング/ウエットエッチング損傷抵抗と組込み安定性を改善する方法
US6936309B2 (en) Hardness improvement of silicon carboxy films
WO2005020310A1 (en) Stress reduction of sioc low k films
JP2009021442A (ja) 多孔質膜の成膜方法およびコンピュータ可読記録媒体
US20040253378A1 (en) Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes