JP6223623B1 - 半導体装置 - Google Patents

半導体装置 Download PDF

Info

Publication number
JP6223623B1
JP6223623B1 JP2017136108A JP2017136108A JP6223623B1 JP 6223623 B1 JP6223623 B1 JP 6223623B1 JP 2017136108 A JP2017136108 A JP 2017136108A JP 2017136108 A JP2017136108 A JP 2017136108A JP 6223623 B1 JP6223623 B1 JP 6223623B1
Authority
JP
Japan
Prior art keywords
layer
semiconductor
impact
integrated circuit
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017136108A
Other languages
English (en)
Other versions
JP2017212455A (ja
Inventor
江口 晋吾
晋吾 江口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Application granted granted Critical
Publication of JP6223623B1 publication Critical patent/JP6223623B1/ja
Publication of JP2017212455A publication Critical patent/JP2017212455A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • H01L23/295Organic, e.g. plastic containing a filler
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6835Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】外部ストレスによる亀裂などの破損による形状不良や特性不良などの半導体装置の不良を低減することを目的の一とする。よって、信頼性の高い半導体装置を提供することを目的の一とする。また、作製工程中においても上記不良を低減することで半導体装置の製造歩留まりを向上させることを目的の一とする。【解決手段】一対の第1の耐衝撃層及び第2の耐衝撃層に挟持された半導体集積回路において、半導体集積回路と第2の耐衝撃層との間に衝撃拡散層を有する。外部ストレスに対する耐衝撃層と、その衝撃を拡散する衝撃拡散層とを設けることで、半導体集積回路の単位面積あたりに加えられる力を軽減し、半導体集積回路を保護する。衝撃拡散層は弾性率が低く、破断係数が高い方が好ましい。【選択図】図1

Description

本発明は、半導体装置及び半導体装置の作製方法に関する。
より小型、薄型化を図られている半導体集積回路チップ(ICチップともいう)において
、外的ストレスに対するその強度を高めることは重要である。
チップの強度を向上させるため、チップを補強する方法が種々提案されている(特許文献
1参照。)。例えば、特許文献1においては、チップを補強金属板で挟み、封止用樹脂で
覆い硬化する方法が報告されている。
特開2006−139802号公報
しかし、上記のように補強用金属板を設けると半導体装置が厚く、大型化してしまうとい
った問題がある。
従って、本発明の一態様は、薄型化及び小型化を達成しながら強度を有する信頼性の高い
半導体装置を提供することを目的とする。また、本発明の一態様は、作製工程においても
形状や特性の不良を防ぎ、歩留まり良く半導体装置を作製することを目的とする。
半導体装置に外部から与えられる力(外部ストレスともいう)に対する耐衝撃層、及びそ
の力を拡散する衝撃拡散層を設ける。耐衝撃層及び衝撃拡散層によって、局所的にかかる
力を軽減することができるため、半導体装置の破損や特性不良などを防止することが可能
となる。
半導体装置において、半導体集積回路は一対の耐衝撃層及び一対の衝撃拡散層によって挟
持されている。半導体集積回路は基板上で作製され、耐衝撃層に接着して基板より剥離さ
れる。本明細書において、半導体集積回路を基板より剥離することによって半導体集積回
路の生じる面を、剥離面という。半導体集積回路の剥離面は衝撃拡散層(第2の衝撃拡散
層)に接し、他方の面は耐衝撃層(第1の耐衝撃層)に接して設けられている。第1の衝
撃拡散層は第1の耐衝撃層の外側(半導体集積回路と反対側)に、第2の耐衝撃層は第2
の衝撃拡散層の外側(半導体集積回路と反対側)にそれぞれ設けられている。
半導体装置において、半導体集積回路は一対の耐衝撃層によって挟持されており、衝撃拡
散層は半導体集積回路に接して設けられている。また、半導体集積回路は一対の耐衝撃層
及び一対の衝撃拡散層によって挟持されていてもよい。第1の衝撃拡散層は第1の耐衝撃
層の外側(半導体集積回路と反対側)に、第2の耐衝撃層は第2の衝撃拡散層の外側(半
導体集積回路と反対側)にそれぞれ設けられている。
半導体集積回路は基板上で作製され、耐衝撃層に接着して基板より剥離される。本明細書
において、半導体集積回路を基板より剥離することによって半導体集積回路の生じる面を
、剥離面という。半導体集積回路の剥離面は衝撃拡散層(第2の衝撃拡散層)に接し、他
方の面は耐衝撃層(第1の耐衝撃層)に接して設けられている。
耐衝撃層としては、繊維体に有機樹脂が含浸された構造体を用いることができる。耐衝撃
層は、弾性率13GPa以上、破断係数は300MPa未満が好ましい。
衝撃拡散層としては、弾性率が低く、かつ破断強度が高い材料を用いることが好ましく、
ゴム弾性を有する膜を用いればよい。衝撃拡散層は、弾性率5GPa以上12GPa以下
、破断係数300MPa以上が好ましい。
衝撃拡散層は、高強度材料で形成されていることが好ましい。高強度材料の代表例として
は、ポリビニルアルコール系樹脂、ポリエステル系樹脂、ポリアミド系樹脂、ポリエチレ
ン系樹脂、アラミド系樹脂、ポリパラフェニレンベンゾビスオキサゾール樹脂、ガラス樹
脂等がある。弾性を有する高強度材料で形成される衝撃拡散層を設けると局所的な押圧な
どの荷重を層全体に拡散し吸収するために、半導体装置の破損を防ぐことができる。
より具体的には、衝撃拡散層として、アラミド樹脂、ポリエチレンナフタレート(PEN
)樹脂、ポリエーテルサルフォン(PES)樹脂、ポリフェニレンサルファイド(PPS
)樹脂、ポリイミド(PI)樹脂などを用いることができる。
本明細書において、転置(転載ともいう)とはある基板に形成された半導体集積回路を、
該基板より剥離し、他の基板に移しかえることをいう。つまり半導体集積回路を設ける場
所を他の基板へ移動するとも言える。
本発明の半導体装置の一態様は、一対の第1の耐衝撃層及び第2の耐衝撃層に挟持された
半導体集積回路と、半導体集積回路と第2の耐衝撃層との間に衝撃拡散層とを有し、衝撃
拡散層は第1の耐衝撃層及び第2の耐衝撃層より弾性率が低く、かつ破断強度が高い。
本発明の半導体装置の一態様は、一対の第1の耐衝撃層及び第2の耐衝撃層に挟持された
半導体集積回路と、半導体集積回路と第2の耐衝撃層との間に衝撃拡散層と、半導体集積
回路と衝撃拡散層との間に接着層とを有し、衝撃拡散層は第1の耐衝撃層及び第2の耐衝
撃層より弾性率が低く、かつ破断強度が高い。
本発明の半導体装置の一態様は、一対の第1の耐衝撃層及び第2の耐衝撃層に挟持された
半導体集積回路と、第1の耐衝撃層の半導体集積回路と反対の面に第1の衝撃拡散層と、
半導体集積回路と第2の耐衝撃層との間に第2の衝撃拡散層とを有し、第1の衝撃拡散層
及び第2の衝撃拡散層は第1の耐衝撃層及び第2の耐衝撃層より弾性率が低く、かつ破断
強度が高い。
本発明の半導体装置の一態様は、一対の第1の耐衝撃層及び第2の耐衝撃層に挟持された
半導体集積回路と、第1の耐衝撃層の半導体集積回路と反対の面に第1の衝撃拡散層と、
半導体集積回路と第2の耐衝撃層との間に第2の衝撃拡散層と、半導体集積回路と第2の
衝撃拡散層との間に接着層とを有し、第1の衝撃拡散層及び第2の衝撃拡散層は第1の耐
衝撃層及び第2の耐衝撃層より弾性率が低く、かつ破断強度が高い。
上記構成において、半導体装置に外部と信号を受信又は発信するアンテナを設けてもよい
。例えば、半導体集積回路と第1の耐衝撃層との間にアンテナを設ければよい。また半導
体集積回路上に保護層を設けてもよく、例えば、集積回路上に設けられたアンテナを覆う
ように保護層として無機絶縁層を形成すればよい。
本発明の半導体装置の作製方法の一態様は、基板上に剥離層を介して半導体集積回路を形
成し、半導体集積回路に第1の耐衝撃層を接着し、半導体集積回路を基板より剥離し、第
2の耐衝撃層と衝撃拡散層とを接着し、第2の耐衝撃層に接着された衝撃拡散層を、基板
より剥離された半導体集積回路に接着し、衝撃拡散層は第1の耐衝撃層及び第2の耐衝撃
層より弾性率が低く、かつ破断強度が高い。
本発明の半導体装置の作製方法の一態様は、基板上に剥離層を介して半導体集積回路を形
成し、半導体集積回路に第1の耐衝撃層を加熱及び加圧処理により接着し、半導体集積回
路を基板より剥離し、第2の耐衝撃層と衝撃拡散層とを加熱及び加圧処理により接着し、
第2の耐衝撃層に接着された衝撃拡散層を、基板より剥離された半導体集積回路に接着層
により接着し、衝撃拡散層は第1の耐衝撃層及び第2の耐衝撃層より弾性率が低く、かつ
破断強度が高い。
本発明の半導体装置の作製方法の一態様は、基板上に剥離層を介して半導体集積回路を形
成し、半導体集積回路に第1の耐衝撃層を、第1の耐衝撃層に第1の衝撃拡散層を接着し
、半導体集積回路を基板より剥離し、第2の耐衝撃層と第2の衝撃拡散層とを接着し、第
2の耐衝撃層に接着された第2の衝撃拡散層を、基板より剥離された半導体集積回路に接
着し、第1の衝撃拡散層及び第2の衝撃拡散層は、第1の耐衝撃層及び第2の耐衝撃層よ
り弾性率が低く、かつ破断強度が高い。
本発明の半導体装置の作製方法の一態様は、基板上に剥離層を介して半導体集積回路を形
成し、半導体集積回路上に第1の耐衝撃層、第1の耐衝撃層上に第1の衝撃拡散層を積層
して加熱及び加圧処理により、半導体集積回路、第1の耐衝撃層、及び第1の衝撃拡散層
間を接着し、半導体集積回路を基板より剥離し、第2の耐衝撃層と第2の衝撃拡散層とを
加熱及び加圧処理により接着し、第2の耐衝撃層に接着された第2の衝撃拡散層を、基板
より剥離された半導体集積回路に接着層により接着し、第1の衝撃拡散層及び第2の衝撃
拡散層は、第1の耐衝撃層及び第2の耐衝撃層より弾性率が低く、かつ破断強度が高い。
なお、本発明において、半導体装置とは、半導体特性を利用することで機能しうる装置を
指す。本発明を用いて半導体素子(トランジスタ、メモリ素子やダイオードなど)を含む
回路を有する装置や、プロセッサ回路を有するチップなどの半導体装置を作製することが
できる。
半導体集積化回路を挟持する一対の耐衝撃層、及び半導体集積回路に積層して設けられる
衝撃拡散層によって、薄型化及び小型化を達成しながら強度を有する信頼性の高い半導体
装置を提供することができる。また、作製工程においても形状や特性の不良を防ぎ、歩留
まり良く半導体装置を作製することができる。
半導体装置を説明する図。 半導体装置を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の適用例を説明する図。 半導体装置を説明する図。 半導体装置を説明する図。 半導体装置により得られるマイクロプロセッサの構成を示すブロック図。 半導体装置により得られるRFCPUの構成を示すブロック図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置を説明する図。 半導体装置を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。 半導体装置の作製方法を説明する図。
本発明の実施の形態について、図面を用いて詳細に説明する。但し、本発明は以下の説明
に限定されず、本発明の趣旨及びその範囲から逸脱することなくその形態及び詳細を様々
に変更し得ることは当業者であれば容易に理解される。従って、本発明は以下に示す実施
の形態の記載内容に限定して解釈されるものではない。なお、以下に説明する本発明の構
成において、同一部分又は同様な機能を有する部分には同一の符号を異なる図面間で共通
して用い、その繰り返しの説明は省略する。
(実施の形態1)
本実施の形態では、より信頼性の高い半導体装置、及び歩留まりよい半導体装置の作製方
法を、図1乃至図3を用いて詳細に説明する。
本実施の形態における半導体装置において、半導体集積回路は作製時の基板より剥離され
、可撓性を有する耐衝撃層に挟持される。なお、本明細書では半導体集積回路が作製され
る基板を作製基板ともいう。従って、半導体集積回路は作製基板に剥離層を介して形成さ
れる。
図1(A)(B)に本実施の形態の半導体装置を示す。図1(A)において、半導体集積
回路100は第1の耐衝撃層112、第2の耐衝撃層102、第1の衝撃拡散層113、
第2の衝撃拡散層103に挟持されており、半導体集積回路100と第2の耐衝撃層10
2の間に第2の衝撃拡散層103が設けられている。第1の耐衝撃層112及び第2の耐
衝撃層102は繊維体に有機樹脂が含浸された構造体を用いており、第1の耐衝撃層11
2は繊維体160に有機樹脂161が含浸された構造体、第2の耐衝撃層102は繊維体
150に有機樹脂151が含浸された構造体である。
半導体集積回路100の剥離面は第2の衝撃拡散層103に接し、他方の面は第1の耐衝
撃層112に接して設けられている。第1の衝撃拡散層113は第1の耐衝撃層112の
外側(半導体集積回路100と反対側)に、第2の耐衝撃層102は第2の衝撃拡散層1
03の外側(半導体集積回路100と反対側)にそれぞれ設けられている。
繊維体160が繊維糸束を経糸及び緯糸として製織した織布の上面図を図1(C)に示す
図1(C)に示すように、繊維体160は、一定間隔をあけた経糸と、一定間隔をあけた
緯糸とで織られている。このような経糸及び緯糸を用いて製織された繊維体には、経糸及
び緯糸が存在しない領域を有する。このような繊維体160は、有機樹脂161が含浸さ
れる割合が高まり、繊維体160と半導体集積回路との密着性を高めることができる。
また繊維体160は、経糸及び緯糸の密度が高く、経糸及び緯糸が存在しない領域の割合
が低いものでもよい。
繊維体160に有機樹脂161が含浸された構造体は、プリプレグとも呼ばれる。プリプ
レグは、具体的には繊維体にマトリックス樹脂を有機溶剤で希釈したワニスを含浸させた
後、乾燥して有機溶剤を揮発させてマトリックス樹脂を半硬化させたものである。構造体
の厚さは、10μm以上100μm以下、さらには10μm以上30μmが好ましい。こ
のような厚さの構造体を用いることで、薄型で湾曲することが可能な半導体装置を作製す
ることができる。また耐衝撃層は、弾性率13GPa以上、破断係数は300MPa未満
が好ましい。例えば、耐衝撃層として、弾性率13GPa以上15GPa以下、破断係数
140MPaのプリプレグを用いることができる。
なお繊維体に有機樹脂が含浸された構造体は、複数層を積層させてもよい。この場合、単
層の繊維体に有機樹脂が含浸された構造体を複数積層させることで構造体を形成してもよ
いし、複数の積層された繊維体に有機樹脂を含浸させた構造体を用いても良い。また、単
層の繊維体に有機樹脂が含浸された構造体を複数積層させる際、各構造体間に別の層を挟
むようにしても良い。
また有機樹脂161として、エポキシ樹脂、不飽和ポリエステル樹脂、ポリイミド樹脂、
ビスマレイミドトリアジン樹脂、またはシアネート樹脂等の熱硬化性樹脂を用いることが
できる。或いは有機樹脂161として、ポリフェニレンオキシド樹脂、ポリエーテルイミ
ド樹脂、またはフッ素樹脂等の熱可塑性樹脂を用いることができる。また有機樹脂161
として、上記熱可塑性樹脂及び上記熱硬化性樹脂の複数を用いてもよい。上記有機樹脂を
用いることで、熱処理により繊維体を半導体集積回路に固着することができる。なお、有
機樹脂161はガラス転移温度が高いほど、局所的押圧に対して破壊しにくいため好まし
い。
有機樹脂161にまたは繊維の糸束内に高熱伝導性フィラーを分散させてもよい。高熱伝
導性フィラーとしては、窒化アルミニウム、窒化ホウ素、窒化珪素、アルミナ等が挙げら
れる。また、高熱伝導性フィラーとしては、銀、銅等の金属粒子がある。高熱導電性フィ
ラーが有機樹脂または繊維糸束内に含まれることにより半導体集積回路での発熱を外部に
放出しやすくなるため、半導体装置の蓄熱を抑制することが可能であり、半導体装置の破
壊を低減することができる。
繊維体160は、有機化合物または無機化合物の高強度繊維を用いた織布または不織布で
あり、部分的に重なるように配置する。高強度繊維としては、具体的には引張弾性率また
はヤング率の高い繊維である。高強度繊維の代表例としては、ポリビニルアルコール系繊
維、ポリエステル系繊維、ポリアミド系繊維、ポリエチレン系繊維、アラミド系繊維、ポ
リパラフェニレンベンゾビスオキサゾール繊維、ガラス繊維、または炭素繊維が挙げられ
る。ガラス繊維としては、Eガラス、Sガラス、Dガラス、Qガラス等を用いたガラス繊
維が挙げられる。なお、繊維体160は、一種類の上記高強度繊維で形成されてもよい。
また、複数の上記高強度繊維で形成されてもよい。
また、繊維体160は、繊維(単糸)の束(以下、糸束と呼ぶ)を経糸及び緯糸に使って
製織した織布、または複数種の繊維の糸束をランダムまたは一方向に堆積させた不織布で
あってもよい。織布の場合、平織り、綾織り、しゅす織り等を適宜用いることができる。
糸束の断面は、円形でも楕円形でもよい。繊維糸束として、高圧水流、液体を媒体とした
高周波の振動、連続超音波の振動、ロールによる押圧等によって、開繊加工をした繊維糸
束を用いてもよい。開繊加工をした繊維糸束は、糸束幅が広くなり、厚み方向の単糸数を
削減することが可能であり、糸束の断面が楕円形または平板状となる。また、繊維糸束と
して低撚糸を用いることで、糸束が扁平化やすく、糸束の断面形状が楕円形状または平板
形状となる。このように、断面が楕円形または平板状の糸束を用いることで、繊維体16
0を薄くすることが可能である。このため、構造体を薄くすることが可能であり、薄型の
半導体装置を作製することができる。
なお、本実施の形態の図面においては、繊維体160は、断面が楕円形の糸束で平織りし
た織布で示されている。
また、繊維糸束内部への有機樹脂の浸透率を高めるため、繊維に表面処理が施されても良
い。例えば、繊維表面を活性化させるためのコロナ放電処理、プラズマ放電処理等がある
。また、シランカップリング剤、チタネートカップリング剤を用いた表面処理がある。
また、衝撃拡散層(第1の衝撃拡散層及び第2の衝撃拡散層)としては、弾性率が低く、
かつ破断強度が高い材料を用いることが好ましく、ゴム弾性を有する膜を用いればよい。
衝撃拡散層は、弾性率5GPa以上12GPa以下、破断係数300MPa以上が好まし
い。
衝撃拡散層は、高強度材料で形成されていることが好ましい。高強度材料の代表例として
は、ポリビニルアルコール系樹脂、ポリエステル系樹脂、ポリアミド系樹脂、ポリエチレ
ン系樹脂、アラミド系樹脂、ポリパラフェニレンベンゾビスオキサゾール樹脂、ガラス樹
脂等がある。弾性を有する高強度材料で形成される衝撃拡散層を設けると局所的な押圧な
どの荷重を層全体に拡散し吸収するために、半導体装置の破損を防ぐことができる。
より具体的には、衝撃拡散層として、アラミド樹脂、ポリエチレンナフタレート(PEN
)樹脂、ポリエーテルサルフォン(PES)樹脂、ポリフェニレンサルファイド(PPS
)樹脂、ポリイミド(PI)樹脂などを用いることができる。本実施の形態では、衝撃拡
散層としてアラミド樹脂フィルム(弾性率10GPa、破断強度480MPa)を用いる
図1(B)は半導体集積回路100と第2の衝撃拡散層103とを接着層104を用いて
、第1の耐衝撃層112と第1の衝撃拡散層113を接着層114でそれぞれ固着する例
である。本実施の形態では、第2の衝撃拡散層103としてアラミドフィルムを用い、接
着層104としてアクリル樹脂を用いる。接着層104は衝撃拡散層と半導体集積回路と
を固着することができればよく、熱硬化樹脂、紫外線硬化樹脂、アクリル樹脂系、ウレタ
ン樹脂系、エポキシ樹脂系、シリコーン樹脂系などを用いることができる。第1の耐衝撃
層112と第1の衝撃拡散層113を加熱及び加圧処理によって接着する場合は、接着層
114を用いなくてもよい。接着層は、膜厚3μm以上15μm以下程度とすればよい。
また、半導体集積回路上に保護層を形成してもよい。図2(A)(B)に半導体集積回路
100上に保護層として無機絶縁層105を形成する例を示す。また、図2(A)(B)
は半導体集積回路100上にアンテナ101を形成し、アンテナ101上に無機絶縁層1
05が形成されている例である。無機絶縁層105でアンテナ101を覆うことで、アン
テナとして機能する導電層の酸化などを防ぐことができる。
無機絶縁層105は、スパッタリング法やプラズマCVD法、塗布法、印刷法等により、
無機化合物を用いて単層又は積層で形成する。無機化合物の代表例としては、珪素酸化物
又は珪素窒化物が挙げられる。珪素酸化物及び珪素窒化物の代表例としては、酸化珪素、
酸化窒化珪素、窒化珪素、窒化酸化珪素等が該当する。
さらには、無機絶縁層105を積層構造としても良い。例えば、無機化合物を用いて積層
してもよく、代表的には、酸化珪素、窒化酸化珪素、及び酸化窒化珪素を積層して形成し
ても良い。
半導体装置の作製方法を図3(A)乃至(D)を用いて説明する。作製基板である絶縁表
面を有する基板110上に剥離層111を介して半導体集積回路100を形成する(図3
(A)参照。)。
作製基板である基板110としては、ガラス基板、石英基板、サファイア基板、セラミッ
ク基板、表面に絶縁層が形成された金属基板などを用いることができる。また、本実施の
形態の処理温度に耐えうる耐熱性を有するプラスチック基板を用いてもよい。半導体装置
の作製工程において、その行う工程に合わせて作製基板を適宜選択することができる。
剥離層111は、スパッタリング法やプラズマCVD法、塗布法、印刷法等により、タン
グステン(W)、モリブデン(Mo)、チタン(Ti)、タンタル(Ta)、ニオブ(N
b)、ニッケル(Ni)、コバルト(Co)、ジルコニウム(Zr)、亜鉛(Zn)、ル
テニウム(Ru)、ロジウム(Rh)、パラジウム(Pd)、オスミウム(Os)、イリ
ジウム(Ir)、珪素(Si)から選択された元素、又は元素を主成分とする合金材料、
又は前記元素を主成分とする化合物材料からなる層を、単層又は積層して形成する。珪素
を含む層の結晶構造は、非晶質、微結晶、多結晶のいずれの場合でもよい。なお、ここで
は、塗布法は、スピンコーティング法、液滴吐出法、ディスペンス法を含む。
剥離層111が単層構造の場合、好ましくは、タングステン層、モリブデン層、又はタン
グステンとモリブデンの混合物を含む層を形成する。又は、タングステンの酸化物若しく
は酸化窒化物を含む層、モリブデンの酸化物若しくは酸化窒化物を含む層、又はタングス
テンとモリブデンの混合物の酸化物若しくは酸化窒化物を含む層を形成する。なお、タン
グステンとモリブデンの混合物とは、例えば、タングステンとモリブデンの合金に相当す
る。
剥離層111が積層構造の場合、好ましくは、1層目としてタングステン層、モリブデン
層、又はタングステンとモリブデンの混合物を含む層を形成し、2層目として、タングス
テン、モリブデン又はタングステンとモリブデンの混合物の酸化物、窒化物、酸化窒化物
又は窒化酸化物を形成する。
剥離層111として、タングステンを含む層とタングステンの酸化物を含む層の積層構造
を形成する場合、タングステンを含む層を形成し、その上層に酸化物で形成される絶縁層
を形成することで、タングステン層と絶縁層との界面に、タングステンの酸化物を含む層
が形成されることを活用してもよい。さらには、タングステンを含む層の表面を、熱酸化
処理、酸素プラズマ処理、オゾン水等の酸化力の強い溶液での処理等を行ってタングステ
ンの酸化物を含む層を形成してもよい。またプラズマ処理や加熱処理は、酸素、窒素、一
酸化二窒素、一酸化二窒素単体、あるいは前記ガスとその他のガスとの混合気体雰囲気下
で行ってもよい。これは、タングステンの窒化物、酸化窒化物及び窒化酸化物を含む層を
形成する場合も同様であり、タングステンを含む層を形成後、その上層に窒化珪素層、酸
化窒化珪素層、窒化酸化珪素層を形成するとよい。
また、上記の工程によると、基板110に接するように剥離層111を形成しているが、
この工程に限定されない。基板110に接するように下地となる絶縁層を形成し、その絶
縁層に接するように剥離層111を設けてもよい。
半導体集積回路100と第1の耐衝撃層112を接着し、剥離層111を用いて半導体集
積回路100を基板110より剥離する。よって半導体集積回路100は、第1の耐衝撃
層112側に設けられる(図3(B)参照。)。
本実施の形態では、第1の耐衝撃層112として繊維体160に有機樹脂161が含浸さ
れた構造体を用いる。構造体を加熱し圧着して、構造体の有機樹脂を可塑化または硬化す
る。なお、有機樹脂が可塑性有機樹脂の場合、この後、室温に冷却することにより可塑化
した有機樹脂を硬化する。有機樹脂は加熱及び圧着により、半導体集積回路に密着するよ
うに均一に広がり、硬化する。上記構造体を圧着する工程は、大気圧下または減圧下で行
う。
なお、他の基板への転置工程は、基板と半導体集積回路の間に剥離層を形成し、剥離層と
半導体集積回路との間に金属酸化膜を設け、当該金属酸化膜を結晶化により脆弱化して、
当該半導体集積回路を剥離する方法、耐熱性の高い基板と半導体集積回路の間に水素を含
む非晶質珪素膜を設け、レーザ光の照射またはエッチングにより当該非晶質珪素膜を除去
することで、当該半導体集積回路を剥離する方法、基板と半導体集積回路の間に剥離層を
形成し、剥離層と半導体集積回路との間に金属酸化膜を設け、当該金属酸化膜を結晶化に
より脆弱化し、剥離層の一部を溶液やNF、BrF、ClF等のフッ化ハロゲンガ
スによりエッチングで除去した後、脆弱化された金属酸化膜において剥離する方法、半導
体集積回路が形成された基板を機械的に削除又は溶液やNF、BrF、ClF等の
フッ化ハロゲンガスによるエッチングで除去する方法等を適宜用いることができる。また
、剥離層として窒素、酸素や水素等を含む膜(例えば、水素を含む非晶質珪素膜、水素含
有合金膜、酸素含有合金膜など)を用い、剥離層にレーザ光を照射して剥離層内に含有す
る窒素、酸素や水素をガスとして放出させ半導体集積回路と基板との剥離を促進する方法
を用いてもよい。
上記剥離方法を組み合わすことでより容易に転置工程を行うことができる。つまり、レー
ザ光の照射、ガスや溶液などによる剥離層へのエッチング、鋭いナイフやメスなどによる
機械的な削除を行い、剥離層と半導体集積回路とを剥離しやすい状態にしてから、物理的
な力(機械等による)によって剥離を行うこともできる。
また、剥離層と半導体集積回路との界面に液体を浸透させて作製基板から半導体集積回路
を剥離してもよい。
第2の耐衝撃層102も第1の耐衝撃層112と同様、繊維体150に有機樹脂151が
含浸された構造体を用いる。構造体を加熱し圧着して、第2の衝撃拡散層103と第2の
耐衝撃層102を接着する。第2の衝撃拡散層103の第2の耐衝撃層102の反対面に
は接着層104を設ける。
半導体集積回路100の露出している剥離面に接着層104を接着し、第1の耐衝撃層1
12及び第2の耐衝撃層102に挟持された半導体集積回路100及び第2の衝撃拡散層
103を有する半導体装置を作製することができる(図3(C)参照。)。
さらに、第1の耐衝撃層112の半導体集積回路100と反対側に接着層114を用いて
第1の衝撃拡散層113を接着する(図3(D)参照。)。
図16(A)乃至(C)に示すように、第1の衝撃拡散層113を、第1の耐衝撃層11
2と半導体集積回路100とを接着する工程で同時に第1の耐衝撃層112に接着して設
けてもよい。
図3(A)同様に、作製基板である絶縁表面を有する基板110上に剥離層111を介し
て半導体集積回路100を形成する(図16(A)参照。)。
半導体集積回路100に、第1の耐衝撃層112、第1の衝撃拡散層113を積層して、
加熱及び加圧処理を行うことによって、半導体集積回路100に、第1の耐衝撃層112
、第1の衝撃拡散層113を接着し、剥離層111を用いて基板110より剥離する(図
16(B)参照。)。半導体集積回路100と第1の耐衝撃層112との接着工程、第1
の耐衝撃層112と第1の衝撃拡散層113との接着工程は同時に行ってもよいし、別工
程で行ってもよい。
半導体集積回路100の剥離面に、第2の耐衝撃層102が積層された第2の衝撃拡散層
103を、接着層104を用いて接着し、半導体装置を作製する(図16(C)参照。)
半導体集積回路に接して衝撃拡散層を設けるため、作製工程において、加圧処理を行って
も半導体集積回路に破損や特性不良などの悪影響を与えない。よって歩留まりよく半導体
装置を作製することができる。
半導体集積化回路を挟持する一対の耐衝撃層、及び一対の衝撃拡散層によって、薄型化及
び小型化を達成しながら強度を有する信頼性の高い半導体装置を提供することができる。
(実施の形態2)
本実施の形態では、より信頼性の高い半導体装置、及び歩留まりよい半導体装置の作製方
法を、図4及び図5を用いて詳細に説明する。本実施の形態では、半導体装置の一例とし
てCMOS(相補型金属酸化物半導体:Complementary Metal Ox
ide Semiconductor)に関して説明する。
作製基板である絶縁表面を有する基板200上に剥離層201及び下地絶縁層202を介
して、トランジスタ210、211、絶縁膜212、絶縁膜213、絶縁層214が設け
られ、半導体集積回路250が形成されている(図4(A)参照。)。
トランジスタ210は薄膜トランジスタであり、ソース領域又はドレイン領域224a、
224b、ソース領域又はドレイン領域224a、224bより低濃度不純物領域である
不純物領域223a、223b、チャネル形成領域226、ゲート絶縁層227、ゲート
電極層228、サイドウォール構造の絶縁層229a、229bを含む。ソース領域又は
ドレイン領域224a、224bはソース電極層又はドレイン電極層として機能する配線
層230a、230bと接し、電気的に接続している。本実施の形態では、トランジスタ
210はpチャネル型薄膜トランジスタであり、ソース領域又はドレイン領域224a、
224b、LDD(LightlyDoped Drain)領域である不純物領域22
3a、223bにp型を付与する不純物元素(例えばボロン(B)やアルミニウム(Al
)やガリウム(Ga)等)を含む。
トランジスタ211は薄膜トランジスタであり、ソース領域又はドレイン領域204a、
204b、ソース領域又はドレイン領域204a、204bより低濃度不純物領域である
不純物領域203a、203b、チャネル形成領域206、ゲート絶縁層207、ゲート
電極層208、サイドウォール構造の絶縁層209a、209bを含む。ソース領域又は
ドレイン領域204a、204bはソース電極層又はドレイン電極層として機能する配線
層210a、210bと接し、電気的に接続している。本実施の形態では、トランジスタ
211はnチャネル型薄膜トランジスタであり、ソース領域又はドレイン領域204a、
204b、LDD領域である不純物領域203a、203bにn型を付与する不純物元素
(例えばリン(P)やヒ素(As)等)を含む。
第1の耐衝撃層262として、繊維体280に有機樹脂281が含浸された構造体を用い
る。半導体集積回路250と第1の耐衝撃層262を接着し、剥離層201を用いて半導
体集積回路250を基板200より剥離する。よって半導体集積回路250は、第1の耐
衝撃層262側に設けられる(図4(B)(C)参照。)。
第2の耐衝撃層252も第1の耐衝撃層262と同様、繊維体270に有機樹脂271が
含浸された構造体を用いる。構造体を加熱し圧着して、第2の衝撃拡散層253と第2の
耐衝撃層252を接着する(図5(A)参照。)。第2の衝撃拡散層253の第1の耐衝
撃層252の反対面には接着層254を設ける。
半導体集積回路250の露出している剥離面に接着層254を接着する(図5(B)参照
。)。さらに、第1の耐衝撃層262の半導体集積回路250と反対側に第1の衝撃拡散
層263を接着層264を用いて接着し、第1の耐衝撃層262、第2の耐衝撃層252
、第1の衝撃拡散層263、及び第2の衝撃拡散層253に挟持された半導体集積回路2
50を有する半導体装置を作製することができる(図5(C)参照。)。
半導体集積回路に接して衝撃拡散層を設けるため、作製工程において、加圧処理を行って
も半導体集積回路に破損や特性不良などの悪影響を与えない。よって歩留まりよく半導体
装置を作製することができる。
半導体集積化回路を挟持する一対の耐衝撃層、及び半導体集積回路に積層して設けられる
衝撃拡散層によって、薄型化及び小型化を達成しながら強度を有する信頼性の高い半導体
装置を提供することができる。
本実施の形態で作製した半導体装置は、可撓性を有する耐衝撃層、及び衝撃拡散層を用い
ることで、可撓性を有する半導体装置とすることができる。
トランジスタ210、211が有する半導体層を形成する材料は、シランやゲルマンに代
表される半導体材料ガスを用いて気相成長法やスパッタリング法で作製される非晶質(ア
モルファス、以下「AS」ともいう。)半導体、該非晶質半導体を光エネルギーや熱エネ
ルギーを利用して結晶化させた多結晶半導体、或いは微結晶(セミアモルファス若しくは
マイクロクリスタルとも呼ばれる。以下「SAS」ともいう。)半導体などを用いること
ができる。半導体層はスパッタ法、LPCVD法、またはプラズマCVD法等により成膜
することができる。
微結晶半導体は、ギブスの自由エネルギーを考慮すれば非晶質と単結晶の中間的な準安定
状態に属するものである。すなわち、自由エネルギー的に安定な第3の状態を有する半導
体であって、短距離秩序を持ち格子歪みを有する。柱状または針状結晶が基板表面に対し
て法線方向に成長している。微結晶半導体の代表例である微結晶シリコンは、そのラマン
スペクトルが単結晶シリコンを示す520cm−1よりも低波数側に、シフトしている。
即ち、単結晶シリコンを示す520cm−1とアモルファスシリコンを示す480cm
の間に微結晶シリコンのラマンスペクトルのピークがある。また、未結合手(ダングリ
ングボンド)を終端するため水素またはハロゲンを少なくとも1原子%またはそれ以上含
ませている。さらに、ヘリウム、アルゴン、クリプトン、ネオンなどの希ガス元素を含ま
せて格子歪みをさらに助長させることで、安定性が増し良好な微結晶半導体層が得られる
この微結晶半導体層は、周波数が数十MHz〜数百MHzの高周波プラズマCVD法、ま
たは周波数が1GHz以上のマイクロ波プラズマCVD装置により形成することができる
。代表的には、SiH、Si、SiHCl、SiHCl、SiCl、S
iFなどの水素化珪素を水素で希釈して形成することができる。また、水素化珪素及び
水素に加え、ヘリウム、アルゴン、クリプトン、ネオンから選ばれた一種または複数種の
希ガス元素で希釈して微結晶半導体層を形成することができる。これらのときの水素化珪
素に対して水素の流量比を5倍以上200倍以下、好ましくは50倍以上150倍以下、
更に好ましくは100倍とする。
アモルファス半導体としては、代表的には水素化アモルファスシリコン、結晶性半導体と
しては代表的にはポリシリコンなどがあげられる。ポリシリコン(多結晶シリコン)には
、800℃以上のプロセス温度を経て形成されるポリシリコンを主材料として用いた所謂
高温ポリシリコンや、600℃以下のプロセス温度で形成されるポリシリコンを主材料と
して用いた所謂低温ポリシリコン、また結晶化を促進する元素などを用いて、非晶質シリ
コンを結晶化させたポリシリコンなどを含んでいる。もちろん、前述したように、微結晶
半導体又は半導体層の一部に結晶相を含む半導体を用いることもできる。
また、半導体の材料としてはシリコン(Si)、ゲルマニウム(Ge)などの単体のほか
GaAs、InP、SiC、ZnSe、GaN、SiGeなどのような化合物半導体も用
いることができる。また酸化物半導体である酸化亜鉛(ZnO)、酸化スズ(SnO
なども用いることができ、ZnOを半導体層に用いる場合、ゲート絶縁層をY、A
、TiO、それらの積層などを用いるとよく、ゲート電極層、ソース電極層、
ドレイン電極層としては、ITO、Au、Tiなどを用いるとよい。また、ZnOにIn
やGaなどを添加することもできる。
半導体層に、結晶性半導体層を用いる場合、その結晶性半導体層の作製方法は、種々の方
法(レーザ結晶化法、熱結晶化法、またはニッケルなどの結晶化を助長する元素を用いた
熱結晶化法等)を用いれば良い。また、SASである微結晶半導体をレーザ照射して結晶
化し、結晶性を高めることもできる。結晶化を助長する元素を導入しない場合は、非晶質
珪素層にレーザ光を照射する前に、窒素雰囲気下500℃で1時間加熱することによって
非晶質珪素層の含有水素濃度を1×1020atoms/cm以下にまで放出させる。
これは水素を多く含んだ非晶質珪素層にレーザ光を照射すると非晶質珪素層が破壊されて
しまうからである。
非晶質半導体層への金属元素の導入の仕方としては、当該金属元素を非晶質半導体層の表
面又はその内部に存在させ得る手法であれば特に限定はなく、例えばスパッタ法、CVD
法、プラズマ処理法(プラズマCVD法も含む)、吸着法、金属塩の溶液を塗布する方法
を使用することができる。このうち溶液を用いる方法は簡便であり、金属元素の濃度調整
が容易であるという点で有用である。また、このとき非晶質半導体層の表面の濡れ性を改
善し、非晶質半導体層の表面全体に水溶液を行き渡らせるため、酸素雰囲気中でのUV光
の照射、熱酸化法、ヒドロキシラジカルを含むオゾン水又は過酸化水素による処理等によ
り、酸化膜を成膜することが望ましい。
また、非晶質半導体層を結晶化し、結晶性半導体層を形成する結晶化工程で、非晶質半導
体層に結晶化を促進する元素(触媒元素、金属元素とも示す)を添加し、熱処理(550
℃〜750℃で3分〜24時間)により結晶化を行ってもよい。結晶化を助長(促進)す
る元素としては、鉄(Fe)、ニッケル(Ni)、コバルト(Co)、ルテニウム(Ru
)、ロジウム(Rh)、パラジウム(Pd)、オスミウム(Os)、イリジウム(Ir)
、白金(Pt)、銅(Cu)及び金(Au)から選ばれた一種又は複数種類を用いること
ができる。
結晶化を助長する元素を結晶性半導体層から除去、又は軽減するため、結晶性半導体層に
接して、不純物元素を含む半導体層を形成し、ゲッタリングシンクとして機能させる。不
純物元素としては、n型を付与する不純物元素、p型を付与する不純物元素や希ガス元素
などを用いることができ、例えばリン(P)、窒素(N)、ヒ素(As)、アンチモン(
Sb)、ビスマス(Bi)、ボロン(B)、ヘリウム(He)、ネオン(Ne)、アルゴ
ン(Ar)、Kr(クリプトン)、Xe(キセノン)から選ばれた一種または複数種を用
いることができる。結晶化を促進する元素を含む結晶性半導体層に、希ガス元素を含む半
導体層を形成し、熱処理(550℃〜750℃で3分〜24時間)を行う。結晶性半導体
層中に含まれる結晶化を促進する元素は、希ガス元素を含む半導体層中に移動し、結晶性
半導体層中の結晶化を促進する元素は除去、又は軽減される。その後、ゲッタリングシン
クとなった希ガス元素を含む半導体層を除去する。
非晶質半導体層の結晶化は、熱処理とレーザ光照射による結晶化を組み合わせてもよく、
熱処理やレーザ光照射を単独で、複数回行っても良い。
また、結晶性半導体層を、直接基板にプラズマ法により形成しても良い。また、プラズマ
法を用いて、結晶性半導体層を選択的に基板に形成してもよい。
ゲート絶縁層207、227は酸化珪素、若しくは酸化珪素と窒化珪素の積層構造で形成
すればよい。ゲート絶縁層207、227は、プラズマCVD法や減圧CVD法により絶
縁膜を堆積することで形成しても良いし、プラズマ処理による固相酸化若しくは固相窒化
で形成すると良い。単結晶半導体層を、プラズマ処理により酸化又は窒化することにより
形成するゲート絶縁層は、緻密で絶縁耐圧が高く信頼性に優れているためである。例えば
、亜酸化窒素(NO)をArで1〜3倍(流量比)に希釈して、10〜30Paの圧力
にて3〜5kWのマイクロ波(2.45GHz)電力を印加して半導体層の表面を酸化若
しくは窒化させる。この処理により1nm〜10nm(好ましくは2nm〜6nm)の絶
縁膜を形成する。さらに亜酸化窒素(NO)とシラン(SiH)を導入し、10〜3
0Paの圧力にて3〜5kWのマイクロ波(2.45GHz)電力を印加して気相成長法
により酸化窒化シリコン膜を形成してゲート絶縁層を形成する。固相反応と気相成長法に
よる反応を組み合わせることにより界面準位密度が低く絶縁耐圧の優れたゲート絶縁層を
形成することができる。
また、ゲート絶縁層207、227として、二酸化ジルコニウム、酸化ハフニウム、二酸
化チタン、五酸化タンタルなどの高誘電率材料を用いても良い。ゲート絶縁層207、2
27に高誘電率材料を用いることにより、ゲートリーク電流を低減することができる。
ゲート電極層208、228は、CVD法やスパッタ法、液滴吐出法などを用いて形成す
ることができる。ゲート電極層は、Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、
W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Si、Ge、Zr、Baから選ばれた
元素、又は前記元素を主成分とする合金材料もしくは化合物材料で形成すればよい。また
、リン等の不純物元素をドーピングした多結晶シリコン膜に代表される半導体膜や、Ag
PdCu合金を用いてもよい。また、単層構造でも複数層の構造でもよく、例えば、窒化
タングステン膜とモリブデン膜との2層構造としてもよいし、膜厚50nmのタングステ
ン膜、膜厚500nmのアルミニウムとシリコンの合金(Al−Si)膜、膜厚30nm
の窒化チタン膜を順次積層した3層構造としてもよい。また、3層構造とする場合、第1
の導電膜のタングステンに代えて窒化タングステンを用いてもよいし、第2の導電膜のア
ルミニウムとシリコンの合金(Al−Si)膜に代えてアルミニウムとチタンの合金膜(
Al−Ti)を用いてもよいし、第3の導電膜の窒化チタン膜に代えてチタン膜を用いて
もよい。
ゲート電極層208、228に可視光に対して透光性を有する透光性の材料を用いること
もできる。透光性の導電材料としては、インジウム錫酸化物(ITO)、酸化珪素を含む
インジウム錫酸化物(ITSO)、有機インジウム、有機スズ、酸化亜鉛等を用いること
ができる。また、酸化亜鉛(ZnO)を含むインジウム亜鉛酸化物(IZO(Indiu
m Zinc Oxide))、酸化亜鉛(ZnO)、ZnOにガリウム(Ga)をドー
プしたもの、酸化スズ(SnO)、酸化タングステンを含むインジウム酸化物、酸化タ
ングステンを含むインジウム亜鉛酸化物、酸化チタンを含むインジウム酸化物、酸化チタ
ンを含むインジウム錫酸化物なども用いてもよい。
ゲート電極層208、228を形成するのにエッチングにより加工が必要な場合、マスク
を形成し、ドライエッチングまたはウエットエッチングにより加工すればよい。ICP(
Induatively Coupled Plasma:誘導結合型プラズマ)エッチ
ング法を用い、エッチング条件(コイル型の電極に印加される電力量、基板側の電極に印
加される電力量、基板側の電極温度等)を適宜調節することにより、電極層をテーパー形
状にエッチングすることができる。なお、エッチング用ガスとしては、Cl、BCl
、SiClもしくはCClなどを代表とする塩素系ガス、CF、SFもしくはN
などを代表とするフッ素系ガス又はOを適宜用いることができる。
絶縁層209a、209b、229a、229bは、ゲート電極層、半導体層を覆う絶縁
層を形成した後、これをRIE(Reactive ion Etching:反応性イ
オンエッチング)法による異方性のエッチングによって加工し自己整合的にサイドウォー
ル構造の絶縁層209a、209b、229a、229bを形成すればよい。ここで、絶
縁層について特に限定はなく、TEOS(Tetra−Ethyl−Ortho−Sil
icate)若しくはシラン等と、酸素若しくは亜酸化窒素等とを反応させて形成した段
差被覆性のよい酸化珪素であることが好ましい。絶縁層は熱CVD、プラズマCVD、常
圧CVD、バイアスECRCVD、スパッタリング等の方法によって形成することができ
る。
本実施の形態では、シングルゲート構造を説明したが、ダブルゲート構造などのマルチゲ
ート構造でもよい。この場合、半導体層の上方、下方にゲート電極層を設ける構造でも良
く、半導体層の片側(上方又は下方)にのみ複数ゲート電極層を設ける構造でもよい。
また、トランジスタのソース領域及びドレイン領域にシリサイドを設ける構造としてもよ
い。シリサイドは半導体層のソース領域及びドレイン領域上に導電膜を形成し、加熱処理
、GRTA法、LRTA法等により、露出されたソース領域及びドレイン領域の半導体層
中の珪素と導電膜とを反応させて形成する。レーザ照射やランプによる光照射によってシ
リサイドを形成しても良い。シリサイドを形成する導電膜の材料としては、チタン(Ti
)、ニッケル(Ni)、タングステン(W)、モリブデン(Mo)、コバルト(Co)、
ジルコニウム(Zr)、Hf(ハフニウム)、タンタル(Ta)、バナジウム(V)、ネ
オジム(Nb)、クロム(Cr)、白金(Pt)、パラジウム(Pd)等を用いることが
できる。
ソース電極層又はドレイン電極層として機能する配線層210a、210b、230a、
230bは、PVD法、CVD法、蒸着法等により導電膜を成膜した後、所望の形状にエ
ッチングして形成することができる。また、印刷法、電解メッキ法等により、所定の場所
に選択的に配線層を形成することができる。更にはリフロー法、ダマシン法を用いても良
い。配線層210a、210b、230a、230bの材料は、Ag、Au、Cu、Ni
、Pt、Pd、Ir、Rh、W、Al、Ta、Mo、Cd、Zn、Fe、Ti、Zr、B
a等の金属、Si、Ge等の半導体又はその合金、若しくはその窒化物を用いて形成すれ
ばよい。また透光性の材料も用いることができる。
また、透光性の導電性材料であれば、インジウム錫酸化物(ITO)、酸化珪素を含むイ
ンジウム錫酸化物(ITSO)、酸化亜鉛(ZnO)を含むインジウム亜鉛酸化物(IZ
O(indium zinc oxide))、酸化亜鉛(ZnO)、ZnOにガリウム
(Ga)をドープしたもの、酸化スズ(SnO)、酸化タングステンを含むインジウム
酸化物、酸化タングステンを含むインジウム亜鉛酸化物、酸化チタンを含むインジウム酸
化物、酸化チタンを含むインジウム錫酸化物などを用いることができる。
絶縁膜212、213、214は、酸化珪素、窒化珪素、酸化窒化珪素、酸化アルミニウ
ム、窒化アルミニウム、酸化窒化アルミニウムその他の無機絶縁性材料を用いることがで
きる。
半導体集積回路に接して衝撃拡散層を設けるため、作製工程において、加圧処理を行って
も半導体集積回路に破損や特性不良などの悪影響を与えない。よって歩留まりよく半導体
装置を作製することができる。
半導体集積化回路を挟持する一対の耐衝撃層、及び一対の衝撃拡散層によって、薄型化及
び小型化を達成しながら強度を有する信頼性の高い半導体装置を提供することができる。
本発明の半導体装置の一態様は、半導体素子としては電界効果トランジスタはもちろん、
半導体層を用いる記憶素子なども適用することができ、多用途に渡って要求される機能を
満たす半導体装置を作製し、提供することができる。
(実施の形態3)
本実施の形態では、より高集積化、薄型化、及び小型化を付与することを目的とした半導
体装置、及び半導体装置の作製方法においてメモリを有する半導体装置の一例に関して図
6乃至図8を用いて説明する。
本実施の形態の半導体装置はメモリにメモリセルアレイ及びメモリセルアレイを駆動する
駆動回路部を有する。
絶縁表面を有する作製基板である基板300の上に剥離層301を形成し、剥離層301
上に下地膜として機能する絶縁膜302を形成する。
次いで、絶縁膜302上に半導体膜を形成する。半導体膜は25〜200nm(好ましく
は30〜150nm)の厚さで手段(スパッタ法、LPCVD法、またはプラズマCVD
法等)により成膜すればよい。
本実施の形態では、絶縁膜302上に、非晶質半導体膜を形成し、非晶質半導体膜をレー
ザ結晶化させることによって結晶性半導体膜である半導体膜を形成する。
このようにして得られた半導体膜に対して、薄膜トランジスタのしきい値電圧を制御する
ために微量な不純物元素(ボロンまたはリン)のドーピングを選択的に行う。この不純物
元素のドーピングは、結晶化工程の前の非晶質半導体膜に行ってもよい。非晶質半導体膜
の状態で不純物元素をドーピングすると、その後の結晶化のための加熱処理によって、不
純物の活性化も行うことができる。また、ドーピングの際に生じる欠陥等も改善すること
ができる。
次に半導体膜を、マスクを用いて所望の形状に加工する。本実施の形態では半導体膜上に
形成された酸化膜を除去した後、新たに酸化膜を形成する。そして、フォトマスクを作製
し、フォトリソグラフィ法を用いた加工処理により、半導体層303、304、305、
306を形成する。半導体層の端部には傾斜角(テーパー角)を設けてもよい。
エッチング加工は、プラズマエッチング(ドライエッチング)又はウェットエッチングの
どちらを採用しても良いが、大面積基板を処理するにはプラズマエッチングが適している
。エッチングガスとしては、CF、NF、Cl、BCl、などのフッ素系又は塩
素系のガスを用い、HeやArなどの不活性ガスを適宜加えても良い。また、大気圧放電
のエッチング加工を適用すれば、局所的な放電加工も可能であり、基板の全面にマスクを
形成する必要はない。
半導体層305上に絶縁膜310を形成する。絶縁膜310は酸化シリコン若しくは酸化
シリコンと窒化シリコンの積層構造で形成すればよい。絶縁膜310は、プラズマCVD
法や減圧CVD法により絶縁層を堆積することで形成しても良いが、好ましくはプラズマ
処理による固相酸化若しくは固相窒化で形成すると良い。半導体層(代表的にはシリコン
層)を、プラズマ処理により酸化又は窒化することにより形成した絶縁層は、緻密で絶縁
耐圧が高く信頼性に優れているためである。絶縁膜310は、電荷蓄積層311に電荷を
注入するためのトンネル絶縁層として用いるので、このように丈夫であるものが好ましい
。この絶縁膜310は1nm〜20nm、好ましくは3nm〜6nmの厚さに形成するこ
とが好ましい。
プラズマ処理により形成される好適な絶縁膜310の一例は、酸化雰囲気下のプラズマ処
理により半導体層上に3nm〜6nmの厚さで酸化珪素層を形成し、その後窒素雰囲気下
でその酸化珪素層の表面を窒化プラズマで処理した窒素プラズマ処理層を形成する。具体
的には、まず、酸素雰囲気下でのプラズマ処理により半導体層上に3nm〜6nmの厚さ
で酸化珪素層を形成する。その後、続けて窒素雰囲気下でプラズマ処理を行うことにより
酸化珪素層の表面又は表面近傍に窒素濃度の高い窒素プラズマ処理層を設ける。なお、表
面近傍とは、酸化珪素層の表面から概略0.5nm〜1.5nmの深さをいう。例えば、
窒素雰囲気下でプラズマ処理を行うことによって、酸化珪素層の表面からほぼ1nmの深
さに窒素を20〜50原子%の割合で含有した構造となる。
半導体層の代表例としての珪素層の表面をプラズマ処理で酸化することで、界面に歪みの
ない緻密な酸化層を形成することができる。また、当該酸化層をプラズマ処理で窒化する
ことで、表層部の酸素を窒素に置換して窒化層を形成すると、さらに緻密化することがで
きる。それにより絶縁耐圧が高い絶縁層を形成することができる。
いずれにしても、上記のようなプラズマ処理による固相酸化処理若しくは固相窒化処理を
用いることで、耐熱温度が700℃以下のガラス基板を用いても、950℃〜1050℃
で形成される熱酸化膜と同等な絶縁層を得ることができる。すなわち、不揮発性メモリ素
子のトンネル絶縁層として信頼性の高いトンネル絶縁層を形成することができる。
電荷蓄積層311を絶縁膜310上に形成する。この電荷蓄積層311は、単層でもよい
し、複数の層を積層して設けてもよい。
電荷蓄積層311としては、半導体材料または導電性材料の層または粒子で形成し浮遊ゲ
ートとすることができる。半導体材料としては、シリコン、シリコンゲルマニウム等があ
る。シリコンを用いる場合、アモルファスシリコンやポリシリコンを用いることができる
。さらには、リンがドープされたポリシリコンを用いることができる。導電性材料として
は、タンタル(Ta)、チタン(Ti)、モリブデン(Mo)、タングステン(W)から
選ばれた元素、前記元素を主成分とする合金、前記元素を組み合わせた合金膜(代表的に
はMo−W合金膜、Mo−Ta合金膜)、あるいは導電性を付与した珪素膜で形成すれば
良い。このような材料から成る導電層の下には窒化タンタル、窒化タングステン、窒化チ
タン、窒化モリブデンなどの窒化物、タングステンシリサイド、チタンシリサイド、モリ
ブデンシリサイドなどのシリサイドを形成しておいても良い。更には、上記半導体材料同
士、導電性材料同士、または半導体材料及び導電性材料の積層構造としてもよい。例えば
、シリコン層及びゲルマニウム層の積層構造としてもよい。
また、電荷蓄積層311として、絶縁性であり、電荷を保持するトラップを有する層で形
成することもできる。このような材料の代表例として、代表的にはシリコン化合物、ゲル
マニウム化合物がある。シリコン化合物としては、窒化珪素、酸窒化珪素、水素が添加さ
れた酸窒化珪素等がある。ゲルマニウム化合物としては、窒化ゲルマニウム、酸素が添加
された窒化ゲルマニウム、窒素が添加された酸化ゲルマニウム、酸素及び水素が添加され
た窒化ゲルマニウム、窒素及び水素が添加された酸化ゲルマニウム等のゲルマニウム化合
物等がある。
次に半導体層303、304、306を覆うマスクを形成する。マスク、電荷蓄積層31
1をマスクとしてn型を付与する不純物元素を添加し、n型不純物領域362a、n型不
純物領域362bを形成する。本実施の形態では、不純物元素としてn型を付与する不純
物元素であるリン(P)を用いる。ここでは、n型不純物領域362a、n型不純物領域
362bに、n型を付与する不純物元素が1×1017〜5×1018atoms/cm
程度の濃度で含まれるように添加する。半導体層303、304、306を覆うマスク
を除去する。
半導体層306上の酸化膜を除去し、半導体層305、半導体層306、絶縁膜310、
電荷蓄積層311を覆うゲート絶縁層309を形成する。メモリセルアレイにおいてはゲ
ート絶縁層309の膜厚が厚いと、薄膜トランジスタ及びメモリ素子の高電圧に対する耐
性が高くすることができ、信頼性を高めることができる。
なお、半導体層305の上方に形成されたゲート絶縁層309は、後に完成するメモリ素
子においてコントロール絶縁層として機能するが、半導体層306上に形成される薄膜ト
ランジスタにおいてはゲート絶縁層として機能するために本明細書では、ゲート絶縁層3
09とよぶこととする。
半導体層303、304上の酸化膜を除去し、半導体層303、半導体層304を覆うゲ
ート絶縁層308を形成する(図6(A)参照。)。ゲート絶縁層308はプラズマCV
D法またはスパッタ法などを用いて形成することができる。駆動回路部に設けられる薄膜
トランジスタのゲート絶縁層308の膜厚は、1nm以上10nm以下、より好ましくは
5nm程度とすればよい。ゲート絶縁層308の薄膜化すると、駆動回路部においてトラ
ンジスタを低電圧で高速に動作させる効果がある。
ゲート絶縁層308は酸化珪素、若しくは酸化珪素と窒化珪素の積層構造で形成すればよ
い。ゲート絶縁層308は、プラズマCVD法や減圧CVD法により絶縁膜を堆積するこ
とで形成しても良いし、プラズマ処理による固相酸化若しくは固相窒化で形成すると良い
。半導体層を、プラズマ処理により酸化又は窒化することにより形成するゲート絶縁層は
、緻密で絶縁耐圧が高く信頼性に優れているためである。
また、ゲート絶縁層308として、高誘電率材料を用いても良い。ゲート絶縁層308に
高誘電率材料を用いることにより、ゲートリーク電流を低減することができる。高誘電率
材料としては、二酸化ジルコニウム、酸化ハフニウム、二酸化チタン、五酸化タンタルな
どを用いることができる。また、プラズマ処理による固相酸化により酸化シリコン層を形
成しても良い。
また、薄い酸化珪素膜の形成方法としては、GRTA法、LRTA法等を用いて半導体領
域表面を酸化し、熱酸化膜を形成することで、膜厚の薄い酸化珪素膜を形成することもで
きる。なお、低い成膜温度でゲートリーク電流の少ない緻密な絶縁膜を形成するには、ア
ルゴンなどの希ガス元素を反応ガスに含ませ、形成される絶縁膜中に混入させると良い。
次いで、ゲート絶縁層308、309上にゲート電極層として用いる膜厚20〜100n
mの第1の導電膜と、膜厚100〜400nmの第2の導電膜とを積層して形成する。第
1の導電膜及び第2の導電膜は、スパッタリング法、蒸着法、CVD法等の手法により形
成することができる。第1の導電膜及び第2の導電膜はタンタル(Ta)、タングステン
(W)、チタン(Ti)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)、ク
ロム(Cr)、ネオジム(Nd)から選ばれた元素、又は前記元素を主成分とする合金材
料もしくは化合物材料で形成すればよい。また、第1の導電膜及び第2の導電膜としてリ
ン等の不純物元素をドーピングした多結晶シリコン膜に代表される半導体膜や、AgPd
Cu合金を用いてもよい。また、2層構造に限定されず、例えば、第1の導電膜として膜
厚50nmのタングステン膜、第2の導電膜として膜厚500nmのアルミニウムとシリ
コンの合金(Al−Si)膜、第3の導電膜として膜厚30nmの窒化チタン膜を順次積
層した3層構造としてもよい。また、3層構造とする場合、第1の導電膜のタングステン
に代えて窒化タングステンを用いてもよいし、第2の導電膜のアルミニウムとシリコンの
合金(Al−Si)膜に代えてアルミニウムとチタンの合金膜(Al−Ti)を用いても
よいし、第3の導電膜の窒化チタン膜に代えてチタン膜を用いてもよい。また、単層構造
であってもよい。本実施の形態では、第1の導電膜として窒化タンタルを膜厚30nm形
成し、第2の導電膜としてタングステン(W)を膜厚370nm形成する。
第1の導電膜と第2の導電膜をエッチング加工して、第1のゲート電極層312、313
、314、第2のゲート電極層316、317、318、第1の制御ゲート電極層315
、及び第2の制御ゲート電極層319を形成する(図6(B)参照。)。
本実施の形態では第1のゲート電極層、第2のゲート電極層(第1の制御ゲート電極層、
第2の制御ゲート電極層)を垂直な側面を有して形成する例を示すが、本発明はそれに限
定されず、第1のゲート電極層及び第2のゲート電極層(第1の制御ゲート電極層、第2
の制御ゲート電極層)両方がテーパー形状を有していてもよいし、どちらか一方のゲート
電極層(第1の制御ゲート電極層、第2の制御ゲート電極層)の一層のみがテーパー形状
を有し、他方は異方性エッチングによって垂直な側面を有していてもよい。テーパー角度
も積層するゲート電極層間で異なっていても良いし、同一でもよい。テーパー形状を有す
ることによって、その上に積層する膜の被覆性が向上し、欠陥が軽減されるので信頼性が
向上する。
ゲート電極層(及び制御ゲート電極層)を形成する際のエッチング工程によって、ゲート
絶縁層308、309は多少エッチングされ、膜厚が減る(いわゆる膜減り)ことがある
次に、半導体層304、305、306を覆うマスク321、363を形成する。マスク
321、363、第1のゲート電極層312、第2のゲート電極層316をマスクとして
p型を付与する不純物元素320を添加し、p型不純物領域322a、p型不純物領域3
22bを形成する。本実施の形態では、不純物元素としてボロン(B)を用いる。ここで
は、p型不純物領域322a、p型不純物領域322bにp型を付与する不純物元素が1
×1020〜5×1021atoms/cm程度の濃度で含まれるように添加する。ま
た、半導体層303にチャネル形成領域323が形成される(図6(C)参照。)。
p型不純物領域322a、p型不純物領域322bは高濃度p型不純物領域であり、ソー
ス領域、ドレイン領域として機能する。
次に半導体層303を覆うマスク325を形成する。マスク325、第1のゲート電極層
313、第2のゲート電極層317、第1のゲート電極層314、第2のゲート電極層3
18、第1の制御ゲート電極層315、及び第2の制御ゲート電極層319をマスクとし
てn型を付与する不純物元素324を添加し、n型不純物領域326a、326b、36
4a、364b、327a、327b、328a、328bを形成する。本実施の形態で
は、不純物元素としてリン(P)を用いる。ここでは、n型不純物領域326a、326
b、327a、327b、328a、328bにn型を付与する不純物元素が5×10
〜5×1020atoms/cm程度の濃度で含まれるように添加する。また、半導
体層304にチャネル形成領域329、半導体層305にチャネル形成領域330、及び
半導体層306にチャネル形成領域331が形成される(図6(D)参照。)。
n型不純物領域326a、326b、327a、327b、328a、328bは高濃度
n型不純物領域であり、ソース領域、ドレイン領域として機能する。一方、n型不純物領
域364a、n型不純物領域364bは低濃度不純物領域であり、LDD領域となる。
マスク325をOアッシングやレジスト剥離液により除去し、酸化膜も除去する。その
後、ゲート電極層の側面を覆うように、絶縁膜、いわゆるサイドウォールを形成してもよ
い。サイドウォールは、プラズマCVD法や減圧CVD(LPCVD)法を用いて、珪素
を有する絶縁膜により形成することができる。
不純物元素を活性化するために加熱処理、強光の照射、又はレーザ光の照射を行ってもよ
い。活性化と同時にゲート絶縁層へのプラズマダメージやゲート絶縁層と半導体層との界
面へのプラズマダメージを回復することができる。
次いで、ゲート電極層、ゲート絶縁層を覆う層間絶縁層を形成する。本実施の形態では、
絶縁膜367と絶縁膜368との積層構造とする。絶縁膜367と絶縁膜368は、スパ
ッタ法、またはプラズマCVDを用いた窒化珪素膜、窒化酸化珪素膜、酸化窒化珪素膜、
酸化珪素膜でもよく、他の珪素を含む絶縁膜を単層または3層以上の積層構造として用い
ても良い。
さらに、窒素雰囲気中で、300〜550℃で1〜12時間の熱処理を行い、半導体層を
水素化する工程を行う。好ましくは、400〜500℃で行う。この工程は層間絶縁層で
ある絶縁膜367に含まれる水素により半導体層のダングリングボンドを終端する工程で
ある。本実施の形態では、410度(℃)で1時間加熱処理を行う。
絶縁膜367、絶縁膜368としては他に窒化アルミニウム(AlN)、酸化窒化アルミ
ニウム(AlON)、窒素含有量が酸素含有量よりも多い窒化酸化アルミニウム(AlN
O)または酸化アルミニウム、ダイアモンドライクカーボン(DLC)、窒素含有炭素膜
(CN)その他の無機絶縁性材料を含む物質から選ばれた材料で形成することができる。
また、シロキサン樹脂を用いてもよい。なお、シロキサン樹脂とは、Si−O−Si結合
を含む樹脂に相当する。
次いで、レジストからなるマスクを用いて絶縁膜367、絶縁膜368、ゲート絶縁層3
08、309に半導体層に達するコンタクトホール(開口部)を形成する。エッチングは
、用いる材料の選択比によって、一回で行っても複数回行っても良い。エッチングによっ
て、絶縁膜368、絶縁膜367、ゲート絶縁層308、309を除去し、ソース領域又
はドレイン領域であるp型不純物領域322a、322b、n型不純物領域326a、3
26b、327a、327b、328a、328bに達する開口部を形成する。エッチン
グは、ウェットエッチングでもドライエッチングでもよく、両方用いてもよい。ウェット
エッチングのエッチャントは、フッ素水素アンモニウム及びフッ化アンモニウムを含む混
合溶液のようなフッ酸系の溶液を用いるとよい。エッチング用ガスとしては、Cl、B
Cl、SiClもしくはCClなどを代表とする塩素系ガス、CF、SFもし
くはNFなどを代表とするフッ素系ガス又はOを適宜用いることができる。また用い
るエッチング用ガスに不活性気体を添加してもよい。添加する不活性元素としては、He
、Ne、Ar、Kr、Xeから選ばれた一種または複数種の元素を用いることができる。
開口部を覆うように導電膜を形成し、導電膜をエッチングして各ソース領域又はドレイン
領域の一部とそれぞれ電気的に接続するソース電極層又はドレイン電極層である配線層3
69a、配線層369b、配線層370a、配線層370b、配線層371a、配線層3
71b、配線層372a、配線層372bを形成する。配線層は、PVD法、CVD法、
蒸着法等により導電膜を成膜した後、所望の形状にエッチングして形成することができる
。また、液滴吐出法、印刷法、電解メッキ法等により、所定の場所に選択的に導電層を形
成することができる。更にはリフロー法、ダマシン法を用いても良い。ソース電極層又は
ドレイン電極層の材料は、Ag、Au、Cu、Ni、Pt、Pd、Ir、Rh、W、Al
、Ta、Mo、Cd、Zn、Fe、Ti、Zr、Ba等の金属、及びSi、Ge、又はそ
の合金、若しくはその窒化物を用いて形成する。また、これらの積層構造としても良い。
本実施の形態では、チタン(Ti)を膜厚60nm形成し、窒化チタン膜を膜厚40nm
形成し、アルミニウムを膜厚700nm形成し、チタン(Ti)を膜厚200nm形成し
て積層構造とし、所望な形状に加工する。
以上の工程で駆動回路部として、p型不純物領域を有するpチャネル型薄膜トランジスタ
である薄膜トランジスタ373、n型不純物領域を有するnチャネル型薄膜トランジスタ
である薄膜トランジスタ374、メモリセルアレイとしてn型不純物領域を有するメモリ
素子375、n型不純物領域を有するnチャネル型薄膜トランジスタである薄膜トランジ
スタ376を有する半導体集積回路350を作製することができる(図6(E)参照。)
本実施の形態では半導体集積回路350上に絶縁層390を形成する(図7(A)参照。
)。次に絶縁層390上にアンテナとして機能する導電層380を形成し、導電層380
上に保護層として無機絶縁層381を形成する(図7(B)参照。)。
第1の耐衝撃層382として、繊維体383に有機樹脂384が含浸された構造体を用い
る。構造体を加熱し圧着して、半導体集積回路350、第1の耐衝撃層382、第1の衝
撃拡散層391を接着し、剥離層301を用いて半導体集積回路350を基板300より
剥離する。よって半導体集積回路350は、第1の耐衝撃層382側に設けられる(図7
(C)参照。)。
第2の耐衝撃層385も第1の耐衝撃層382と同様、繊維体386に有機樹脂387が
含浸された構造体を用いる。構造体を加熱し圧着して、第2の衝撃拡散層388と第2の
耐衝撃層385を接着する(図8(A)参照。)。第2の衝撃拡散層388の第2の耐衝
撃層385の反対面には接着層389を設ける。
半導体集積回路350の露出している剥離面に接着層389を接着し、第1の耐衝撃層3
82及び第2の耐衝撃層385に挟持された半導体集積回路350及び第2の衝撃拡散層
388を有する半導体装置を作製することができる(図8(B)参照。)。以上の工程で
本実施の形態のメモリを有する半導体装置を作製することができる。
半導体集積回路に接して衝撃拡散層を設けるため、作製工程において、加圧処理を行って
も半導体集積回路に破損や特性不良などの悪影響を与えない。よって歩留まりよく半導体
装置を作製することができる。
半導体集積化回路を挟持する一対の耐衝撃層、及び一対の衝撃拡散層によって、薄型化及
び小型化を達成しながら強度を有する信頼性の高い半導体装置を提供することができる。
(実施の形態4)
本実施の形態では、より信頼性の高い半導体装置、及び歩留まりよい半導体装置の作製方
法を、図17乃至図19を用いて詳細に説明する。
本実施の形態における半導体装置において、半導体集積回路は作製時の基板より剥離され
、可撓性を有する耐衝撃層に挟持される。なお、本明細書では半導体集積回路が作製され
る基板を作製基板ともいう。従って、半導体集積回路は作製基板に剥離層を介して形成さ
れる。
図17(A)(B)に本実施の形態の半導体装置を示す。図17(A)において、半導体
集積回路100は第1の耐衝撃層112及び第2の耐衝撃層102に挟持されており、半
導体集積回路100と第2の耐衝撃層102の間に衝撃拡散層103が設けられている。
第1の耐衝撃層112及び第2の耐衝撃層102は繊維体に有機樹脂が含浸された構造体
を用いており、第1の耐衝撃層112は繊維体160に有機樹脂161が含浸された構造
体、第2の耐衝撃層102は繊維体150に有機樹脂151が含浸された構造体である。
繊維体160が繊維糸束を経糸及び緯糸として製織した織布の上面図を図17(C)に示
す。
図17(C)に示すように、繊維体160は、一定間隔をあけた経糸と、一定間隔をあけ
た緯糸とで織られている。
なお、本実施の形態の図面においては、繊維体160は、断面が楕円形の糸束で平織りし
た織布で示されている。
本実施の形態では、衝撃拡散層としてアラミド樹脂フィルム(弾性率10GPa、破断強
度480MPa)を用いる。
図17(B)は半導体集積回路100と衝撃拡散層103とを接着層104を用いて固着
する例である。本実施の形態では、衝撃拡散層103としてアラミドフィルムを用い、接
着層104としてアクリル樹脂を用いる。
また、半導体集積回路上に保護層を形成してもよい。図18(A)(B)に半導体集積回
路100上に保護層として無機絶縁層105を形成する例を示す。また、図18(A)(
B)は半導体集積回路100上にアンテナ101を形成し、アンテナ101上に無機絶縁
層105が形成されている例である。無機絶縁層105でアンテナ101を覆うことで、
アンテナとして機能する導電層の酸化などを防ぐことができる。
半導体装置の作製方法を図19(A)乃至(D)を用いて説明する。作製基板である絶縁
表面を有する基板110上に剥離層111を介して半導体集積回路100を形成する(図
19(A)参照。)。
半導体集積回路100と第1の耐衝撃層112を接着し、剥離層111を用いて半導体集
積回路100を基板110より剥離する。よって半導体集積回路100は、第1の耐衝撃
層112側に設けられる(図19(B)参照。)。
本実施の形態では、第1の耐衝撃層112として繊維体160に有機樹脂161が含浸さ
れた構造体を用いる。構造体を加熱し圧着して、構造体の有機樹脂を可塑化または硬化す
る。なお、有機樹脂が可塑性有機樹脂の場合、この後、室温に冷却することにより可塑化
した有機樹脂を硬化する。有機樹脂は加熱及び圧着により、半導体集積回路に密着するよ
うに均一に広がり、硬化する。上記構造体を圧着する工程は、大気圧下または減圧下で行
う。
第2の耐衝撃層102も第1の耐衝撃層112と同様、繊維体150に有機樹脂151が
含浸された構造体を用いる。構造体を加熱し圧着して、衝撃拡散層103と第2の耐衝撃
層102を接着する(図19(C)参照。)。衝撃拡散層103の第2の耐衝撃層102
の反対面には接着層104を設ける。
半導体集積回路100の露出している剥離面に接着層104を接着し、第1の耐衝撃層1
12及び第2の耐衝撃層102に挟持された半導体集積回路100及び衝撃拡散層103
を有する半導体装置を作製することができる(図19(D)参照。)。
半導体集積回路に接して衝撃拡散層を設けるため、作製工程において、加圧処理を行って
も半導体集積回路に破損や特性不良などの悪影響を与えない。よって歩留まりよく半導体
装置を作製することができる。
半導体集積化回路を挟持する一対の耐衝撃層、及び半導体集積回路に積層して設けられる
衝撃拡散層によって、薄型化及び小型化を達成しながら強度を有する信頼性の高い半導体
装置を提供することができる。
(実施の形態5)
本実施の形態では、より信頼性の高い半導体装置、及び歩留まりよい半導体装置の作製方
法を、図4及び図20を用いて詳細に説明する。本実施の形態では、半導体装置の一例と
してCMOSに関して説明する。
図20(A)は実施の形態2における図4(C)の次の工程である。よって実施の形態2
と同様に作製すればよく、図4(A)乃至図4(C)までの工程の詳細な説明は省略する
第2の耐衝撃層252も第1の耐衝撃層262と同様、繊維体270に有機樹脂271が
含浸された構造体を用いる。構造体を加熱し圧着して、衝撃拡散層253と第2の耐衝撃
層252を接着する(図20(A)参照。)。衝撃拡散層253の第2の耐衝撃層252
の反対面には接着層254を設ける。
半導体集積回路250の露出している剥離面に接着層254を接着し、第1の耐衝撃層2
62及び第2の耐衝撃層252に挟持された半導体集積回路250及び衝撃拡散層253
を有する半導体装置を作製することができる(図20(B)参照。)。
本実施の形態で作製した半導体装置は、可撓性を有する耐衝撃層、及び衝撃拡散層を用い
ることで、可撓性を有する半導体装置とすることができる。
半導体集積回路に接して衝撃拡散層を設けるため、作製工程において、加圧処理を行って
も半導体集積回路に破損や特性不良などの悪影響を与えない。よって歩留まりよく半導体
装置を作製することができる。
半導体集積化回路を挟持する一対の耐衝撃層、及び半導体集積回路に積層して設けられる
衝撃拡散層によって、薄型化及び小型化を達成しながら強度を有する信頼性の高い半導体
装置を提供することができる。
(実施の形態6)
本実施の形態では、より高集積化、薄型化、及び小型化を付与することを目的とした半導
体装置、及び半導体装置の作製方法においてメモリを有する半導体装置の一例に関して図
6、図21及び図22を用いて説明する。
本実施の形態の半導体装置はメモリにメモリセルアレイ及びメモリセルアレイを駆動する
駆動回路部を有する。
図21(A)は実施の形態3における図6(E)の次の工程である。よって実施の形態3
と同様に作製すればよく、図6(A)乃至図6(E)までの工程の詳細な説明は省略する
本実施の形態では半導体集積回路350上に絶縁層390を形成する(図21(A)参照
。)。次に絶縁層390上にアンテナとして機能する導電層380し、導電層380上に
保護層として無機絶縁層381を形成する(図21(B)参照。)。
第1の耐衝撃層382として、繊維体383に有機樹脂384が含浸された構造体を用い
る。半導体集積回路350と第1の耐衝撃層382を接着し、剥離層301を用いて半導
体集積回路350を基板300より剥離する。よって半導体集積回路350は、第1の耐
衝撃層382側に設けられる(図21(C)参照。)。
第2の耐衝撃層385も第1の耐衝撃層382と同様、繊維体386に有機樹脂387が
含浸された構造体を用いる。構造体を加熱し圧着して、衝撃拡散層388と第2の耐衝撃
層385を接着する(図22(A)参照。)。衝撃拡散層388の第2の耐衝撃層385
の反対面には接着層389を設ける。
半導体集積回路350の露出している剥離面に接着層389を接着し、第1の耐衝撃層3
82及び第2の耐衝撃層385に挟持された半導体集積回路350及び衝撃拡散層388
を有する半導体装置を作製することができる(図22(B)参照。)。以上の工程で本実
施の形態のメモリを有する半導体装置を作製することができる。
半導体集積回路に接して衝撃拡散層を設けるため、作製工程において、加圧処理を行って
も半導体集積回路に破損や特性不良などの悪影響を与えない。よって歩留まりよく半導体
装置を作製することができる。
半導体集積化回路を挟持する一対の耐衝撃層、及び半導体集積回路に積層して設けられる
衝撃拡散層によって、薄型化及び小型化を達成しながら強度を有する信頼性の高い半導体
装置を提供することができる。
(実施の形態7)
半導体装置において、半導体集積回路に含まれる半導体素子として様々な形態の電界効果
トランジスタを用いることができる。本実施の形態では、本発明に適用することができる
半導体素子として、単結晶半導体層を有する電界効果トランジスタについて詳細に説明す
る。
以下、絶縁表面を有する基板である作製基板上に、単結晶半導体基板より単結晶半導体層
を設け、半導体集積回路部に含まれる半導体素子を形成する方法を図14及び図15を用
いて説明する。
図14(A)に示す単結晶半導体基板1108は清浄化されており、その表面から電界で
加速されたイオンを所定の深さに照射し、脆弱化層1110を形成する。イオンの照射は
作製基板に転置する単結晶半導体層の厚さを考慮して行われる。イオンを照射する際の加
速電圧はこのような厚さを考慮して、単結晶半導体基板1108に照射されるようにする
。単結晶半導体基板へイオンを照射し、イオンにより微小な空洞を有するように脆弱化さ
れた領域を脆弱化層という。
単結晶半導体基板1108には、市販の単結晶半導体基板を用いることができ、例えば、
単結晶シリコン基板、単結晶ゲルマニウム基板、単結晶シリコンゲルマニウム基板など、
第4族元素でなる単結晶半導体基板を用いることができる。また、ガリウムヒ素やインジ
ウムリン等の化合物半導体基板も用いることができる。半導体基板として多結晶半導体基
板を用いてもよい。もちろん、単結晶半導体基板は、円形のウエハに限定されるものでは
なく、様々な形状の単結晶半導体基板を用いることができる。例えば、長方形、五角形、
六角形などの多角形の基板を用いることができる。もちろん、市販の円形状の単結晶半導
体ウエハを単結晶半導体基板に用いることも可能である。円形状の単結晶半導体ウエハに
は、シリコンやゲルマニウムなどの半導体ウエハ、ガリウムヒ素やインジウムリンなどの
化合物半導体ウエハなどがある。単結晶半導体ウエハの代表例は、単結晶シリコンウエハ
であり、直径5インチ(125mm)、直径6インチ(150mm)、直径8インチ(2
00mm)、直径12インチ(300mm)サイズ、直径400mm、直径450mmの
円形のウエハを用いることができる。また、長方形の単結晶半導体基板は、市販の円形状
の単結晶半導体ウエハを切断することで形成することができる。基板の切断には、ダイサ
ー或いはワイヤソー等の切断装置、レーザ切断、プラズマ切断、電子ビーム切断、その他
任意の切断手段を用いることができる。また、基板として薄片化する前の半導体基板製造
用のインゴットを、その断面が長方形になるように直方体状に加工し、この直方体状のイ
ンゴットを薄片化することでも、長方形状の単結晶半導体基板を製造することができる。
また、単結晶半導体基板の厚さは特に限定されないが、単結晶半導体基板を再利用するこ
とを考慮すれば、厚い方が1枚の原料ウエハからより多くの単結晶半導体層を形成するこ
とができるため、好ましい。市場に流通している単結晶シリコンウエハの厚さは、そのサ
イズはSEMI規格に準じており、例えば直径6インチのウエハは膜厚625μm、直径
8インチのウエハは膜厚725μm、直径12インチのウエハは775μmとされている
。なお、SEMI規格のウエハの厚さは公差±25μmを含んでいる。もちろん、原料と
なる単結晶半導体基板の厚さはSEMI規格に限定されず、インゴットをスライスすると
きに、その厚さを適宜調節することができる。もちろん、再利用された単結晶半導体基板
1108を用いるときには、その厚さは、SEMI規格よりも薄くなる。作製基板上に得
られる単結晶半導体層は母体となる半導体基板を選択することによって決定することがで
きる。
また、単結晶半導体基板1108は、作製する半導体素子(本実施の形態においては電界
効果トランジスタ)によって、結晶面方位を選択すればよい。例えば、結晶面方位として
{100}面、{110}面など有する単結晶半導体基板を用いることができる。
本実施の形態は、単結晶半導体基板の所定の深さに水素、ヘリウム、又はフッ素をイオン
照射して添加し、その後熱処理を行って表層の単結晶半導体層を剥離するイオン照射剥離
法で形成するが、ポーラスシリコン上に単結晶シリコンをエピタキシャル成長させた後、
ポーラスシリコン層をウオータージェットで劈開して剥離する方法を適用しても良い。
単結晶半導体基板1108として単結晶シリコン基板を用い、希フッ酸で表面を処理し、
自然酸化膜の除去と表面に付着するゴミ等の汚染物も除去して単結晶半導体基板1108
表面を清浄化する。
脆弱化層1110は、イオンをイオンドーピング法(ID法と略記する)やイオン注入法
(II法と略記する)によって照射すればよい。脆弱化層1110は水素、ヘリウム若し
くはフッ素に代表されるハロゲンのイオンを照射することで形成される。ハロゲン元素と
してフッ素イオンを照射する場合にはソースガスとしてBFを用いれば良い。なお、イ
オン注入とはイオン化したガスを質量分離して半導体基板に照射する方式をいう。
例えば、イオン注入法を用いて、イオン化した水素ガスを質量分離し、Hのみ、(又は
のみ)を選択的に加速して単結晶半導体基板に照射することができる。
イオンドープ法は、イオン化したガスを質量分離せずに、プラズマ中で複数種のイオン種
を作り、それらを加速して単結晶半導体基板に照射する。例えば、H、H 、H
イオンを含む水素では、照射されるイオンは、代表的にH イオンが50%以上、例え
ばH イオンが80%、他のイオン(H 、H イオン)が20%、が一般的であ
る。H イオンのイオン種のみとして照射することもここではイオンドープとする。
また、一又は複数の同一の原子から成る質量の異なるイオンを照射してもよい。例えば、
水素イオンを照射する場合には、H、H 、H イオンを含ませると共に、H
イオンの割合を高めておくことが好ましい。水素イオンを照射する場合には、H、H
、H イオンを含ませると共に、H イオンの割合を高めておくと照射効率を高め
ることができ、照射時間を短縮することができる。このような構成とすることで、剥離を
容易に行うことができる。
以下、イオンドーピング法とイオン注入法について詳細に説明する。イオンドーピング法
に用いるイオンドーピング装置(ID装置ともいう)では、プラズマ空間が大きく、大量
のイオンを単結晶半導体基板に照射することができる。一方、イオン注入法に用いるイオ
ン注入装置(II装置ともいう)は、プラズマから取り出したイオンを質量分析して特定
のイオン種だけを半導体基板に打ち込めるという特徴があり、基本的に点ビ−ムをスキャ
ンさせて処理する。
プラズマ発生方法としては、どちらの装置も、例えば、フィラメントを熱して出てくる熱
電子によりプラズマ状態を作っている。しかし、生成される水素イオン(H、H
)が半導体基板に照射される際の水素イオン種の割合は、イオンドーピング法とイ
オン注入法で大きく異なる。
をより多く照射するという観点からすれば、イオン注入装置よりイオンドーピング
装置を用いる方が好ましいといえる。
単結晶シリコン基板に水素イオンやフッ素イオンのようなハロゲンイオンを照射した場合
、添加された水素やフッ素が、シリコン結晶格子内のシリコン原子をノックアウトする(
追い出す)ことによって空白部分を効果的に作り出し、脆弱化層に微小な空洞を作る。こ
の場合、比較的低温の熱処理によって脆弱化層に形成された微小な空洞の体積変化が起こ
り、脆弱化層に沿って分離することにより薄い単結晶半導体層を形成することができる。
フッ素イオンを照射した後に、水素イオンを照射して空洞内に水素を含ませるようにして
も良い。単結晶半導体基板から薄い単結晶半導体層を分離するために形成する脆弱化層は
、脆弱化層に形成された微小な空洞の体積変化を利用して分離をするので、このようにフ
ッ素イオンや水素イオンの作用を有効利用することが好ましい。
また、単結晶半導体基板と上記単結晶半導体層と接合する絶縁層との間に、保護層を形成
してもよい。保護層は、窒化シリコン層、酸化シリコン層、窒化酸化シリコン層、又は酸
化窒化シリコン層から選ばれた一層又は複数の層による積層構造により形成することがで
きる。これらの層は、単結晶半導体基板に脆弱化層が形成される前に単結晶半導体基板上
に形成することができる。また、単結晶半導体基板に脆弱化層を形成した後に単結晶半導
体基板上に形成してもよい。
なお、酸化窒化シリコン膜とは、その組成として、窒素よりも酸素の含有量が多いもので
あって、ラザフォード後方散乱法(RBS:Rutherford Backscatt
ering Spectrometry)及び水素前方散乱法(HFS:Hydroge
n Forward Scattering)を用いて測定した場合に、濃度範囲として
酸素が50〜70原子%、窒素が0.5〜15原子%、Siが25〜35原子%、水素が
0.1〜10原子%の範囲で含まれるものをいう。また、窒化酸化シリコン膜とは、その
組成として、酸素よりも窒素の含有量が多いものであって、RBS及びHFSを用いて測
定した場合に、濃度範囲として酸素が5〜30原子%、窒素が20〜55原子%、Siが
25〜35原子%、水素が10〜30原子%の範囲で含まれるものをいう。但し、酸化窒
化シリコンまたは窒化酸化シリコンを構成する原子の合計を100原子%としたとき、窒
素、酸素、Si及び水素の含有比率が上記の範囲内に含まれるものとする。
脆弱化層の形成に当たってはイオンを高ドーズ条件で照射する必要があり、単結晶半導体
基板1108の表面が粗くなってしまう場合がある。そのためイオンが照射される表面に
窒化シリコン膜、窒化酸化シリコン膜、若しくは酸化シリコン膜などによりイオン照射に
対する保護層を50nm乃至200nmの厚さで設けておいても良い。
例えば、単結晶半導体基板1108上に保護層としてプラズマCVD法により酸化窒化シ
リコン膜(膜厚5nm〜300nm、望ましくは30nm〜150nm(例えば50nm
))と窒化酸化シリコン膜(膜厚5nm〜150nm、望ましくは10nm〜100nm
(例えば50nm))の積層を形成する。一例としては、単結晶半導体基板1108上に
酸化窒化シリコン膜を膜厚50nm形成し、該酸化窒化シリコン膜上に窒化酸化シリコン
膜を膜厚50nm形成し、積層する。酸化窒化シリコン膜は有機シランガスを用いて化学
気相成長法により作製される酸化シリコン膜でもよい。
また、単結晶半導体基板1108を脱脂洗浄し、表面の酸化膜を除去して熱酸化を行って
もよい。熱酸化としては通常のドライ酸化でも良いが、酸化雰囲気中にハロゲンを添加し
た酸化を行うことが好ましい。例えば、酸素に対しHClを0.5〜10体積%(好まし
くは3体積%)の割合で含む雰囲気中で、700℃以上の温度で熱処理を行う。好適には
950℃〜1100℃の温度で熱酸化を行うと良い。処理時間は0.1〜6時間、好まし
くは0.5〜3.5時間とすれば良い。形成される酸化膜の膜厚としては、10nm〜1
000nm(好ましくは50nm〜200nm)、例えば100nmの厚さとする。
ハロゲンを含むものとしてはHClの他に、HF、NF、HBr、Cl、ClF
BCl、F、Br、ジクロロエチレンなどから選ばれた一種又は複数種を適用する
ことができる。
このような温度範囲で熱処理を行うことで、ハロゲン元素によるゲッタリング効果を得る
ことができる。ゲッタリングとしては、特に金属不純物を除去する効果がある。すなわち
、塩素の作用により、金属などの不純物が揮発性の塩化物となって気相中へ離脱して除去
される。単結晶半導体基板1108の表面を化学的機械研磨(CMP)処理をしたものに
対しては有効である。また、水素は単結晶半導体基板1108と形成される絶縁層との界
面の欠陥を補償して界面の局在準位密度を低減する作用を奏し、単結晶半導体基板110
8と絶縁層との界面が不活性化されて電気的特性が安定化する。
この熱処理により形成される酸化膜中にハロゲンを含ませることができる。ハロゲン元素
は1×1017atoms/cm〜5×1020atoms/cmの濃度で含まれる
ことにより金属などの不純物を捕獲して単結晶半導体基板1108の汚染を防止する保護
層としての機能を発現させることができる。
脆弱化層1110を形成する際、加速電圧と全イオン数は、単結晶半導体基板上に堆積し
た膜の厚さと、目的とする単結晶半導体基板より分離して作製基板上に転置される単結晶
半導体層の膜厚と、照射するイオン種によって調整することができる。
例えば、イオンドーピング法で原料として水素ガスを用い、加速電圧を40kV、全イオ
ン数2×1016ions/cmでイオンを照射して脆弱化層を形成することができる
。保護層の膜厚を厚くすれば、同一条件でイオンを照射し脆弱化層を形成した場合、目的
とする単結晶半導体基板より分離して作製基板上に転置(転載)される単結晶半導体層と
して、膜厚の薄い単結晶半導体層を形成することができる。例えば、イオン種(H、H
、H イオン)の割合にもよるが、上記条件で脆弱化層を形成するとし、保護層と
して単結晶半導体基板上に酸化窒化シリコン膜(膜厚50nm)と窒化酸化シリコン膜(
膜厚50nm)を保護層として積層する場合、作製基板に転置される単結晶半導体層の膜
厚は約120nmとなり、単結晶半導体基板上に酸化窒化シリコン膜(膜厚100nm)
と窒化酸化シリコン膜(膜厚50nm)を保護層として積層する場合は、作製基板に転置
される単結晶半導体層の膜厚は約70nmとなる。
ヘリウム(He)や水素を原料ガスにする場合、加速電圧を10kV〜200kVの範囲
で、ドーズ量を1×1016ions/cm〜6×1016ions/cmの範囲で
照射し脆弱化層を形成することができる。ヘリウムを原料ガスにすると、質量分離を行わ
なくてもHeイオンを主なイオンとして照射することができる。また、水素を原料ガス
とするとH イオンやH イオンを主なイオンとして照射することができる。イオン
種は、プラズマの生成方法、圧力、原料ガス供給量、加速電圧によっても変化する。
脆弱化層形成の例としては、単結晶半導体基板上に酸化窒化シリコン膜(膜厚50nm)
、窒化酸化シリコン膜(膜厚50nm)、及び酸化シリコン膜(膜厚50nm)を保護層
として積層し、水素を加速電圧40kV、ドーズ量2×1016ions/cmで照射
し単結晶半導体基板に脆弱化層を形成する。その後保護層の最上層である該酸化シリコン
膜上に接合面を有する絶縁層として酸化シリコン膜(膜厚50nm)を形成する。脆弱化
層形成の他の例としては、単結晶半導体基板上に酸化シリコン膜(膜厚100nm)、及
び窒化酸化シリコン膜(膜厚50nm)を保護層として積層し、水素を加速電圧40kV
、ドーズ量2×1016ions/cmで照射し単結晶半導体基板に脆弱化層を形成す
る。その後保護層の最上層である該窒化酸化シリコン膜上に接合面を有する絶縁層として
酸化シリコン膜(膜厚50nm)を形成する。なお、上記酸化窒化シリコン膜及び窒化酸
化シリコン膜はプラズマCVD法により形成すればよく、上記酸化シリコン膜は有機シラ
ンガスを用いてCVD法により形成すればよい。
また、作製基板と単結晶半導体基板との間に絶縁層を形成してもよい。絶縁層は作製基板
側、あるいは単結晶半導体基板側どちらか一方でもよいし、両方に形成してもよい。接合
を形成する面に形成する絶縁層は平滑面を有し親水性表面を形成する。該絶縁層としては
、酸化シリコン膜を用いることができる。酸化シリコン膜としては有機シランガスを用い
て化学気相成長法により作製される酸化シリコン膜が好ましい。その他に、シランガスを
用いて化学気相成長法により作製される酸化シリコン膜を適用することもできる。
有機シランガスとしては、珪酸エチル(TEOS:化学式Si(OC)、トリ
メチルシラン(TMS:(CHSiH)、テトラメチルシラン(化学式Si(CH
)、テトラメチルシクロテトラシロキサン(TMCTS)、オクタメチルシクロテ
トラシロキサン(OMCTS)、ヘキサメチルジシラザン(HMDS)、トリエトキシシ
ラン(SiH(OC)、トリスジメチルアミノシラン(SiH(N(CH
)等のシリコン含有化合物を用いることができる。なお、原料ガスに有機シランを
用いて化学気相成長法により酸化シリコン層を形成する場合、酸素を付与するガスを混合
させることが好ましい。酸素を付与するガスとしては、酸素、亜酸化窒素、二酸化窒素等
を用いることができる。さらに、アルゴン、ヘリウム、窒素又は水素等の不活性ガスを混
合させてもよい。
また、接合を形成する面に形成する絶縁層として、モノシラン、ジシラン、又はトリシラ
ン等のシランを原料ガスに用いて化学気相成長法により形成される酸化シリコン膜を適用
することもできる。この場合も、酸素を付与するガスや不活性ガス等を混合させることが
好ましい。また、単結晶半導体層と接合する絶縁層となる酸化シリコン膜は、塩素を含ん
でいてもよい。なお、本明細書において、化学気相成長(CVD;Chemical V
apor Deposition)法は、プラズマCVD法、熱CVD法、光CVD法を
範疇に含む。
その他、接合を形成する面に形成する絶縁層として、酸化性雰囲気下において熱処理する
ことにより形成される酸化シリコン、酸素ラジカルの反応により成長する酸化シリコン、
酸化性の薬液により形成されるケミカルオキサイドなどを適用することもできる。絶縁層
として、シロキサン(Si−O−Si)結合を含む絶縁層を適用してもよい。また、前記
有機シランガスと、酸素ラジカル又は窒素ラジカルとを反応させて絶縁層を形成してもよ
い。
その他、接合を形成する面に形成する絶縁層として、酸化アルミニウムを主成分とする酸
化膜を用いてもよい。酸化アルミニウムを主成分とする酸化膜とは、当該酸化膜に含まれ
る成分の合計を100重量%とするときに、酸化アルミニウムを10重量%以上含む酸化
膜をいう。他にも、絶縁層としては、酸化アルミニウムを主成分とし、酸化マグネシウム
と酸化ストロンチウムの一方又は両方が含まれる膜を適用することができる。また、窒素
を含む酸化アルミニウムを用いてもよい。
絶縁層は、スパッタリング法により形成することができる。スパッタリング法に用いるタ
ーゲットとしては、例えば、アルミニウムを含む金属又は酸化アルミニウム等の金属酸化
物を用いることができる。なお、ターゲットの材料は、形成する膜に応じて適宜選択すれ
ばよい。
ターゲットとして金属を用いる場合には、反応ガス(例えば、酸素)を導入しながらスパ
ッタすること(反応性スパッタリング法)により、絶縁層を形成する。金属としては、ア
ルミニウムの他に、マグネシウム(Mg)、アルミニウムとマグネシウムを含む合金、ア
ルミニウムとストロンチウム(Sr)を含む合金、アルミニウムとマグネシウムとストロ
ンチウムを含む合金を用いることができる。この場合、スパッタリングは直流(DC)電
源又は高周波(RF)電源を用いて行えばよい。
ターゲットとして金属酸化物を用いる場合には、高周波(RF)電源を用いてスパッタす
ること(RFスパッタリング法)により、絶縁層を形成する。金属酸化物としては、酸化
アルミニウムの他に、酸化マグネシウム、酸化ストロンチウム、アルミニウムとマグネシ
ウムを含有する酸化物、アルミニウムとストロンチウムを含有する酸化物、アルミニウム
とマグネシウムとストロンチウムを含有する酸化物を用いることができる。
他にも、バイアススパッタリング法を用いて、絶縁層を形成してもよい。バイアススパッ
タリング法を用いると、膜の堆積と表面の平坦化を両方行うことができる。
アルミニウムを主成分とする酸化膜は作製基板に含まれる可動イオンや水分等の不純物が
、後に作製基板上に形成される単結晶半導体層に拡散することを防ぐことができる。
絶縁層において、接合を形成する面の表面は、算術平均粗さRaが0.8nm未満、二乗
平均平方根粗さRmsが0.9nm未満が望ましく、Raが0.4nm以下、Rmsが0
.5nm以下がより望ましく、さらにはRaが0.3nm以下、Rmsが0.4nm以下
がより望ましい。例えば、Raが0.27nm、Rmsが0.34nmである。本明細書
においてRaは算術平均粗さであり、Rmsは二乗平均平方根粗さであり、測定範囲は2
μm、又は10μmである。
作製基板と単結晶半導体基板とを接合するに際し、接合を形成する面の一方若しくは双方
に、好ましくは有機シランを原材料として成膜した酸化シリコン膜でなる絶縁層を設ける
と強固な接合を形成することができる。
本実施の形態では、図14(B)で示すように作製基板と接合を形成する面に絶縁層11
04として酸化シリコン膜を形成する。酸化シリコン膜としては有機シランガスを用いて
化学気相成長法により作製される酸化シリコン膜が好ましい。その他に、シランガスを用
いて化学気相成長法により作製される酸化シリコン膜を適用することもできる。化学気相
成長法による成膜では、単結晶半導体基板に形成した脆弱化層1110から脱ガスが起こ
らない温度として、例えば350℃以下(具体的な例としては300℃)の成膜温度が適
用される。また、単結晶半導体基板から単結晶半導体層を剥離する熱処理は、成膜温度よ
りも高い熱処理温度が適用される。
本実施の形態では、作製基板上で半導体集積回路を形成後、半導体集積回路を作製基板よ
り剥離するため、作製基板と半導体集積回路との間に剥離層を設ける。よって単結晶半導
体基板より分離して接合される単結晶半導体層は、作製基板上に設けられた剥離層(及び
無機絶縁膜)上に形成される。剥離層表面に凹凸を有すると、単結晶半導体基板と作製基
板との接合工程において、接合面の接触面積が小さくなり、十分な接合強度を確保するこ
とが困難である。そのため、剥離層を平坦化する工程が必要である。
剥離層は、作製基板と単結晶半導体層との間に設ければよく、作製基板側に形成しても、
単結晶半導体基板側に形成してもよい。作製基板側、単結晶半導体基板側のいずれかに形
成された剥離層に平坦化処理を行う。
絶縁層も、作製基板側又は単結晶半導体基板側に形成してもよいし、もしくは剥離層側に
形成してもよく、両方に形成してもよい。また、作製基板又は単結晶半導体基板と、剥離
層とを直接接合できる場合は、絶縁層を設けなくてもよい。
本実施の形態では、作製基板1101側に剥離層1125を形成し、剥離層1125上に
ブロッキング層1109を形成する例を示す。
平坦化処理としては、研磨処理やエッチング処理を行えばよく、勿論、研磨処理及びエッ
チング処理を両方行ってもよい。研磨処理としては、化学的機械研磨(CMP)法や液体
ジェット研磨法を用いることができる。エッチング処理としては、ウェットエッチング、
ドライエッチング、またはその両方を適宜用いることができる。
またプラズマ処理によって平坦化処理を行ってもよい。例えば、逆スパッタリング法を用
いることができる。逆スパッタリング法にて平坦化処理を行うと、絶縁層の形成から平坦
化までを同一装置内にて行うことができるため、スループットが向上し、好ましい。
逆スパッタリング法は、例えば、高真空のチャンバーに不活性ガス、例えばArガスを導
入し、被処理面に対して電界をかけることでプラズマ状態として行う。プラズマ中には電
子とArの陽イオンが存在し、陰極方向にArの陽イオンが加速される。加速されたAr
の陽イオンは被処理面をスパッタする。このとき、該被処理面の凸部から優先的にスパッ
タされる。被処理面からスパッタされた粒子は、被処理面の別の場所に付着する。このと
き、該被処理面の凹部に優先的に付着する。このように凸部を削り、凹部を埋めることで
被処理面の平坦性が向上する。
本実施の形態では、剥離層をスパッタリング法で形成し、逆スパッタリング法によって平
坦化処理を行う。
作製基板には、剥離層との間に不純物元素の拡散を防止する窒化シリコン膜又は窒化酸化
シリコン膜をブロッキング層(バリア層ともいう)として設けてもよい。さらに応力を緩
和する作用のある絶縁膜として酸化窒化シリコン膜を組み合わせても良い。
図14(C)は作製基板1101上に設けられたブロッキング層1109と単結晶半導体
基板1108の絶縁層1104が形成された面とを密接させ、この両者を接合させる態様
を示す。ブロッキング層1109表面は平坦化された剥離層1125上に設けられている
ために表面は高い平坦性を有している。接合を形成する面は、十分に清浄化しておく。作
製基板1101上に設けられたブロッキング層1109と単結晶半導体基板1108の絶
縁層1104が形成された面は、メガソニック洗浄などによって清浄化すればよい。また
、メガソニック洗浄後にオゾン水で洗浄し、有機物の除去と表面の親水性向上を行っても
よい。
作製基板1101上のブロッキング層1109と絶縁層1104を対向させて、一箇所を
外部から押しつけると、局所的に接合面同士の距離が縮まる事による、ファン・デル・ワ
ールス力の強まりや水素結合の寄与によって、お互いに引きつけ合う。更に、隣接した領
域でも対向する作製基板1101上のブロッキング層1109と絶縁層1104間の距離
が縮まるので、ファン・デル・ワールス力が強く作用する領域や水素結合が関与する領域
が広がる事によって、接合(ボンディングともいう)が進行し接合面全域に接合が広がる
押しつける際に、基板四隅の一ヶ所を100kPa〜5000kPaの圧力で押さえると
、接合面同士が近づき、ファン・デル・ワールス力から水素結合へ移行することができる
。基板内において一ヶ所の接合面が近接すると、隣接する接合面も近接し水素結合へ移行
するため、接合面全域が水素結合へ移行することができる。
良好な接合を形成するために、表面を活性化しておいても良い。例えば、接合を形成する
面に原子ビーム若しくはイオンビームを照射する。原子ビーム若しくはイオンビームを利
用する場合には、アルゴン等の不活性ガス中性原子ビーム若しくは不活性ガスイオンビー
ムを用いることができる。その他に、プラズマ照射若しくはラジカル処理を行う。このよ
うな表面処理により200℃乃至400℃の温度であっても異種材料間の接合を形成する
ことが容易となる。
また、作製基板と絶縁層との接合界面の接合強度を向上させるために、加熱処理を行うと
好ましい。例えば、オーブンや炉などで70℃〜350℃(例えば200℃で2時間)の
温度条件で熱処理を行う。
図14(D)において、作製基板1101と単結晶半導体基板1108を貼り合わせた後
、加熱処理を行い脆弱化層1110を劈開面として単結晶半導体基板1108を作製基板
1101から剥離する。例えば、400℃〜700℃の熱処理を行うことにより、脆弱化
層1110に形成された微小な空洞の体積変化が起こり、脆弱化層1110に沿って劈開
することが可能となる。絶縁層1104はブロッキング層1109を介して作製基板11
01と接合しているので、作製基板1101上には単結晶半導体基板1108と同じ結晶
性の単結晶半導体層1102が残存することとなる。
400℃〜700℃の温度域での熱処理は、前述の接合強度を向上させるための熱処理と
同じ装置で連続して行ってもよいし、別の装置で行ってもよい。例えば炉で200℃2時
間熱処理した後に、600℃近傍まで昇温し2時間保持し、400℃から室温までの温度
域に降温した後炉より取り出す。また、熱処理は室温から昇温してもよい。また、炉で2
00℃2時間熱処理した後に、瞬間熱アニール(RTA)装置によって600℃〜700
℃の温度域で、1分間〜30分間(例えば600℃7分間、650℃7分間)熱処理を行
ってもよい。
400℃〜700℃の温度域での熱処理により、絶縁層と作製基板との接合は水素結合か
ら共有結合に移行し、脆弱化層に添加された元素が析出し圧力が上昇し、単結晶半導体基
板より単結晶半導体層を剥離することができる。熱処理を行った後は作製基板と単結晶半
導体基板は、一方が他方に載っている状態であり、大きな力を加えずに作製基板と単結晶
半導体基板を離すことができる。例えば、上方に載っている基板を真空チャックで持ち上
げることにより簡単に話すことができる。この際、下側の基板の真空チャックやメカニカ
ルチャックで固定しておくと水平方向のずれがなく作製基板及び単結晶半導体基板の両基
板を離すことができる。
なお、図14、図15においては、単結晶半導体基板1108が作製基板1101より小
さいサイズの例を示すが、本発明はそれに限定されず、単結晶半導体基板1108と作製
基板1101が同じサイズであってもよいし、単結晶半導体基板1108が作製基板11
01より大きいサイズであってもよい。
図15は作製基板側に絶縁層を設けて単結晶半導体層を形成する工程を示す。図15(A
)は保護層1121として酸化シリコン膜が形成された単結晶半導体基板1108に電界
で加速されたイオンを所定の深さに照射し、脆弱化層1110を形成する工程を示してい
る。イオンの照射は図14(A)の場合と同様である。単結晶半導体基板1108の表面
に保護層1121を形成しておくことでイオン照射によって表面がダメージを受け、平坦
性が損なわれるのを防ぐことができる。また、保護層1121によって、単結晶半導体基
板1108から形成される単結晶半導体層1102に対する不純物の拡散防止効果を発現
する。
図15(B)は、ブロッキング層1109及び絶縁層1104が形成された作製基板11
01と単結晶半導体基板1108の保護層1121が形成された面を密着させて接合を形
成する工程を示している。作製基板1101上の絶縁層1104と単結晶半導体基板11
08の保護層1121を密着させることにより接合が形成される。
その後、図15(C)で示すように単結晶半導体基板1108を剥離する。単結晶半導体
層を剥離する熱処理は図14(D)の場合と同様にして行う。このようにして図15(C
)で示す絶縁層を介して単結晶半導体層を有するSOI構造の半導体基板を得ることがで
きる。
また、単結晶半導体基板より分離し、作製基板に転置された単結晶半導体層は、分離工程
およびイオン照射工程によって、結晶欠陥が生じ、また、その表面は平坦性が損なわれ、
凹凸が形成されてしまう場合がある。単結晶半導体層を用いて半導体素子としてトランジ
スタを作製する場合、このような凹凸のある単結晶半導体層の上面に薄く、絶縁耐圧性の
高いゲート絶縁層を形成することは困難である。また、単結晶半導体層に結晶欠陥がある
と、ゲート絶縁層との局在界面準位密度が高くなるなど、トランジスタの性能および信頼
性に影響を与える。
従って単結晶半導体層にレーザ光のような電磁波を照射し、結晶欠陥を低減させることが
好ましい。電磁波を照射することによって、単結晶半導体層の少なくとも一部の領域を溶
融させ、単結晶半導体層中の結晶欠陥を低減させることができる。なお、電磁波の照射前
に単結晶半導体層表面に形成された酸化膜(自然酸化膜、あるいはケミカル酸化膜)を希
フッ酸で除去するとよい。
電磁波は単結晶半導体層に高いエネルギーを供給できるものであればよく、好適にはレー
ザ光を用いることができる。
またエネルギーの供給は、高エネルギーを有する粒子を照射などによって単結晶半導体層
に衝突させ、主として熱伝導によって行うこともできる。高エネルギーを有する粒子を提
供する熱源としては、プラズマを用いることができ、常圧プラズマ、高圧プラズマ、熱プ
ラズマジェット、ガスバーナーなどの炎を用いることができる、又、他の熱源としては電
子ビームなどを用いることができる。
電磁波の波長は、単結晶半導体層に吸収される波長とする。その波長は、電磁波の表皮深
さ(skin depth)などを考慮して決定することができる。例えば、電磁波の波
長は190nm〜600nmを用いることができる。また、電磁波のエネルギーは、電磁
波の波長、電磁波の表皮深さ、照射する単結晶半導体層の膜厚などを考慮して決定するこ
とができる。
レーザ光を発振するレーザは、連続発振レーザ、疑似連続発振レーザ及びパルス発振レー
ザを用いることができる。部分溶融させるためパルス発振レーザが好ましい。例えば、K
rFレーザなどのエキシマレーザ、Arレーザ、Krレーザなどの気体レーザがある。そ
の他、固体レーザとして、YAGレーザ、YVOレーザ、YLFレーザ、YAlO
ーザ、GdVOレーザ、KGWレーザ、KYWレーザ、アレキサンドライトレーザ、T
i:サファイアレーザ、Yレーザなどがある。なお、エキシマレーザはパルス発振
レーザであるが、YAGレーザなどの固体レーザには、連続発振レーザにも、疑似連続発
振レーザにも、パルス発振レーザにもなるものがある。なお、固体レーザにおいては、基
本波の第2高調波〜第5高調波を適用するのが好ましい。また、GaN、GaAs、Ga
AlAs、InGaAsP等の半導体レーザも用いることができる。
また、電磁波のエネルギーを単結晶半導体層に照射できるならば、ランプ光を用いてもよ
い。例えば、紫外線ランプ、ブラックライト、ハロゲンランプ、メタルハライドランプ、
キセノンアークランプ、カーボンアークランプ、高圧ナトリウムランプ、または高圧水銀
ランプから射出された光を用いてもよい。上記ランプ光を用いたフラッシュアニールを用
いてもよい。ハロゲンランプやキセノンランプなどを好適に用いて行うフラッシュアニー
ルは極短時間の処理でよいため、作製基板の温度上昇を抑えることができる。
電磁波の形状や電磁波の進路を調整するため、シャッター、ミラー又はハーフミラー等の
反射体、シリンドリカルレンズや凸レンズなどによって構成される光学系が設置されてい
てもよい。
なお、電磁波の照射方法は、選択的に電磁波を照射してもよいし、光(電磁波)をXY軸
方向に走査して光(電磁波)を照射することができる。この場合、光学系にポリゴンミラ
ーやガルバノミラーを用いることが好ましい。
電磁波の照射は、大気雰囲気のような酸素を含む雰囲気、または窒素雰囲気のような不活
性雰囲気で行うことができる。不活性雰囲気中で電磁波を照射するには、気密性のあるチ
ャンバー内で電磁波を照射し、このチャンバー内の雰囲気を制御すればよい。チャンバー
を用いない場合は、電磁波の被照射面に窒素ガスなど不活性ガスを吹き付けることで、窒
素雰囲気を形成することもできる。
さらに、電磁波照射などの高エネルギーを供給され、結晶欠陥を低減された単結晶半導体
層表面に研磨処理を行ってもよい。研磨処理によって単結晶半導体層表面の平坦性を高め
ることができる。
研磨処理としては、化学的機械研磨(CMP)法や液体ジェット研磨法を用いることがで
きる。なお、研磨処理前に単結晶半導体層表面を洗浄し、清浄化する。洗浄は、メガソニ
ック洗浄や2流体ジェット洗浄等を用いればよく、洗浄により単結晶半導体層表面のゴミ
等を除去する。また、希フッ酸を用いて単結晶半導体層表面上の自然酸化膜等を除去して
単結晶半導体層を露出させると好適である。
また、電磁波を照射する前にも単結晶半導体層表面に研磨処理(又はエッチング処理)を
行ってもよい。
また、分離された単結晶半導体基板を繰り返し利用する工程(半導体基板再生処理)を行
うと、低コスト化を図ることができる。
また、単結晶半導体基板より単結晶半導体層を転載する際、単結晶半導体基板を選択的に
エッチングし、形状を加工された複数の単結晶半導体層を、作製基板に転載してもよい。
作製基板には、複数の島状の単結晶半導体層を形成することができる。予め、単結晶半導
体基板で形状を加工して転載するために、単結晶半導体基板の大きさや形状に制限を受け
ない。そのために大型の作製基板への単結晶半導体層の転載がより効率よく行うことがで
きる。
さらに、作製基板上に貼り合わせられた単結晶半導体層に対して、エッチングを行い、単
結晶半導体層の形状を加工、修正し精密に制御してもよい。これにより、半導体素子の単
結晶半導体層の形状に加工でき、レジストマスク形成時の露光の回り込みなどによるパタ
ーンズレや、転載時の貼り合わせ工程による位置ズレなどによる単結晶半導体層の形成位
置の誤差や形状不良を修正することができる。
また、単結晶半導体基板より単結晶半導体層を分離してから、作製基板に貼り合わせても
よい。劈開により露出される単結晶半導体層の表面を作製基板側に向けて貼り合わせても
よいし、劈開により露出される単結晶半導体層の表面とゲート絶縁膜とが接するように、
単結晶半導体層を作製基板上に貼り合わせてもよい。
本実施の形態において、単結晶半導体基板1108として単結晶シリコン基板を適用した
場合は、単結晶半導体層1102として単結晶シリコン層を得ることが可能である。また
、本実施の形態の半導体装置の製造方法は、プロセス温度を700℃以下とすることがで
きるため、作製基板1101としてガラス基板を適用することができる。すなわち、従来
の薄膜トランジスタと同様にガラス基板上に形成することができ、かつ単結晶シリコン層
を半導体層に適用することが可能となる。これらのことにより、高速動作が可能で、サブ
スレッショルド値が低く、電界効果移動度が高く、低消費電圧で駆動可能など高性能、高
信頼性のトランジスタをガラス基板等の作製基板上に作製することができる。
本実施の形態は、実施の形態1乃至6と適宜組み合わせることができる。
(実施の形態8)
本実施の形態では、より高い信頼性を付与することを目的とした半導体装置の例について
説明する。詳しくは半導体装置の一例として、マイクロプロセッサ及び非接触でデータの
送受信を行うことのできる演算機能を備えた半導体装置の一例について説明する。
図12は半導体装置の一例として、マイクロプロセッサ500の一例を示す。このマイク
ロプロセッサ500は、上記実施の形態に係る半導体装置により製造されるものである。
このマイクロプロセッサ500は、演算回路501(Arithmetic logic
unit。ALUともいう。)、演算回路制御部502(ALU Controlle
r)、命令解析部503(Instruction Decoder)、割り込み制御部
504(Interrupt Controller)、タイミング制御部505(Ti
ming Controller)、レジスタ506(Register)、レジスタ制
御部507(Register Controller)、バスインターフェース508
(Bus I/F)、読み出し専用メモリ509、及びメモリインターフェース510(
ROM I/F)を有している。
バスインターフェース508を介してマイクロプロセッサ500に入力された命令は、命
令解析部503に入力され、デコードされた後、演算回路制御部502、割り込み制御部
504、レジスタ制御部507、タイミング制御部505に入力される。演算回路制御部
502、割り込み制御部504、レジスタ制御部507、タイミング制御部505は、デ
コードされた命令に基づき各種制御を行う。具体的に演算回路制御部502は、演算回路
501の動作を制御するための信号を生成する。また、割り込み制御部504は、マイク
ロプロセッサ500のプログラム実行中に、外部の入出力装置や周辺回路からの割り込み
要求を、その優先度やマスク状態から判断して処理する。レジスタ制御部507は、レジ
スタ506のアドレスを生成し、マイクロプロセッサ500の状態に応じてレジスタ50
6の読み出しや書き込みを行う。タイミング制御部505は、演算回路501、演算回路
制御部502、命令解析部503、割り込み制御部504、レジスタ制御部507の動作
のタイミングを制御する信号を生成する。例えばタイミング制御部505は、基準クロッ
ク信号CLK1を元に、内部クロック信号CLK2を生成する内部クロック生成部を備え
ており、クロック信号CLK2を上記各種回路に供給する。なお、図12に示すマイクロ
プロセッサ500は、その構成を簡略化して示した一例にすぎず、実際にはその用途によ
って多種多様な構成を備えることができる。
次に、非接触でデータの送受信を行うことのできる演算機能を備えた半導体装置の一例に
ついて図13を参照して説明する。図13は無線通信により外部装置と信号の送受信を行
って動作するコンピュータ(以下、「RFCPU」という)の一例を示す。RFCPU5
11は、アナログ回路部512とデジタル回路部513を有している。アナログ回路部5
12として、共振容量を有する共振回路514、整流回路515、定電圧回路516、リ
セット回路517、発振回路518、復調回路519と、変調回路520を有している。
デジタル回路部513は、RFインターフェース521、制御レジスタ522、クロック
コントローラ523、インターフェース524、中央処理ユニット525、ランダムアク
セスメモリ526、読み出し専用メモリ527を有している。
このような構成のRFCPU511の動作は概略以下の通りである。アンテナ528が受
信した信号は共振回路514により誘導起電力を生じる。誘導起電力は、整流回路515
を経て容量部529に充電される。この容量部529はセラミックコンデンサーや電気二
重層コンデンサーなどのキャパシタで形成されていることが好ましい。容量部529はR
FCPU511と一体形成されている必要はなく、別部品としてRFCPU511を構成
する絶縁表面を有する基板に取り付けられていれば良い。
リセット回路517は、デジタル回路部513をリセットし初期化する信号を生成する。
例えば、電源電圧の上昇に遅延して立ち上がる信号をリセット信号として生成する。発振
回路518は、定電圧回路516により生成される制御信号に応じて、クロック信号の周
波数とデューティー比を変更する。ローパスフィルタで形成される復調回路519は、例
えば振幅変調(ASK)方式の受信信号の振幅の変動を二値化する。変調回路520は、
送信データを振幅変調(ASK)方式の送信信号の振幅を変動させて送信する。変調回路
520は、共振回路514の共振点を変化させることで通信信号の振幅を変化させている
。クロックコントローラ523は、電源電圧又は中央処理ユニット525における消費電
流に応じてクロック信号の周波数とデューティー比を変更するための制御信号を生成して
いる。電源電圧の監視は電源管理回路530が行っている。
アンテナ528からRFCPU511に入力された信号は復調回路519で復調された後
、RFインターフェース521で制御コマンドやデータなどに分解される。制御コマンド
は制御レジスタ522に格納される。制御コマンドには、読み出し専用メモリ527に記
憶されているデータの読み出し、ランダムアクセスメモリ526へのデータの書き込み、
中央処理ユニット525への演算命令などが含まれている。中央処理ユニット525は、
インターフェース524を介して読み出し専用メモリ527、ランダムアクセスメモリ5
26、制御レジスタ522にアクセスする。インターフェース524は、中央処理ユニッ
ト525が要求するアドレスより、読み出し専用メモリ527、ランダムアクセスメモリ
526、制御レジスタ522のいずれかに対するアクセス信号を生成する機能を有してい
る。
中央処理ユニット525の演算方式は、読み出し専用メモリ527にOS(オペレーティ
ングシステム)を記憶させておき、起動とともにプログラムを読み出し実行する方式を採
用することができる。また、専用回路で演算回路を構成して、演算処理をハードウェア的
に処理する方式を採用することもできる。ハードウェアとソフトウェアを併用する方式で
は、専用の演算回路で一部の処理を行い、残りの演算をプログラムを使って中央処理ユニ
ット525が実行する方式を適用することができる。
本実施の形態におけるマイクロプロセッサにおいても、半導体集積化回路を挟持する一対
の耐衝撃層、及び半導体集積回路に積層して設けられる衝撃拡散層によって、薄型化及び
小型化を達成しながら強度を有する信頼性の高い半導体装置とすることができる。
(実施の形態9)
本実施の形態では、上記実施の形態で示した半導体装置の使用形態の一例について説明す
る。具体的には、非接触でデータの入出力が可能である半導体装置の適用例に関して、図
面を用いて以下に説明する。非接触でデータの入出力が可能である半導体装置は利用の形
態によって、RFIDタグ、IDタグ、ICタグ、ICチップ、RFタグ、無線タグ、電
子タグまたは無線チップとも呼ばれる。
本実施の形態で示す半導体装置の上面構造の一例について、図10を参照して説明する。
図10に示す半導体装置2180は、メモリ部やロジック部を構成する複数のトランジス
タ等の素子が設けられた薄膜集積回路2131と、アンテナとして機能する導電層213
2を含んでいる。アンテナとして機能する導電層2132は、薄膜集積回路2131に電
気的に接続されている。薄膜集積回路2131には、上記実施の形態2で示したトランジ
スタを適用することができる。
アンテナとして機能する導電層は、薄膜集積回路の上方に設けてもよいし、下方に設けて
もよい。アンテナとして機能する導電層を耐衝撃層の外側に設けてもよい。また、アンテ
ナとして機能する導電層2132を基板2133に別に設けた後、当該基板2133及び
薄膜集積回路2131を、導電層2132が間に位置するように貼り合わせて設けること
ができる。薄膜集積回路2131の接続端子とアンテナとして機能する導電層とが、接着
性を有する樹脂中に含まれる導電性粒子を介して電気的に接続する構成でもよい。或いは
、薄膜集積回路に電気的に接続されたアンテナと、質問器との間において、非接触にて電
波の周波数を変換することができるブースターアンテナを設けた半導体装置に、本発明を
適用することも可能である。
半導体装置は、半導体素子として電界効果トランジスタはもちろん、半導体層を用いる記
憶素子なども適用することができ、多用途に渡って要求される機能を満たす半導体装置を
作製し、提供することができる。
なお、本実施の形態では、アンテナとして機能する導電層2132をコイル状に設け、電
磁誘導方式または電磁結合方式を適用する例を示すが、半導体装置はこれに限られずマイ
クロ波方式を適用することも可能である。マイクロ波方式の場合は、用いる電磁波の波長
によりアンテナとして機能する導電層2132の形状を適宜決めればよい。
例えば、半導体装置における信号の伝送方式として、マイクロ波方式(例えば、UHF帯
(860MHz帯乃至960MHz帯)、2.45GHz帯等)を適用する場合には、信
号の伝送に用いる電磁波の波長を考慮してアンテナとして機能する導電層の長さ等の形状
を適宜設定すればよい。例えば、アンテナとして機能する導電層を線状(例えば、ダイポ
ールアンテナ)、平坦な形状(例えば、パッチアンテナまたはリボン型の形状)等に形成
することができる。また、アンテナとして機能する導電層2132の形状は直線状に限ら
れず、電磁波の波長を考慮して曲線状や蛇行形状またはこれらを組み合わせた形状で設け
てもよい。
アンテナとして機能する導電層は、CVD法、スパッタ法、スクリーン印刷やグラビア印
刷等の印刷法、液滴吐出法、ディスペンサ法、メッキ法等を用いて、導電性材料により形
成する。導電性材料は、アルミニウム(Al)、チタン(Ti)、銀(Ag)、銅(Cu
)、金(Au)、白金(Pt)ニッケル(Ni)、パラジウム(Pd)、タンタル(Ta
)、モリブデン(Mo)等の金属元素、又は当該金属元素を含む合金材料若しくは化合物
材料で、単層構造又は積層構造で形成する。
例えば、スクリーン印刷法を用いてアンテナとして機能する導電層2132を形成する場
合には、粒径が数nmから数十μmの導電体粒子を有機樹脂に溶解または分散させた導電
性のペーストを選択的に印刷することによって設けることができる。導電体粒子としては
、銀(Ag)、金(Au)、銅(Cu)、ニッケル(Ni)、白金(Pt)、パラジウム
(Pd)、タンタル(Ta)、モリブデン(Mo)およびチタン(Ti)等のいずれか一
つ以上の金属粒子やハロゲン化銀の微粒子、または分散性ナノ粒子を用いることができる
。また、導電性ペーストに含まれる有機樹脂は、金属粒子のバインダー、溶媒、分散剤お
よび被覆材として機能する有機樹脂から選ばれた一つまたは複数を用いることができる。
代表的には、エポキシ樹脂、シリコン樹脂等の有機樹脂が挙げられる。また、導電層の形
成の際は、導電性のペーストを押し出した後に焼成することが好ましい。例えば、導電性
のペーストの材料として、銀を主成分とする微粒子(例えば粒径1nm以上100nm以
下の微粒子)を用いる場合、150℃乃至300℃の温度範囲で焼成することにより硬化
させて導電層を形成することができる。また、はんだや鉛フリーのはんだを主成分とする
微粒子を用いてもよく、この場合は粒径20μm以下の微粒子を用いることが好ましい。
はんだや鉛フリーはんだは、低コストであるといった利点を有している。
本発明を適用した半導体装置は、半導体集積化回路を挟持する一対の耐衝撃層、及び半導
体集積回路に積層して設けられる衝撃拡散層によって、薄型化及び小型化を達成しながら
強度を有する信頼性の高い半導体装置とすることができる。よって、本実施の形態で示す
ような非接触でデータの入出力が可能で、且つ小型な半導体装置とした場合に有効である
(実施の形態10)
本実施の形態では、上述した本発明を用いて形成された非接触でデータの入出力が可能で
ある半導体装置の適用例に関して図面を参照して以下に説明する。非接触でデータの入出
力が可能である半導体装置は利用の形態によっては、RFIDタグ、IDタグ、ICタグ
、ICチップ、RFタグ、無線タグ、電子タグまたは無線チップともよばれる。
半導体装置800は、非接触でデータを交信する機能を有し、高周波回路810、電源回
路820、リセット回路830、クロック発生回路840、データ復調回路850、デー
タ変調回路860、他の回路の制御を行う制御回路870、記憶回路880およびアンテ
ナ890を有している(図11(A)参照。)。高周波回路810はアンテナ890より
信号を受信して、データ変調回路860より受信した信号をアンテナ890から出力する
回路であり、電源回路820は受信信号から電源電位を生成する回路であり、リセット回
路830はリセット信号を生成する回路であり、クロック発生回路840はアンテナ89
0から入力された受信信号を基に各種クロック信号を生成する回路であり、データ復調回
路850は受信信号を復調して制御回路870に出力する回路であり、データ変調回路8
60は制御回路870から受信した信号を変調する回路である。また、制御回路870と
しては、例えばコード抽出回路910、コード判定回路920、CRC判定回路930お
よび出力ユニット回路940が設けられている。なお、コード抽出回路910は制御回路
870に送られてきた命令に含まれる複数のコードをそれぞれ抽出する回路であり、コー
ド判定回路920は抽出されたコードとリファレンスに相当するコードとを比較して命令
の内容を判定する回路であり、CRC判定回路930は判定されたコードに基づいて送信
エラー等の有無を検出する回路である。
次に、上述した半導体装置の動作の一例について説明する。まず、アンテナ890により
無線信号が受信される。無線信号は高周波回路810を介して電源回路820に送られ、
高電源電位(以下、VDDと記す)が生成される。VDDは半導体装置800が有する各
回路に供給される。また、高周波回路810を介してデータ復調回路850に送られた信
号は復調される(以下、復調信号)。さらに、高周波回路810を介してリセット回路8
30およびクロック発生回路840を通った信号及び復調信号は制御回路870に送られ
る。制御回路870に送られた信号は、コード抽出回路910、コード判定回路920お
よびCRC判定回路930等によって解析される。そして、解析された信号にしたがって
、記憶回路880内に記憶されている半導体装置の情報が出力される。出力された半導体
装置の情報は出力ユニット回路940を通って符号化される。さらに、符号化された半導
体装置800の情報はデータ変調回路860を通って、アンテナ890により無線信号に
載せて送信される。なお、半導体装置800を構成する複数の回路においては、低電源電
位(以下、VSS)は共通であり、VSSはGNDとすることができる。
このように、通信装置から半導体装置800に信号を送り、当該半導体装置800から送
られてきた信号を通信装置で受信することによって、半導体装置800のデータを読み取
ることが可能となる。
また、半導体装置800は、各回路への電源電圧の供給を電源(バッテリー)を搭載せず
電磁波により行うタイプとしてもよいし、電源(バッテリー)を搭載して電磁波と電源(
バッテリー)により各回路に電源電圧を供給するタイプとしてもよい。
次に、非接触でデータの入出力が可能な半導体装置の使用形態の一例について説明する。
表示部3210を含む携帯端末の側面には、通信装置3200が設けられ、品物3220
の側面には半導体装置3230が設けられる(図11(B))。品物3220が含む半導
体装置3230に通信装置3200をかざすと、表示部3210に品物の原材料や原産地
、生産工程ごとの検査結果や流通過程の履歴等、更に商品の説明等の商品に関する情報が
表示される。また、商品3260をベルトコンベアにより搬送する際に、通信装置324
0と、商品3260に設けられた半導体装置3250を用いて、該商品3260の検品を
行うことができる(図11(C))。このように、システムに半導体装置を活用すること
で、情報の取得を簡単に行うことができ、高機能化と高付加価値化を実現する。
以上の様に、本発明の信頼性の高い半導体装置の適用範囲は極めて広く、広い分野の電子
機器に用いることが可能である。
(実施の形態11)
本発明によりプロセッサ回路を有するチップ(以下、プロセッサチップ、無線チップ、無
線プロセッサ、無線メモリ、無線タグともよぶ)として機能する半導体装置を形成するこ
とができる。本発明の半導体装置の用途は広範にわたり、非接触で対象物の履歴等の情報
を明確にし、生産・管理等に役立てる商品であればどのようなものにも適用することがで
きる。例えば、紙幣、硬貨、有価証券類、証書類、無記名債券類、包装用容器類、書籍類
、記録媒体、身の回り品、乗物類、食品類、衣類、保健用品類、生活用品類、薬品類及び
電子機器等に設けて使用することができる。これらの例に関して図9を用いて説明する。
紙幣、硬貨とは、市場に流通する金銭であり、特定の地域で貨幣と同じように通用するも
の(金券)、記念コイン等を含む。有価証券類とは、小切手、証券、約束手形等を指し、
プロセッサ回路を有するチップ190を設けることができる(図9(A)参照)。証書類
とは、運転免許証、住民票等を指し、プロセッサ回路を有するチップ191を設けること
ができる(図9(B)参照)。身の回り品とは、鞄、眼鏡等を指し、プロセッサ回路を有
するチップ197を設けることができる(図9(C)参照)。無記名債券類とは、切手、
おこめ券、各種ギフト券等を指す。包装用容器類とは、お弁当等の包装紙、ペットボトル
等を指し、プロセッサ回路を有するチップ193を設けることができる(図9(D)参照
)。書籍類とは、書物、本等を指し、プロセッサ回路を有するチップ194を設けること
ができる(図9(E)参照)。記録媒体とは、DVDソフト、ビデオテープ等を指、プロ
セッサ回路を有するチップ195を設けることができる(図9(F)参照)。乗物類とは
、自転車等の車両、船舶等を指し、プロセッサ回路を有するチップ196を設けることが
できる(図9(G)参照)。食品類とは、食料品、飲料等を指す。衣類とは、衣服、履物
等を指す。保健用品類とは、医療器具、健康器具等を指す。生活用品類とは、家具、照明
器具等を指す。薬品類とは、医薬品、農薬等を指す。電子機器とは、液晶表示装置、EL
表示装置、テレビジョン装置(テレビ受像機、薄型テレビ受像機)、携帯電話等を指す。
このような半導体装置の設け方としては、物品の表面に貼る、或いは物品に埋め込んで設
ける。例えば、本の場合は紙に埋め込めばよく、有機樹脂からなるパッケージであれば有
機樹脂に埋め込めばよい。
このように、包装用容器類、記録媒体、身の回り品、食品類、衣類、生活用品類、電子機
器等に半導体装置を設けることにより、検品システムやレンタル店のシステムなどの効率
化を図ることができる。また乗物類に半導体装置を設けることにより、偽造や盗難を防止
することができる。また、動物等の生き物に埋め込むことによって、個々の生き物の識別
を容易に行うことができる。例えば、家畜等の生き物にセンサーを備えた半導体装置を埋
め込む又は取り付けることによって、生まれた年や性別または種類等はもちろん体温等の
健康状態を容易に管理することが可能となる。
なお、本実施の形態は、上記実施の形態1乃至10と適宜組み合わせて実施することが可
能である。

Claims (3)

  1. 第1の層と、
    前記第1の層上の第1の樹脂層と、
    前記第1の樹脂層上の、複数のトランジスタを有する回路と、
    前記回路上の第2の層と、
    前記第2の層上の第2の樹脂層と、を有し、
    前記複数のトランジスタは、それぞれ、半導体層の材料として多結晶シリコンを有し、
    前記第1の樹脂層は、前記第1の層よりも弾性率が低く、
    前記第2の樹脂層は、前記第2の層よりも弾性率が低いことを特徴とする半導体装置。
  2. 第1の層と、
    前記第1の層上の第1の樹脂層と、
    前記第1の樹脂層上の、複数のトランジスタを有する回路と、
    前記回路上の無機絶縁層と、
    前記無機絶縁層上の第2の層と、
    前記第2の層上の第2の樹脂層と、を有し、
    前記複数のトランジスタは、それぞれ、半導体層の材料として多結晶シリコンを有し、
    前記第1の樹脂層は、前記第1の層よりも弾性率が低く、
    前記第2の樹脂層は、前記第2の層よりも弾性率が低いことを特徴とする半導体装置。
  3. 第1の層と、
    前記第1の層上の第1の樹脂層と、
    前記第1の樹脂層上の、複数のトランジスタを有する回路と、
    前記回路上の無機絶縁層と、
    前記無機絶縁層上の第2の層と、
    前記第2の層上の第2の樹脂層と、を有し、
    前記無機絶縁層は、無機化合物を用いた積層であり、
    前記複数のトランジスタは、それぞれ、半導体層の材料として多結晶シリコンを有し、
    前記第1の樹脂層は、前記第1の層よりも弾性率が低く
    前記第2の樹脂層は、前記第2の層よりも弾性率が低いことを特徴とする半導体装置。
JP2017136108A 2008-04-25 2017-07-12 半導体装置 Active JP6223623B1 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008114882 2008-04-25
JP2008114883 2008-04-25
JP2008114883 2008-04-25
JP2008114882 2008-04-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015212569A Division JP6177854B2 (ja) 2008-04-25 2015-10-29 半導体装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017193625A Division JP6446517B2 (ja) 2008-04-25 2017-10-03 半導体装置

Publications (2)

Publication Number Publication Date
JP6223623B1 true JP6223623B1 (ja) 2017-11-01
JP2017212455A JP2017212455A (ja) 2017-11-30

Family

ID=41214193

Family Applications (9)

Application Number Title Priority Date Filing Date
JP2009102673A Withdrawn JP2009283929A (ja) 2008-04-25 2009-04-21 半導体装置及び半導体装置の作製方法
JP2014168121A Expired - Fee Related JP5833719B2 (ja) 2008-04-25 2014-08-21 半導体装置および半導体装置の作製方法
JP2015212569A Expired - Fee Related JP6177854B2 (ja) 2008-04-25 2015-10-29 半導体装置
JP2017136108A Active JP6223623B1 (ja) 2008-04-25 2017-07-12 半導体装置
JP2017193625A Active JP6446517B2 (ja) 2008-04-25 2017-10-03 半導体装置
JP2018226787A Active JP6665264B2 (ja) 2008-04-25 2018-12-03 半導体装置
JP2020025814A Active JP6842579B2 (ja) 2008-04-25 2020-02-19 半導体装置
JP2021024760A Active JP7150908B2 (ja) 2008-04-25 2021-02-19 半導体装置
JP2022154791A Pending JP2022177258A (ja) 2008-04-25 2022-09-28 半導体装置

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2009102673A Withdrawn JP2009283929A (ja) 2008-04-25 2009-04-21 半導体装置及び半導体装置の作製方法
JP2014168121A Expired - Fee Related JP5833719B2 (ja) 2008-04-25 2014-08-21 半導体装置および半導体装置の作製方法
JP2015212569A Expired - Fee Related JP6177854B2 (ja) 2008-04-25 2015-10-29 半導体装置

Family Applications After (5)

Application Number Title Priority Date Filing Date
JP2017193625A Active JP6446517B2 (ja) 2008-04-25 2017-10-03 半導体装置
JP2018226787A Active JP6665264B2 (ja) 2008-04-25 2018-12-03 半導体装置
JP2020025814A Active JP6842579B2 (ja) 2008-04-25 2020-02-19 半導体装置
JP2021024760A Active JP7150908B2 (ja) 2008-04-25 2021-02-19 半導体装置
JP2022154791A Pending JP2022177258A (ja) 2008-04-25 2022-09-28 半導体装置

Country Status (6)

Country Link
US (3) US8133749B2 (ja)
JP (9) JP2009283929A (ja)
KR (2) KR101596698B1 (ja)
CN (1) CN102067281B (ja)
TW (3) TWI549186B (ja)
WO (1) WO2009131132A1 (ja)

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090193676A1 (en) * 2008-01-31 2009-08-06 Guo Shengguang Shoe Drying Apparatus
KR101596698B1 (ko) * 2008-04-25 2016-02-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치 제조 방법
KR101582503B1 (ko) * 2008-05-12 2016-01-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법
CN102160179B (zh) 2008-09-19 2014-05-14 株式会社半导体能源研究所 半导体装置及其制造方法
TWI617029B (zh) * 2009-03-27 2018-03-01 半導體能源研究所股份有限公司 半導體裝置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011043215A1 (en) 2009-10-09 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Shift register and display device and driving method thereof
KR101943109B1 (ko) 2009-12-04 2019-01-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제조 방법
KR101675113B1 (ko) * 2010-01-08 2016-11-11 삼성전자주식회사 트랜지스터 및 그 제조방법
JP5745838B2 (ja) * 2010-12-28 2015-07-08 三菱電機株式会社 薄膜トランジスタ及びその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103187131B (zh) * 2011-12-28 2016-01-20 北京有色金属研究总院 一种高导热绝缘复合材料及其制备方法
WO2013141153A1 (ja) * 2012-03-23 2013-09-26 株式会社村田製作所 無線式温度計
US9159015B2 (en) 2012-04-23 2015-10-13 Assa Abloy Ab Flexible tag
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
FR2998418B1 (fr) * 2012-11-20 2014-11-21 Soitec Silicon On Insulator Procede de fabrication d'un substrat de type semi-conducteur sur isolant
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015233130A (ja) * 2014-05-16 2015-12-24 株式会社半導体エネルギー研究所 半導体基板および半導体装置の作製方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI576943B (zh) * 2015-06-12 2017-04-01 朋程科技股份有限公司 功率二極體裝置之處理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR20180037238A (ko) * 2015-08-28 2018-04-11 히타치가세이가부시끼가이샤 반도체 장치 및 그 제조 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017115225A2 (en) * 2015-12-28 2017-07-06 Semiconductor Energy Laboratory Co., Ltd. Flexible device, display device, and manufacturing methods thereof
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105810573A (zh) * 2016-03-15 2016-07-27 深圳市华星光电技术有限公司 薄膜晶体管的制作方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859494B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Nanoparticle with plural functionalities, and method of forming the nanoparticle
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102328679B1 (ko) * 2016-11-23 2021-11-19 삼성디스플레이 주식회사 표시 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6855124B2 (ja) * 2017-05-08 2021-04-07 株式会社ディスコ ゲッタリング層形成方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP6855125B2 (ja) * 2017-05-08 2021-04-07 株式会社ディスコ ゲッタリング層形成方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11007751B2 (en) * 2017-08-14 2021-05-18 Industrial Technology Research Institute Impact resistant structure and electronic device
TWI702894B (zh) * 2017-08-14 2020-08-21 財團法人工業技術研究院 耐衝擊減震結構及電子裝置
US11262482B2 (en) 2017-08-14 2022-03-01 Industrial Technology Research Institute Impact resistant structure and electronic device
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
FR3074960B1 (fr) * 2017-12-07 2019-12-06 Soitec Procede de transfert d'une couche utilisant une structure demontable
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TWI685794B (zh) * 2019-05-29 2020-02-21 創新聯合科技股份有限公司 具有長距離超高頻識別電子標籤之鞋子
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
JP7041648B2 (ja) * 2019-07-17 2022-03-24 信越化学工業株式会社 複合基板の製造方法
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0244256B2 (ja) 1987-01-28 1990-10-03 Kagaku Gijutsucho Mukizaishitsu Kenkyushocho Ingazn2o5deshimesarerurotsuhoshokeinosojokozoojusurukagobutsuoyobisonoseizoho
CA2026113C (en) * 1989-01-25 1998-12-01 Tsunoe Igarashi Prepreg, composite molded body, and method of manufacture of the composite molded body
DE3907757A1 (de) * 1989-03-10 1990-09-13 Mtu Muenchen Gmbh Schutzfolie
JPH053371A (ja) * 1991-06-25 1993-01-08 Fuji Electric Co Ltd 半導体レーザ素子の樹脂モールド方法
JPH05229293A (ja) * 1991-12-26 1993-09-07 Kobe Steel Ltd 電子部品構成物内蔵インモールド品及びその製造方法
JPH05190582A (ja) 1992-01-08 1993-07-30 Oki Electric Ind Co Ltd 樹脂封止半導体装置及びその製造方法
JPH06224365A (ja) * 1993-01-28 1994-08-12 Dainippon Printing Co Ltd 半導体パッケージ用リードフレームおよびその製造方法
US5534372A (en) 1993-07-28 1996-07-09 Konica Corporation IC card having image information
JPH0788974A (ja) 1993-07-28 1995-04-04 Konica Corp 画像情報を有するicカード
US5677045A (en) * 1993-09-14 1997-10-14 Hitachi, Ltd. Laminate and multilayer printed circuit board
JP2614190B2 (ja) * 1994-06-01 1997-05-28 日本ピラー工業株式会社 多層板用プリプレグ、積層板、多層プリント回路基板およびその製造方法
TW371285B (en) 1994-09-19 1999-10-01 Amp Akzo Linlam Vof Foiled UD-prepreg and PWB laminate prepared therefrom
JP3364081B2 (ja) 1995-02-16 2003-01-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5757456A (en) * 1995-03-10 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating involving peeling circuits from one substrate and mounting on other
JP3406727B2 (ja) 1995-03-10 2003-05-12 株式会社半導体エネルギー研究所 表示装置
JPH08339942A (ja) * 1995-06-09 1996-12-24 Nec Kansai Ltd 電子部品
JP3468954B2 (ja) * 1995-12-01 2003-11-25 日立化成工業株式会社 Icカード
JP3570806B2 (ja) * 1996-01-18 2004-09-29 旭シュエーベル株式会社 ガラス繊維織物及びその製造方法
JP4619644B2 (ja) 1996-08-27 2011-01-26 セイコーエプソン株式会社 薄膜素子の転写方法
CN100477079C (zh) 1996-08-27 2009-04-08 精工爱普生株式会社 转移方法
JPH1092980A (ja) 1996-09-13 1998-04-10 Toshiba Corp 無線カードおよびその製造方法
US6127199A (en) * 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
JPH10211784A (ja) * 1997-01-31 1998-08-11 Denso Corp Icカードおよびその製造方法
JPH11317475A (ja) * 1998-02-27 1999-11-16 Canon Inc 半導体用封止材樹脂および半導体素子
TW484101B (en) * 1998-12-17 2002-04-21 Hitachi Ltd Semiconductor device and its manufacturing method
JP2000231619A (ja) 1999-02-10 2000-08-22 Nippon Telegr & Teleph Corp <Ntt> 接触型icカード
US6224965B1 (en) * 1999-06-25 2001-05-01 Honeywell International Inc. Microfiber dielectrics which facilitate laser via drilling
JP4423779B2 (ja) * 1999-10-13 2010-03-03 味の素株式会社 エポキシ樹脂組成物並びに該組成物を用いた接着フィルム及びプリプレグ、及びこれらを用いた多層プリント配線板及びその製造法
US6509217B1 (en) * 1999-10-22 2003-01-21 Damoder Reddy Inexpensive, reliable, planar RFID tag structure and method for making same
JP2001237351A (ja) 2000-02-22 2001-08-31 Hitachi Maxell Ltd 半導体装置
JP4347496B2 (ja) 2000-03-31 2009-10-21 共同印刷株式会社 可逆性感熱記録媒体の製造方法
US6329226B1 (en) * 2000-06-01 2001-12-11 Agere Systems Guardian Corp. Method for fabricating a thin-film transistor
US6605826B2 (en) 2000-08-18 2003-08-12 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and display device
JP2002176246A (ja) * 2000-12-08 2002-06-21 Matsushita Electric Ind Co Ltd 配線基板及びその製造方法
JP2002260290A (ja) * 2001-03-02 2002-09-13 Tokyo Magnetic Printing Co Ltd 光カードおよびその製造方法
JP2002351291A (ja) * 2001-05-28 2002-12-06 Dainippon Printing Co Ltd 音声が記録された光回折構造を有する記録媒体、転写シート、および貼付け用シート
JP4244120B2 (ja) * 2001-06-20 2009-03-25 株式会社半導体エネルギー研究所 発光装置及びその作製方法
TW564471B (en) * 2001-07-16 2003-12-01 Semiconductor Energy Lab Semiconductor device and peeling off method and method of manufacturing semiconductor device
JP4027740B2 (ja) * 2001-07-16 2007-12-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2003041235A (ja) * 2001-07-27 2003-02-13 Sekisui Chem Co Ltd Icカード及びその製造方法
JP2003049388A (ja) * 2001-08-08 2003-02-21 Du Pont Toray Co Ltd 扁平化したアラミド繊維からなる布帛
JP2003141486A (ja) * 2001-11-08 2003-05-16 Oji Paper Co Ltd 非接触icカードとその製造方法
CN100380673C (zh) * 2001-11-09 2008-04-09 株式会社半导体能源研究所 发光设备及其制造方法
JP4451054B2 (ja) * 2001-11-09 2010-04-14 株式会社半導体エネルギー研究所 発光装置及びその作製方法
KR100430001B1 (ko) * 2001-12-18 2004-05-03 엘지전자 주식회사 다층기판의 제조방법, 그 다층기판의 패드 형성방법 및 그다층기판을 이용한 반도체 패키지의 제조방법
JP2003223627A (ja) * 2002-01-31 2003-08-08 Konica Corp Icカード及びicカードの製造方法
JP3974797B2 (ja) 2002-02-28 2007-09-12 日東紡績株式会社 ガラスクロスの製造方法及びガラスクロス
JP3775329B2 (ja) * 2002-03-27 2006-05-17 三井金属鉱業株式会社 電子部品実装用フィルムキャリアテープの製造方法、その製造装置およびその方法に用いられる保護テープ
US7485489B2 (en) * 2002-06-19 2009-02-03 Bjoersell Sten Electronics circuit manufacture
AU2003253227A1 (en) 2002-06-19 2004-01-06 Sten Bjorsell Electronics circuit manufacture
JP4241184B2 (ja) * 2002-07-25 2009-03-18 パナソニック電工株式会社 光電素子部品
US7132311B2 (en) * 2002-07-26 2006-11-07 Intel Corporation Encapsulation of a stack of semiconductor dice
JP4029697B2 (ja) 2002-08-30 2008-01-09 王子製紙株式会社 Icチップ実装体
JP4012025B2 (ja) 2002-09-24 2007-11-21 大日本印刷株式会社 微小構造体付きフィルムの製造方法と微小構造体付きフィルム
JP4828088B2 (ja) 2003-06-05 2011-11-30 凸版印刷株式会社 Icタグ
JP2005105035A (ja) * 2003-09-29 2005-04-21 Asahi Schwebel Co Ltd プリプレグとその製造方法、及び積層板
JP4233433B2 (ja) 2003-11-06 2009-03-04 シャープ株式会社 表示装置の製造方法
JP2005156882A (ja) * 2003-11-25 2005-06-16 Kyocera Corp ポリマ光導波路フィルム
GB2409837B (en) * 2004-01-10 2006-09-06 Hexcel Composites Ltd Fibre reinforced resin assembly
JP4441805B2 (ja) * 2004-01-14 2010-03-31 コニカミノルタエムジー株式会社 Icカード、icモジュール及び非接触icカード用インレット
JP4761779B2 (ja) * 2004-01-23 2011-08-31 株式会社半導体エネルギー研究所 Idラベル、idカード、idタグ、及び物品
EP1709688A4 (en) * 2004-01-30 2014-12-31 Semiconductor Energy Lab SEMICONDUCTOR COMPONENT
JP2005212428A (ja) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd 離型性フィルム、フィルム付き基材とその製造方法および回路基板の製造方法
JP4939757B2 (ja) * 2004-02-04 2012-05-30 株式会社半導体エネルギー研究所 Idラベル、idタグ及びidカード
JP4716081B2 (ja) 2004-04-19 2011-07-06 ソニー株式会社 液晶表示装置の製造方法
US20050233122A1 (en) * 2004-04-19 2005-10-20 Mikio Nishimura Manufacturing method of laminated substrate, and manufacturing apparatus of semiconductor device for module and laminated substrate for use therein
KR101226260B1 (ko) * 2004-06-02 2013-01-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치 제조방법
US7591863B2 (en) * 2004-07-16 2009-09-22 Semiconductor Energy Laboratory Co., Ltd. Laminating system, IC sheet, roll of IC sheet, and method for manufacturing IC chip
JP2006041135A (ja) * 2004-07-26 2006-02-09 Sumitomo Bakelite Co Ltd 電子デバイスおよびその製造方法
KR101191094B1 (ko) * 2004-08-23 2012-10-15 가부시키가이샤 한도오따이 에네루기 켄큐쇼 무선 칩 및 그 제조 방법
JP4882256B2 (ja) * 2004-12-06 2012-02-22 凸版印刷株式会社 薄膜トランジスタ
US8749063B2 (en) 2005-01-28 2014-06-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP5100012B2 (ja) * 2005-01-28 2012-12-19 株式会社半導体エネルギー研究所 半導体装置及びその作製方法
JP2006207001A (ja) * 2005-01-31 2006-08-10 Alps Electric Co Ltd 磁性複合シートの製造方法
JP3968667B2 (ja) * 2005-04-28 2007-08-29 東洋紡績株式会社 Icカードまたはicタグ用熱接着性ポリエステルフィルム
TWI327105B (en) 2005-04-28 2010-07-11 Toyo Boseki Thermal adhesive polyester film, production method of ic card or ic tag using it, and ic card or ic tag
JP4896588B2 (ja) * 2005-05-31 2012-03-14 株式会社半導体エネルギー研究所 半導体装置
WO2006129816A1 (en) 2005-05-31 2006-12-07 Semiconductor Energy Laboratory Co., Ltd. Communication system and authentication card
US7727859B2 (en) * 2005-06-30 2010-06-01 Semiconductor Energy Laboratory Co., Ltd Semiconductor device and manufacturing method thereof
JP2007059821A (ja) * 2005-08-26 2007-03-08 Shinko Electric Ind Co Ltd 配線基板の製造方法
EP1995787A3 (en) 2005-09-29 2012-01-18 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device having oxide semiconductor layer and manufacturing method therof
JP5063066B2 (ja) * 2005-09-30 2012-10-31 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2007043285A1 (en) * 2005-09-30 2007-04-19 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
JP4251185B2 (ja) 2006-01-23 2009-04-08 ソニー株式会社 半導体集積回路カードの製造方法
KR100785038B1 (ko) 2006-04-17 2007-12-12 삼성전자주식회사 비정질 ZnO계 TFT
TWI431726B (zh) * 2006-06-01 2014-03-21 Semiconductor Energy Lab 非揮發性半導體記憶體裝置
KR101350207B1 (ko) * 2006-06-26 2014-01-13 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치를 포함하는 용지 및 그 제조 방법
JP5204959B2 (ja) * 2006-06-26 2013-06-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2008046396A (ja) 2006-08-17 2008-02-28 Sharp Corp 表示パネルおよび表示装置
TWI316296B (en) * 2006-09-05 2009-10-21 Ind Tech Res Inst Thin-film transistor and fabrication method thereof
JP2008091099A (ja) * 2006-09-29 2008-04-17 Sanyo Electric Co Ltd 積層式リチウムイオン電池
US7843011B2 (en) * 2007-01-31 2010-11-30 Freescale Semiconductor, Inc. Electronic device including insulating layers having different strains
EP1970951A3 (en) 2007-03-13 2009-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP5268395B2 (ja) * 2007-03-26 2013-08-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100873081B1 (ko) * 2007-05-29 2008-12-09 삼성모바일디스플레이주식회사 박막 트랜지스터, 그의 제조 방법 및 박막 트랜지스터를구비하는 평판 표시 장치
EP2001047A1 (en) * 2007-06-07 2008-12-10 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device
JP2009087928A (ja) 2007-09-13 2009-04-23 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
KR101596698B1 (ko) * 2008-04-25 2016-02-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치 제조 방법
JP5473413B2 (ja) * 2008-06-20 2014-04-16 株式会社半導体エネルギー研究所 配線基板の作製方法、アンテナの作製方法及び半導体装置の作製方法

Also Published As

Publication number Publication date
CN102067281A (zh) 2011-05-18
JP2016042588A (ja) 2016-03-31
TWI549186B (zh) 2016-09-11
US20140131852A1 (en) 2014-05-15
TWI564962B (zh) 2017-01-01
JP2015005769A (ja) 2015-01-08
JP2021082835A (ja) 2021-05-27
US20120161297A1 (en) 2012-06-28
JP6177854B2 (ja) 2017-08-09
CN102067281B (zh) 2013-06-12
JP2018006776A (ja) 2018-01-11
KR101596698B1 (ko) 2016-02-24
JP2017212455A (ja) 2017-11-30
JP2009283929A (ja) 2009-12-03
US9171808B2 (en) 2015-10-27
JP2020074497A (ja) 2020-05-14
JP2022177258A (ja) 2022-11-30
TW201001540A (en) 2010-01-01
JP6446517B2 (ja) 2018-12-26
KR20110006695A (ko) 2011-01-20
TW201515105A (zh) 2015-04-16
US20090267225A1 (en) 2009-10-29
TW201513223A (zh) 2015-04-01
JP5833719B2 (ja) 2015-12-16
JP7150908B2 (ja) 2022-10-11
US8133749B2 (en) 2012-03-13
KR20150013337A (ko) 2015-02-04
KR101563138B1 (ko) 2015-10-26
TWI475613B (zh) 2015-03-01
JP2019057725A (ja) 2019-04-11
WO2009131132A1 (en) 2009-10-29
JP6665264B2 (ja) 2020-03-13
JP6842579B2 (ja) 2021-03-17
US8637932B2 (en) 2014-01-28

Similar Documents

Publication Publication Date Title
JP6446517B2 (ja) 半導体装置
JP5443821B2 (ja) 半導体装置
JP5366517B2 (ja) 半導体装置の作製方法
JP5403979B2 (ja) 半導体装置
JP5394682B2 (ja) 半導体装置
JP2009076879A (ja) 半導体装置

Legal Events

Date Code Title Description
A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20170830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170905

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171003

R150 Certificate of patent or registration of utility model

Ref document number: 6223623

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250