TWI671423B - 用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物 - Google Patents

用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物 Download PDF

Info

Publication number
TWI671423B
TWI671423B TW104104648A TW104104648A TWI671423B TW I671423 B TWI671423 B TW I671423B TW 104104648 A TW104104648 A TW 104104648A TW 104104648 A TW104104648 A TW 104104648A TW I671423 B TWI671423 B TW I671423B
Authority
TW
Taiwan
Prior art keywords
sealing layer
titanium dioxide
plasma
depositing
layer
Prior art date
Application number
TW104104648A
Other languages
English (en)
Other versions
TW201546312A (zh
Inventor
珊卡 史旺明內森
法蘭克L 帕斯果
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201546312A publication Critical patent/TW201546312A/zh
Application granted granted Critical
Publication of TWI671423B publication Critical patent/TWI671423B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)

Abstract

文中的實施例係關於用以在MRAM與PCRAM應用中的記憶體疊層上方沉積密封層的方法與設備。密封層為以原子層沉積反應所沉積的一二氧化鈦(TiO2 )層。在某些實施例中,密封層可被沉積為一雙層,其中一惠電層形成於一保護層上。在某些實施例中,相鄰記憶體疊層之間的間隙可被例如以原子層沉積反應或化學氣相沉積反應所形成的鈦氧化物填滿。

Description

用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物
本發明係關於用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物。
隨著計算裝置的興起與廣泛使用,記憶體應用變得愈來愈重要。記憶體裝置是一種儲存裝置,其能保留依需要而被存取及輸出的資訊或數據。市面上有幾種不同的記憶體裝置。動態隨機存取記憶體(DRAM)是一種隨機存取記憶體,其將每一位元的數據儲存在積體電路的一分離電容器中。電容器可被充電或放電而代表一個位元的兩個可能的值(傳統上為0與1)。DRAM已被使用了很長的一段時間。記憶體應用的最新發展包含例如磁性隨機存取記憶體(MRAM)與相變隨機存取記憶體(PCRAM)。
一般而言,記憶體裝置包含複數個能編碼資訊的獨立記憶胞。此些獨立的記憶胞係彼此分離,故其在功能上是隔離的。這樣的隔離確保記憶胞彼此不干擾俾使記憶胞能以期望的方式作用。在某些情況中,記憶胞係外襯以 一密封層。相鄰之具有外襯之記憶胞之間的間隙係被一隙填介電材料填滿。密封層以及經填滿的間隙具有隔離相鄰記憶胞的功能。
文中的某些實施例係關於在記憶體應用如MRAM與PCRAM中的記憶體疊層上沉積密封層的方法與設備。密封層為透過原子層沉積反應而沉積的一二氧化鈦(TiO2)層。在某些實施例中,密封層可被沉積為一雙層,在此雙層中一惠電層(electrically favorable layer)被形成在一保護層上。在某些實施例中,相鄰記憶體疊層之間的間隙可被例如以原子層沉積反應或化學氣相沉積反應所形成的鈦氧化物所填滿。
在文中實施例的一態樣中,提供一種在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其特徵在於包含下列步驟:(a)將一基板置於一反應室中,該基板上具有複數磁性隨機存取記憶體(MRAM)疊層或複數相變隨機存取記憶體(PCRAM)疊層;(b)使一含鈦反應物流入該反應室中並使該含鈦反應物吸附至該基板的一表面上;(c)使一含氧反應物流入該反應室中並使該含氧反應物吸附至該基板的該表面上;及(d)將該反應室暴露至一電漿以驅動該含鈦反應物與該含氧反應物之間的一表面反應,藉此在該複數MRAM疊層或該複數PCRAM疊層上方保形地沉積一二氧化鈦密封層。在一原子層沉積製程中,此步驟程序可重覆複數次反覆動作或複數循環。
在某些實施例中,含氧反應物是一弱氧化劑或包含一弱氧化劑,如非元素氧(O3或O2)的氧化劑。在某些情況中,該含氧反應物係實質上不具有強氧化劑。
在某些實施例中,重覆該方法以在該密封層上形成一第二密封層。兩密封層在不同的沉積條件下沉積並且/或具有不同的特性。在沉積該第二密封層期間,該含氧反應物可選自由下列者所構成的族群:氧氣、一氧化二氮、一氧化碳、一氧化氮、二氧化氮、二氧化碳、臭氧、氧化硫、二氧化硫、含氧之烴、水、過氧化氫、烷醇及上述者的組合。
用以形成該密封層的製程條件可依應用而適當地變化。在某些情況中,將用以產生電漿的一RF功率維持在低於約125W/沉積站且每一次反覆(如一原子層沉積製程的一循環)將該基板暴露至該電漿的時間約為0.5秒或更短。又例如,在沉積該第二密封層期間,將用以產生電漿之RF功率維持在高於約500W/站且每一次反覆將該基板暴露至該電漿的時間約為0.5秒或更長。在使用該第二密封層的實施例中,在沉積該第二密封層期間,可將用以產生電漿之RF功率維持高於約500W/站且每一次反覆將該基板暴露至該電漿的時間約為0.5秒或更長。在某些實施例中,在約250℃或更低的一溫度下進行該方法。
在某些實施例中,該方法在沉積該二氧化鈦密封層後包含一額外步驟,此額外步驟涉及在相鄰之該MRAM疊層或該PCRAM疊層之間的複數間隙中沉積一介電材料。在某些情況中,沉積該密封層與沉積該介電材料於該些間隙中係於相同的反應室中進行而未移除該基板。該些間隙可被一二氧化鈦填滿且此二氧化鈦的反應與反應條件係與用以沉積該密封層的反應與反應條件相同,或者該些間隙可被一二氧化鈦填滿且此二氧化鈦的反應條件係不同於用以沉積該密封層的反應條件。在一第二替代方案中,可以較高的沉積速度填充該些間隙,此較高的沉積速度係高於用以沉積該密封層的沉積速度。
在某些實施例中,該密封層具有介於約20-500Å的一厚度。在某些實施例中,該密封層為一氣密封(hermetic seal)。在某些實施例中,該密封層不會實質上或選擇性地氧化該MRAM疊層或該PCRAM疊層中的任何材料。在某些實施例中,該密封層在高達至少約500℃的溫度不會實質上脫附氫。
本發明之另一態樣提供一種在已部分製造完成的半導體基板上沉積材料的設備。此類設備的特徵在於下列特徵:(a)反應室;(b)一反應室入口,用以將氣相反應物導入該反應室;(c)一反應室出口,用以自該反應室移除材料;(d)一電漿產生器,用以將該反應室暴露至電漿;及(e)一控制器,用以進行包含下列者的步驟:(i)於該反應室中接收一基板,該基板上具有複數磁性隨機存取記憶體(MRAM)疊層或複數相變隨機存取記憶體(PCRAM)疊層;(ii)使一第一含鈦反應物流入該反應室中並使該第一含鈦反應物吸附至該基板的一表面上;(iii)使一第一含氧反應物流入該反應室中並使該第一含氧反應物吸附至該基板的該表面上;及(iv)利用該電漿產生器產生一第一電漿並將該反應室暴露至該第一電漿以驅動該第一含鈦反應物與該第一含氧反應物之間的一表面反應,藉此在該複數MRAM疊層或該複數PCRAM疊層上方保形地沉積一第一二氧化鈦密封層。
在某些實施例中,該控制器更用以:(v)使一第二含鈦反應物流入該反應室中並使該第二含鈦反應物吸附至該基板的該表面上;(Vi)使一第二含氧反應物流入該反應室中並使該第二含氧反應物吸附至該基板的該表面上;及(vii)利用該電漿產生器產生一第二電漿、並將該反應室暴露至該第二電漿以驅動該第二含鈦反應物與第二含氧反應物之間的一表面反應,藉此在該第一二氧化鈦密封層上保形地沉積一第二二氧化鈦密封層。在某些實施例中,該第一二氧化鈦密封層的沉積條件係不同於該第二二氧化鈦密封層的沉積條件。在某些實 施例中,該第一含氧反應物包含一弱氧化劑而該第二含氧反應物包含O2及/或N2O。
在一控制器配方中所配置的製程條件可隨著應用而適當地變化。例如,該控制器可用以在約125W/站或更低的一RF功率下產生該第一電漿,且將該反應室暴露至該第一電漿、每次暴露約0.5秒或更短。該控制器更可用以在約500W/站或更高的一RF功率下產生該第二電漿,且將該反應室暴露至該第二電漿、每次暴露約0.5秒或更長。
下面將參考圖示說明此些與其他特徵。
100‧‧‧磁穿隧接面
101‧‧‧第一鐵磁層(自由層)
103‧‧‧絕緣阻障層
105‧‧‧第二鐵磁層(固定層)
300‧‧‧磁穿隧接面
304‧‧‧鐵磁層(自由層)
305‧‧‧基板
306‧‧‧晶種層
308‧‧‧釘扎鐵磁層
310‧‧‧穿隧阻障層
312‧‧‧釘扎結構
314‧‧‧第二鐵磁層
316‧‧‧非磁性間隔層
318‧‧‧反鐵磁釘扎層
320‧‧‧蓋層
410‧‧‧導電層
420‧‧‧第一介電層
430‧‧‧下電極
440‧‧‧第二電極
450‧‧‧加熱元件
460‧‧‧相變材料
470‧‧‧第二介電層
500‧‧‧方法
501‧‧‧使第一反應物流入反應室並使第一反應物吸附至基板表面上
503‧‧‧吹淨反應室
505‧‧‧使第二反應物流入反應室並使第二反應物吸附至基板表面上
507‧‧‧將反應室暴露至電漿將驅動第一與第二反應物之間之反應而形成鈦氧化物之薄層
509‧‧‧吹淨反應室
511‧‧‧膜層已沉積至充分厚度?
513‧‧‧結束沉積
600‧‧‧基板
601‧‧‧記憶體疊層
602‧‧‧雙層密封層
604‧‧‧保護層
605‧‧‧惠電層
700‧‧‧基板
701‧‧‧記憶體疊層
702‧‧‧雙層材料
704‧‧‧保護層
705‧‧‧惠電層
800‧‧‧記憶體裝置
801‧‧‧記憶體疊層
802‧‧‧密封層
803‧‧‧隙填材料
805‧‧‧基板
900‧‧‧製程站
901‧‧‧反應物輸送系統
902‧‧‧製程室體
903‧‧‧蒸發點
904‧‧‧混合容器
906‧‧‧噴淋頭
907‧‧‧微體積
908‧‧‧平臺
910‧‧‧加熱器
912‧‧‧基板
914‧‧‧電源
916‧‧‧匹配網路
918‧‧‧蝶閥
950‧‧‧調節層
1000‧‧‧多站製程設備
1002‧‧‧入口加載互鎖機構
1004‧‧‧出口加載互鎖機構
1006‧‧‧機器人
1008‧‧‧艙
1010‧‧‧大氣接口
1014‧‧‧製程室
1016‧‧‧傳送接口
1018‧‧‧平臺
1050‧‧‧系統控制器
1052‧‧‧處理器
1054‧‧‧大量儲存裝置
1056‧‧‧記憶體裝置
1058‧‧‧系統控制軟體
1090‧‧‧晶圓搬運系統
1101‧‧‧矽底層
1102‧‧‧矽氧化物
1103‧‧‧氮化物層
圖1、2A與2B顯示一基本的磁穿隧接面結構。
圖3顯示磁穿隧接面結構的一較複雜版本。
圖4顯示相變記憶體疊層的一實例。
圖5為在記憶體疊層上形成密封層之方法的流程圖。
圖6顯示一雙層密封層。
圖7顯示在數個記憶體疊層上方的一雙層密封層。
圖8顯示具有記憶體疊層之基板的簡化橫剖面圖,其中記憶體疊層外襯有一密封層且記憶體疊層之間的間隙係被一隙填材料填滿。
圖9顯示用以施行文中實施例之一反應室的一實例。
圖10顯示可用以施行文中實施例的一多站設備。
圖11顯示其上具有經保形沉積之一層鈦氧化物的基板。
圖12之表顯示在圖11中所示之基板的不同位置處的薄膜厚度。
圖13顯示一拉伸TEOS薄膜在其上具有鈦氧化物密封層及不具有鈦氧化物密封層時的應力變化。
圖14之兩圖顯示鈦氧化物薄膜與矽氮化物薄膜之薄膜穩定性與氣體脫附。
圖15顯示一基板,其襯有一層鈦氧化物且此層鈦氧化物亦填滿相鄰特徵部之間的間隙。
在本案中,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」及「部分製造完成之積體電路」等詞可互換使用。本領域中具有通常知識者當能瞭解,「部分製造完成之積體電路」可指於矽晶圓上進行之積體電路製造之眾多階段中之任何階段期間的矽晶圓。在半導體裝置業界中所用的晶圓或基板通常具有200mm、或300mm、或450mm的直徑。然而,本發明並不限於此。工作件可具有各種形狀、尺寸與材料。除了半導體晶圓之外,可受惠於本發明之其他類型的工作件包含製造各種物品如印刷電路板等。
文中所提供的流率以及功率位準係適合用於處理300mm基板。熟知此項技藝者應瞭解,可針對其他尺寸的基板視需要調整此些流率以及功率。此些參數可基於基板面積線性地縮放。例如300mm晶圓所用之500W/站的RF功率係相當於450mm晶圓所用之1125W/站的RF功率。又,300mm晶圓用之1mL/min的流率係相當於450mm晶圓用之2.25mL/min的流率。
在下面的敘述中,列舉許多特定細節以提供對本發明實施例的全盤瞭解。可在缺乏一些或全部此些特定細節的情況下實施文中實施例。在其他 情況中,不詳細說明習知之製程操作以免不必要地模糊文中實施例的焦點。雖然利用特定實施例來說明文中的實施例,但應瞭解,本發明不限於所揭露的實施例。
記憶體晶片包含許多獨立的記憶胞。記憶胞儲存位元資訊(如1與0的形式)俾使此些位元資訊可依需要受到存取。為了儲存大量的資訊,記憶胞以彼此相近的方式堆積在積體電路上。為了使記憶胞在高密度下能適當地操作,必須使記憶胞彼此隔離。否則,記憶胞可能會彼此干擾且防礙裝置依期望運作。
可使用一密封層協助記憶胞彼此隔離。密封層可以是以保形方式披覆記憶胞之一相對薄的襯層。密封層可由介電材料所製成。在許多情況中,使用矽系材料(如矽氧化物、矽氮化物或矽碳化物)作為密封層。在以密封層披覆記憶胞後,相鄰之經密封的記憶體胞之間會留有間隙。可以隙填材料填滿此些間隙。在各種情況中,隙填材料為同時具有隔離記憶胞之功能以及對裝置提供機械支撐功能的介電材料。常見的隙填材料包含矽系材料如矽氧化物、矽氮化物及矽碳化物。
密封層要能有商業用途必須要滿足某些需求。在許多情況中,密封層必須要能在相對低的溫度(通常低於約250℃)下沉積。然而,密封層即便在較高的溫度(如上至約500℃)下應要維持穩定,以在製造期間耐受熱退火。又,密封層應具有高氣密性。換言之,密封層應為一良好的水氣阻障層。另一期望的特性為,密封層不應脫附氫。已証明矽系材料適合附合此些需求。然而,以原子層沉積(ALD)沉積的鈦氧化物可提供此些相同的特性且提供施行密封層、甚至隙填材料的一新技術。鈦氧化物具有極佳的保形性(如100%保形性)、 在室溫下即便薄到約30Å還具有氣密性、在高達至少約500℃的溫度下是穩定的且不會脫附氫。
本發明實施例的一應用為磁性隨機存取記憶體(MRAM)。MRAM裝置藉著磁性儲存元件來儲存數據。MRAM是有利的,因為其允許密集的數據儲存、只需要相對低的能量且是非揮發性的(意即,就算裝置失去能量供給,數據仍受到保存)。
MRAM裝置使用已知的磁性穿隧接面(MTJ)結構。一個MTJ包含被一薄絕緣層(有時亦被稱為穿隧阻障層)所分隔的兩鐵磁層。電流可藉著穿隧絕緣層而自一鐵磁層流至另一鐵磁層。兩鐵磁層中的一者具有固定的磁場。此層被稱為固定層或參考層。另一鐵磁層具有可藉著驅動電流而改變的一磁場。此鐵磁層被稱為自由層。取決於自由層的磁場方向,固定層與自由層的磁場可為相同方向或相反方向。當兩個磁場為對準/平行時,記憶胞係處於低電阻值狀態,代表「0」。當兩個磁場為相反方向/反平行時,記憶胞係處於高電阻值狀態,代表「1」。
圖1顯示一磁穿隧接面100的一實例。第一鐵磁層101具有可變磁場,因此被視為是自由層101。第二鐵磁層105具有固定磁場,因此被視為是固定層。自由層101與固定層105係藉由絕緣阻障層103而彼此分隔,絕緣阻障層103例如可由AlO或MgO所製成。上電極與下電極(未顯示)可分別連接至自由層101與固定層105。
圖2A顯示處於高電阻值反平行狀態之圖1的MTJ。圖2A中的箭頭代表磁場的方向。由於兩鐵磁層101與105具有方向相反的磁場,因此表現出反平行高電阻值狀態。在此狀態下的記憶胞代表「1」。圖2B顯示處於低電阻值平 行狀態之圖1之MTJ。如在圖2A中,箭頭代表磁性層101與105的磁場方向。此處,由於兩者的磁場在相同方向,所以記憶胞係處於低電阻值平行狀態,其代表「0」。
鐵磁層可以單層提供或以複數獨立子層的疊層提供。圖3顯示形式更複雜之一磁穿隧接面300的一實例。MTJ 300包含由鎳鐵(NiFe)材料所形成的自由鐵磁層304。此自由鐵磁層304具有感應層的作用且係形成在晶種層306上。在此實例中,晶種層306係由鉭所形成。晶種層係直接形成在基板305上。自由鐵磁層304與釘扎鐵磁層308係被穿隧阻障層310所隔離。在此實例中,穿隧阻障層310係由鋁氧化物(AlOx)所形成而釘扎鐵磁層308係由鈷鐵材料(CoFe)所形成。釘扎鐵磁層308形成釘扎結構312的一部分,其可以合成式反磁鐵(SAF)的形式提供。在美國專利US 7,072,209與US 8,535,954中更進一步地探討SAF及其他MRAM結構,將上述兩者的所有內容包含於此作為參考。
釘扎鐵磁層308的磁場方向是固定的。此層的磁場方向是藉由第二鐵磁層314而維持在一固定狀態,在此實例中第二鐵磁層314可由鈷鐵或鎳鐵材料所製成。第二鐵磁層314與釘扎鐵磁層308係藉由非磁性間隔層316而彼此隔離。在此實例中,非磁性間隔層316係由一釕層所形成。非磁性間隔層316在釘扎結構312中的兩個鐵磁層308與314之間產生反鐵磁耦合。又,在此實例中反鐵磁釘扎層318係由銥錳(IrMn)材料所形成,且可被提供於第二鐵磁層314上方。反鐵磁釘扎層318作用以確定第二鐵磁層314之磁矩方向。一釘扎場被產生而固定釘扎鐵磁層308的磁矩。MTJ亦可包含蓋層320。
雖然MRAM裝置可具有不同的結構,但基本的MRAM裝置通常包含作為固定/參考層的至少一磁性層、作為自由/感應層的至少一磁性層以及在兩磁性層之間作為穿隧阻障層的至少一膜層。
本發明實施例的另一應用為相變隨機存取記憶體(PCRAM)。PCRAM善用硫屬化物玻璃的獨特行為,硫屬化物玻璃是一種可在非晶態與結晶態之間轉換的材料。圖4顯示相變記憶體胞的橫剖面圖。相變記憶胞包含導電層410、下電極430、相變材料460、第二電極440及加熱元件450。下電極430係位於第一介電層420中,加熱元件450係位於第二介電層470中。相變記憶胞可形成在半導體基板上。
相變材料460通常為一硫屬化物玻璃材料,但亦可使用在受到加熱或移除熱時能自結晶態轉變為非晶態或自非晶態轉變為結晶態的其他材料。由於非晶態之硫屬化物材料的電阻率係明顯高於結晶態者,因此相變材料可用以儲存數據。非晶的高電阻態係用以代表一個位元值(0或1),結晶的低電阻態係用以代表另一位元值。
導電層410可以是利用相變材料以寫入或讀取資訊的定址線如行線、列線、位元線或字元線。導電層可連接至外部定址電路。
電極係由導電材料所製成。在圖4的實例中,下電極430為例如鎢插塞之一插塞。第一介電層420可以是一矽氧化物、矽氮化物或任何類型的電絕緣材料。第二介電材料470為電絕緣與熱絕緣的,且可由與介電層420相同或相異的材料製成。在某些實施例中,介電材料420及/或470可以是鈦氧化物。
加熱元件450為回應流過相鄰電極(即下電極430之電流)而產生熱的材料。加熱元件450的電阻率比電極430的電阻率高,以自電流產生熱。在一操作中,電流或電壓脈衝被施加至加熱元件以產生熱。加熱元件所產生的熱會將相變材料加熱至高於其結晶溫度、但低於其熔融溫度的一溫度,以達到一結晶態(通常代表位元值1)。為了將相變材料變回其非晶態,加熱元件將相變材 料加熱至高於其熔點的溫度。接著將相變材料淬火至非晶態(通常代表位元值0)。PCRAM裝置可以有不同的可能配置,但PCRAM裝置通常包含相變材料及兩導電電極之間的加熱材料。美國專利號第US 8,450,772與美國專利號第US 8,243,506中更進一步地探討了PCRAM結構,將上述兩者的所有內容包含於此作為參考。
在MRAM與PCRAM應用中,每一記憶胞皆可用以編碼一個位元的資訊(如一個「0」或「1」)。記憶胞係以列與行的方式配置,且必須彼此隔離以避免記憶胞之間干擾。隔離相鄰記憶胞的一個方法是將其襯於密封層中。密封層能協助避免相鄰記憶胞之間的干擾且亦能保護記憶胞不受環境的損害、如避免水氣穿透記憶胞。
為了被用於某些商業應用,密封層應該要符合各種標準。在許多的記憶體應用中,記憶體疊層(即形成記憶體胞的複數材料層)對於氧化非常地敏感。當記憶體疊層發生氧化時,疊層材料會退化並失去電及/或磁的完整性。是以,應在沉積過程中以避免記憶體疊層氧化的方式沉積密封層。又,考量在沉積密封層期間發生的極少量氧化,氧化不應在記憶體疊層的不同層之間以非均勻的方式發生。換言之,導致某些記憶體疊層材料氧化但其他疊層材料不氧化的沉積製程是不理想的。又,密封層應提供適當的保護以避免下方記憶體疊層材料在沉積後發生氧化。
會影響記憶體疊層材料之氧化程度的一標準是沉積密封層的溫度。密封層通常是經由如原子層沉積之氣相技術所沉積,後續會更進一步地解釋之。對於某些記憶體應用而言,密封層應在低於約250℃的溫度下沉積。在較 高的溫度下,記憶體疊層中的材料會開始氧化,藉此損害記憶體疊層的品質與效能。
會影響記憶體疊層材料之氧化程度的另一標準是密封層的氣密性。氣密性係指一材料阻擋水氣傳播的能力。密封層應具有充足的氣密性以避免水氣穿入記憶體疊層中。若水氣穿過密封層,水氣容易導致下方記憶體疊層材料的氧化,藉此損害記憶胞。上方膜層的氣密性可藉由下方拉伸TEOS薄膜因暴露至大氣環境所造成的應力降低來量測。如在實驗段落會更進一步說明的圖13中所示,在無氣密阻障層時,拉伸TEOS薄膜的應力會因為暴露至大氣環境而劇烈地減少。例如拉伸5kÅ的TEOS薄膜在暴露至大氣環境約一個禮拜後,其應力會自109dynes/cm2降低至-109dynes/cm2-降低超過100%。以氣密薄膜覆蓋拉伸TEOS薄膜在經過一個禮拜的暴露時間後,下方拉伸TEOS薄膜的應力變化會少於10%。
在上至約500℃的溫度下,密封層亦應是穩定的。否則,密封層可能會在如熱退火期間的後續製程退化。相關的備註是,密封層不應脫附氫。否則,氫會貫穿記憶體疊層材料並使其退化。在MRAM的文義下,例如氫的脫附可能會影響自由鐵磁層的電阻式開關行為。在許多情況中,期望密封層以保形方式沉積以獲得良好的階梯覆蓋率。在本文敘述中,「階梯覆蓋率」被定義為兩個厚度的比值、即特徵部內部之材料厚度除以接近特徵部開口之材料厚度。在本文中,「特徵部內部」代表:特徵部的中間部分,其約位於特徵部沿著特徵部軸的中點,例如沿著自特徵部開口量測之特徵部深度介於約25%至75%距離處,或者在某些實施例中介於約40%至60%的距離處;或特徵部的末端部,其約位於沿著自特徵部開口量測之特徵部軸介於約75%至95%的距離處。「接近 特徵部開口」一詞代表位於開口邊緣或代表開口邊緣之其他元件之25%內、或更具體而言為10%內的特徵部上部。例如藉著在特徵部中間或接近底部填充較厚的厚度而在特徵部開口填充較薄的厚度,可獲得超過100%的階梯覆蓋率。
密封層通常是經由化學氣相沉積(CVD)或原子層沉積(ALD)技術所沉積的矽系材料。ALD製程提供較佳的階梯覆蓋率但沉積較慢。CVD技術沉積較快但提供較差的階梯覆蓋率。相較於較傳統的CVD方法,脈衝電漿CVD技術已被用來改善階梯覆蓋率。然而,脈衝電漿CVD方法會導致將氫擴散至記憶體疊層中的低氣密性薄膜。
CVD與電漿輔助化學氣相沉積(PECVD)有時為沉積密封層的方法選項。在一CVD反應中,將一或多種氣相反應物提供至反應室中,氣相反應物在反應室中被沉積至基板表面上之前會先在氣相中反應。當使用PECVD時,反應係受到電漿暴露所驅動。CVD反應的特徵在於氣相反應。
CVD的一代替方案為ALD製程以及電漿輔助原子層沉積(PEALD)製程。除非另外特別指出,否則在下面的敘述中ALD一詞意在包含PEALD且CVD一詞意在包含PECVD。ALD方法涉及直接發生在基板表面上之反應物氣體的循環性自我限制吸附以及反應。ALD製程可在高深寬比的特徵部中提供薄且保形性的介電薄膜。ALD方法已被發展用來沉積矽氧化物以及其他類型的薄膜。ALD所形成的薄膜極薄(如約一單層);因此,可重覆多個ALD循環以適當地填滿間隙圖案。
相對於使用經活化之氣相反應沉積薄膜的CVD製程,ALD製程使用表面媒介沉積反應一層接著一層地沉積薄膜。在ALD製程的一實例中,將具有眾多表面活性位置的基板表面暴露至第一薄膜前驅物(P1)的氣相分佈。P1 的某些分子可在基板表面上形成一濃縮相,此濃縮相包含化學吸附之物種以及物理吸附之P1分子。接著,排空反應室俾以移除氣相及物理吸附P1,故只留下化學吸附的物種。接著將第二薄膜前驅物(P2)導入反應室,俾使P2的某些分子吸附至基板表面。接著可再次排空反應室,這次移除未受束縛的P2。接著,提供至基板的能量(如熱能或能漿能)熱可活化P1與P2之已吸附分子間的表面反應,以形成一薄膜層。最後,排空反應室以移除反應副產物以及可能未反應的P1與P2,結束此ALD循環。可包含額外的ALD循環以建立薄膜厚度。
取決於前驅物給劑步驟的暴露時間以及前驅物的黏附係數,在一實例中,每一ALD循環可沉積介於約0.5Å-3Å厚的一膜層。
針對小特徵部尺寸,可取代CVD的一可行相關沉積技術為保形薄膜沉積(CFD)。一般而言,CFD不依賴在反應形成薄膜前完全地吹淨一或多種反應物。例如,當電漿(或其他活化能)擊發時,在氣相中可能存在著一或多種反應物。因此,在一例示性的CFD製程中可縮短或消除ALD製程中所述之製程步驟中的一或多者(如吹淨步驟)。在某些情況中,可連續地提供反應物。被連續提供的反應物通常是一種共反應物/輔助反應物(相對於主要反應物,例如能對最終薄膜貢獻矽的反應物,共反應物/輔助反應物則例如是含氧或含氮反應物)。即便是在CFD製程中,當反應室被暴露至電漿時,通常必須要使反應室中尚未吸附之主要反應物的量最少化。否則,可能會導致氣相CVD反應。能夠進行ALD製程的反應室通常亦能進行CFD製程,因此如本文所用,CFD製程被視為是一種ALD製程。
密封層通常係由矽系材料所形成。然而,已發現經由PEALD技術所沉積的鈦氧化物能滿足上述對商用密封層所列舉的所有標準。尤其,鈦氧 化物薄膜顯示出優異的階梯覆蓋率(如約100%的階梯覆蓋率)、在高達至少約500℃的溫度仍穩定、且不會脫附氫。又,在許多方面能最少化沉積期間對下方磁性疊層材料的氧化損害。
圖5顯示經由PEALD製程沉積鈦氧化物密封層之方法的一流程圖。方法500始於步驟501處,在此處使第一反應物流入反應室中並使其吸附至基板表面上。在一實例中,第一反應物包含四(二甲氨基)鈦(TDMATi,[(CH3)2N]4Ti)。其他潛在的第一反應物包含例如二(第三丁基環戊二烯基)二氯化鈦(IV)(C18H26Cl2Ti)、四(二乙氨基)鈦([(C2H5)2N]4Ti)、四(乙基甲基氨基)鈦([(CH3C2H5)N]4Ti)、二異丙氧基二(2,2,6,6-四甲基-3,5-庚二酸)鈦(Ti[OCC(CH3)3CHCOC(CH3)3]2(OC3H7)2)、異丙氧基鈦(IV)(Ti[OCH(CH3)2]4)及四氯化鈦(TiCl4),但本發明的實施例不限於此。
第一反應物可流入反應室的期間介於約0.1-5秒,例如約1秒。在反應物蒸發前量測到第一反應物可以介於約0.5-3mL/min的流率流動,如介於約0.5-1mL/min的流率。反應室中的溫度可介於約50-300℃。在各種情況中,反應溫度係低於約250℃。反應室中的壓力可能介於約1-10Torr,如介於約2-4Torr。
方法繼續步驟503,在步驟503處吹淨反應室。吹淨可包含排空反應室及/或利用不包含欲被吹淨之物種的氣體掃除反應室。在此實例中,適合的掃除氣體為不包含第一反應物的氣體。吹淨期間可介於約0.1-25秒。當使用掃除氣體時,在吹淨期間的掃除氣體流率可介於約5-60slm。吹淨的目的在於自反應室移除尚未吸附的第一反應物。
接下來,在步驟505處,使第二反應物流入反應室中、並使第二反應物吸附至基板表面上。在一實例中,第二反應物包含二氧化碳(CO2)。或者 或額外地,第二反應物可包含一氧化碳(CO)、一氧化氮(NO)、一氧化二氮(N2O)、二氧化氮(NO2)、氧氣(O2)、臭氧(O3)、氧化硫(SO)、二氧化硫(SO2)、含氧之烴(CxHyOz)、水(H2O)、過氧化氫(H2O2)、烷醇(如甲醇、乙醇等)、上述者之混合物等。可供應第二反應物介於約0.1-5秒的時間,如介於約0.5-1秒。
在步驟507處,將反應室暴露至電漿,以驅動第一與第二反應物在基板表面上的反應而形成鈦氧化物的薄層。每一反應循環可沉積約一單層材料。可使用各種類型的電漿驅動此反應,包含電容式電漿以及感應耦合式電漿。可使用不同類型的電漿產生器,包含RF、DC及微波電漿產生器。又,根據各種實施例,電漿可以是直接或遠端。當使用RF產生器時,用以驅動電漿形成之RF功率可介於約50-2500W/站,如約625W/站。在一單一反應室中可以有複數個站點。在一實例中,單一反應室中有四個單獨的站點,但可使用額外或更少的站點。用以產生電漿的頻率可包含高頻(HF)及/或低頻(LF)分量。在某些實施例中,用以產生電漿的頻率可介於約13.56-40MHz,如約13.56MHz。電漿暴露的時間可介於約0.1-5秒,如介於約0.5-1秒。在某些實施例中,步驟505與507可部分同時地進行,俾以在產生電漿時將第二反應物供給至反應室。接下來,在步驟509處進行吹淨。此吹淨可以排空及/或掃除反應室的方式進行,以協助移除任何尚未吸附的物種及薄膜副產物。可重覆該方法以形成具有期望厚度的薄膜。是以,在步驟511中,將沉積薄膜的厚度與期望膜厚相比。若薄膜已達到足夠的厚度,在步驟513處結束沉積。另一方面,若沉積未達到足夠的厚度,可在步驟501處重覆本方法。在某些情況中,將密封層沉積至至少約20Å或至少約30Å的厚度。密封層可具有介於約20-500Å的厚度,如介於約30-100Å。在某些實施例中,密封層通常能以介於約0.6-1.1Å/循環的速度成長。
在某些實施例中,需額外注意在沉積鈦氧化物密封層期間,使對下方記憶體疊層材料的任何氧化最少化。最少化下方材料之氧化的一方法是使用溫和的氧化反應物。在圖5的文義中,氧化反應物為第二反應物。溫和/弱氧化反應物的實例包含CO2及含氧之烴。不算是溫和的氧化反應物之反應物的一實例為元素氧(O2或O3)。在沉積密封層期間,能最小化下方記憶體疊層材料之氧化的另一方法為使用溫和的電漿反應。此些溫和的電漿反應有時被稱為「軟著陸」條件。電漿反應的相對強硬取決於用以產生電漿的功率(較高的功率導致較強硬的電漿)及電漿暴露的時間(較長的電漿暴露則較強硬)。當期望電漿軟著陸時,可將RF功率維持在低於約125W/站,如介於約50-125W/站,且電漿暴露時間可約0.5秒或更短,如介於約0.1-0.5秒。
最小化對基板之氧化損害的另一方法是沉積雙層之密封層。第一層可以是一保護層(有時亦被稱為第一密封層),而第二層可以是一惠電層(electrically favorable layer)(有時亦被稱為第二密封層)。保護層可被直接沉積在記憶體疊層上,且可在溫和氧化條件下沉積例如以溫和氧化劑(如CO2)及/或以電漿軟著陸(如在形成保護層期間,用以產生電漿之RF功率可約為125W/站或更少,且電漿暴露可約為0.5秒或更短)進行沉積。在某些實施例中,惠電層的形成溫度係高於保護層的形成溫度。第一層避免或最小化疊層材料的氧化。然而,此保護層可能會具有較差的電特性。例如保護層可能因為前驅物不充分的氧化轉變而具有低崩潰電壓(BDV)與高漏電流。相較於惠電層,保護層可能具有較高碳含量及/或較高氫含量。此些相對高的碳及/或氫位準可能會導致保護層的不良電品質。
為了補救保護層的不良電品質,將此層與一額外的惠電層整合至一雙層中。惠電層可被直接沉積至保護層上。由於保護層存在而屏蔽下方記憶體疊層材料不受氧化,可使用更激烈的氧化條件沉積惠電層而毋需擔心損傷下方材料。可利用較不溫和的氧化反應沉積惠電層。例如,可利用O2、N2O或其混合物沉積惠電層。可以相對強烈的電漿反應沉積惠電層。在此情況中,用以沉積惠電層的RF功率可至少約為500W/站或至少約為625W/站。在形成惠電層期間,單一ALD循環的電漿暴露時間可至少約為0.5秒,如至少約為1秒。
當密封層被沉積為一雙層時,保護層應充分地厚,以保護下方材料不受氧化(意即該膜層必須夠厚以提供充分的氣密性)。又,保護層應夠厚以形成不具有小孔或其他形式之不完整包覆的聚結層。在某些實施例中,保護層具有至少約為20Å的厚度。在某些實施例中,保護層可具有介於約20-500Å的厚度,如介於約30-100Å。
圖6顯示被沉積至基板600上之記憶體疊層601上方的雙層密封層602。記憶體疊層被顯示為一單一方塊,但應瞭解,此疊層包含一起作用的複數獨立膜層。密封層602包含保護層604與惠電層605。
以前並未使用鈦氧化物作為保護MRAM疊層與PCRAM疊層的保護密封層,部分因為在傳統鈦氧化物沉積期間所用之相對強烈的電漿反應以及疊層材料對氧化的敏感度。強烈的電漿反應會導致無法接受之下方疊層材料的高程度氧化。可使用較溫和的電漿反應(RF功率、電漿暴露時間),但會導致薄膜容易以薄片形式脫落或因為前驅物的存在而具有無法接受之高碳位準。然而,文中的各種方法能克服此些問題。在某些實施例中,使用溫和的氧化反應 物以避免疊層材料氧化。在其他實施例中,使用雙層方案以避免疊層氧化並同時確保密封層具有良好的電特性。
圖7顯示其上沉積有複數記憶體疊層701的基板700。記憶體疊層701可以是例如MRAM或PCRAM疊層。疊層701受到雙層材料702的披覆。雙層包含一下保護層704與一上惠電層705。在某些實施例中,外襯的相鄰疊層之間的間隙係被隙填材料填滿。
在一群記憶體疊層受到一密封層外襯後,如圖7中所示,經密封的疊層係被間隙分離。例如,可以一CVD或ALD製程所形成的介電材料填滿此些間隙。在某些情況中,間隙係被如矽氧化物或矽氮化物的矽系材料所填滿。在其他情況中,間隙可被非矽系材料所填滿。可用以填滿間隙之非矽系材料的實例為鈦氧化物。在某些實施例中,密封層與隙填材料兩者皆為鈦氧化物。在一特定的實施例中,延長密封層沉積製程的期間,俾以ALD沉積的鈦氧化物填滿相鄰記憶體疊層之間的整個區域、而非僅外襯記憶體疊層。一般而言,密封層必須有高品質以適當地保護下方材料。相對地,隙填材料的品質與特性則有較多的彈性。
當使用鈦氧化物同時外襯記憶體疊層並填滿疊層之間的間隙時,可使用許多製程方法。在一情況中,在單一步驟中並在相同的沉積條件下進行製程的兩個部分(外襯疊層及填滿疊層之間的間隙)。在此情況中,外襯疊層的材料與填充間隙的材料之間並無實質上的差異。在另一實施例中,在相同的條件下進行製程的兩個部分、但在沉積密封層與沉積隙填材料之間採取額外的製程步驟。在此兩個步驟之間可進行之製程的一實例為熱退火。在熱退火期間,可將基板加熱至一較高溫度,如至少約300℃、或至少約400℃、或至少約500℃。
在某些其他的實施例中,密封層與隙填材料的每一者皆可以ALD製程沉積,但兩製程的沉積條件可能不同。例如,隙填材料可以較高沉積速度、較短吹淨時間等沉積。
在某些實施例中,密封層與隙填材料可以不同類型的製程沉積。例如,密封層可以ALD製程沉積,而隙填材料可以CVD製程沉積,如脈衝電漿CVD製程。密封層與隙填材料的每一者皆可為鈦氧化物。如上所述,亦可使用其他材料作為隙填材料。例如,隙填材料可以是以脈衝電漿CVD製程沉積的SiN或SiC。
圖8顯示記憶體裝置800之簡化橫剖面圖,記憶體裝置800在基板805上具有被一密封層802覆蓋之複數獨立的記憶體疊層801。經密封之記憶體疊層801之間的間隙係被隙填材料803填滿。如上所述,密封層802可以ALD製程自鈦氧化物所形成。隙填材料803可以是以ALD製程沉積之鈦氧化物、以CVD製程沉積之鈦氧化物、或其他介電材料。雖然隙填材料803被顯示成具有平坦的上部,但在此區域中所沉積之薄膜的形狀會取決於沉積條件與製程。在達到圖8中所示之狀態前,材料可能需要被平坦化。
在某些實施例中,以ALD沉積之TiO2可用來作為邏輯裝置之前段製程中的蓋層。記憶體密封層與FEOL應用層,例如DRAM中MIM電容器之高介電常數層(high-K layers)、3D NAND中多晶矽之間的層間層等需要類似的薄膜特性(保護以避免氧化、氣密性、薄膜穩定性等)。是以,以ALD沉積的TiO2亦為此應用中有前景的材料。當使用TiO2作為FEOL邏輯裝置中的蓋層時,通常涉及在具有電活性的裝置/閘極上方沉積TiO2材料。例如,可利用密封層外襯覆蓋了金 屬氧化物半導體或電容器的每一閘極,以使閘極與相鄰的電晶圓隔離。在某些實施例中,此些密封層可為如文中所述之利用ALD沉積的鈦氧化物。
設備
圖9顯示反應室製程站900之一實施例的概圖。為了簡化圖示,製程站900被顯示成具有用以維持低壓環境之一製程室體902的一獨立製程站。然而,應瞭解,在一共同的製程設備環境中可包含複數製程站900。例如,圖10顯示一多站製程設備之一實施例。又,當明白,在某些實施例中,可藉由一或多個電腦控制器以程式方式調整製程站900的一或多個硬體參數,此些硬體參數包含下面會詳細討論的硬體參數。
製程站900係與反應物輸送系統901流體交流,反應物輸送系統901係用以將製程氣體輸送至配送噴淋頭906。反應物輸送系統901包含用以混合及/或調整欲輸送至噴淋頭906之製程氣體的混合容器904。一或多個混合容器入口閥920可控制製程氣體至混合容器904的導入。
某些反應物在蒸發以及後續輸送至製程站之前可以液態儲存。圖9的實施例包含用以蒸發欲供給至混合容器904之液體反應物的蒸發點903。在某些實施例中,蒸發點903可以是一經加熱的蒸發器。在未採取適當控制時,自此類蒸發器所產生的飽和反應物蒸氣可在下游輸送管線中凝結。不匹配之氣體暴露至已凝結的反應物可能會產生小粒子。此些小粒子可阻塞管線、阻礙閥件操作、污染基板等。解決此些問題的某些方法涉及掃除及/或排空輸送管線,以移除剩餘的反應物。然而,掃除輸送管線可能會增加製程站循環時間、不利製程站產量。是以,在某些實施例中,可熱追蹤蒸發點903下游的輸送管線。在某些 實例中,亦可熱追蹤混合容器904。在一非限制性的實例中,蒸發點903下游的管線具有較高溫度的輪廓,自約100℃延伸至混合容器904處的約150℃。
如所述,在某些實施例中,蒸發點903可以是一經加熱的注射器。液體注射器可將液體反應物的脈衝注射至混合容器上游的載氣流中。在一情況中,液體注射器可藉著瞬間使液體自較高壓力變為較低壓力來蒸發反應物。在另一實例中,液體注射器可將液體原子化為分散微滴,此些分散微滴接著在經加熱的輸送管線中蒸發。較小的液滴比較大的液滴更快蒸發,因此可降低液體注射與完成蒸發之間的延遲。較快蒸發可減少蒸發點903下游之管線的長度。在一情況中,液體注射器可直接架設至混合容器904。在另一情況中,液體注射器可直接架設至噴淋頭906。
在某些實施例中,可提供蒸發點903上游的液流控制器,以控制蒸發及輸送至製程站900之液體的質量流量。例如,液流控制器(LFC)可包含位於LFC下游的一熱質量流量計(MFM)。接著可調整LFC的柱塞閥,以回應與MFM電交流之比例-積分-微分(PID)控制器所提供的反饋控制訊號。然而,利用反饋控制可能要花一秒或更久才能穩定液流。這可能會延長供應液態反應物劑量所用的時間。是以,在某些實施例中,LFC可動態地在反饋控制模式與直接控制模式之間切換。在某些實施例中,LFC可藉由使LFC與PID控制器的感測管失效來動態地自反饋控制模式切換至直接控制模式。
噴淋頭906朝向基板912配送製程氣體。在圖9所示的實施例中,基板912係位於噴淋頭906下方且被顯示為座落於平臺908上。應瞭解,噴淋頭906可具有任何適合的形狀且可具有任何適合數目與配置的接口以將製程氣體配送至基板912。
在某些實施例中,微體積907係位於噴淋頭906下方。在微體積中進行CFD製程會比在製程站的整個體積中進行CFD製程更能降低反應物暴露與掃除時間、更可降低轉換製程條件(如壓力、溫度等)的所需時間、可限制製程站機器人被暴露至製程氣體等。微體積尺寸的實例可包含但不限於介於0.1升至2升的體積。
在某些實施例中,可舉升或降低平臺908以將基板912暴露至微體積907及/或改變微體積907的體積。例如,在一基板傳送階段中,可降低平臺908以將基板912載於平臺908上。在於基板上沉積的製程階段中,可舉升平臺908以將基板912置於微體積907中。在某些實施例中,微體積907可完全圍繞基板912以及平臺908的一部分,以於沉積製程期間產生一高流動阻抗區域。
選擇性地,可在部分製程期間降低及/或舉升平臺908以調變微體積907內的製程壓力、反應物濃度等。在製程期間製程室體902被維持在一基礎壓力的一情況中,降低平臺908可使微體積907被排空。微體積之體積對製程室之體積的例示性比例可包含但不限於介於1:500至1:10。應明白,在某些實施例中,可藉由適合的電腦控制器以程式方式調整平臺高度。
在另一情況中,調整平臺908的高度可變化電漿活化期間的電漿密度及/或沉積製程中所包含的處理循環。在完成製程階段時,可在另一基板傳送階段期間降低平臺908,以自平臺908移除基板912。
雖然文中所述之例示性微體積變化係指高度可調整之平臺,但應瞭解,在某些實施例中,可調整噴淋頭906相對於平臺908的位置以變化微體積907的體積。又,應瞭解,在本發明的範疇內可藉由任何適當的機構來變化平臺908及/或噴淋頭906的垂直位置。在某些實施例中,平臺908可包含用以旋轉基板 912之位向的一旋轉軸。當明白,在某些實施例中,可藉由一或多個適合的電腦控制器以程式方式進行此些例示性調整的一或多者。
回到圖9所示的實施例,噴淋頭906與平臺908係與用以對電漿供給能量的射頻(RF)電源914與匹配網路916電交流。在某些實施例中,可藉著控制製程站壓力、氣體濃度、RF電源、RF源頻率及電漿功率脈衝時點中的一或多者來控制電漿能量。例如,可在任何適當的功率下操作RF電源914與匹配網路916以產生具有期望之自由基物種組成的電漿。適當功率的實例已包含於上面段落。類似地,RF電源914可提供具有任何適當頻率的RF功率。在某些實施例中,RF電源914可用以彼此獨立地控制高頻與低頻RF電源。低頻RF頻率的實例可包含但不限於介於50kHz至500kHz的頻率。高頻RF頻率可包含但不限於介於1.8MHz至2.45GHz的頻率。應明白,可以離散或連續的方式調變任何適當的參數以提供表面反應用的電漿功率。在一非限制性的實例中,相較於連續供能的電漿,可間歇地脈衝電漿功率以降低對基板表面的離子轟擊。
在某些實施例中,可藉由一或多個電漿監測器原位監測電漿。在一情況中,可藉由一或多個電壓、電流感測器(如VI探針)監測電漿功率。在另一情況中,可藉由一或多個光發射光譜感測器(OES)量測電漿密度及/或製程氣體濃度。在某些實施例中,可基於來自此類原位電漿監測器的量測,以程式方式調整一或多個電漿參數。例如,可在提供電漿功率之程式化控制的反饋迴路中使用OES感測器。應瞭解,在某些實施例中,可使用其他監測器監測電漿與其他製程特性。此類監測器可包含但不限於紅外線(IR)監測器、聲學監測器及壓力傳感器。
在某些實施例中,可藉由輸入/輸出控制(IOC)序列指令控制電漿。在一實例中,設定電漿活化步驟用之電漿反應的指令可被包含在製程配方的對應電漿活化配方階段中。在某些情況中,製程配方階段可依順序配置,故一製程階段的所有指令係與該製程階段同步執行。在某些實施例中,可將用以設定一或多個電漿參數的指令包含於一電漿配方階段之前的一配方階段中。例如,一第一配方階段可包含用以設定惰性氣體及/或反應物氣體之流率的指令、用以將電漿產生器設定至一功率設定點的指令以及第一配方階段用的時間延遲指令。一接續的第二配方階段可包含用以啟動電漿產生器的指令以及第二配方階段用的時間延遲指令。一第三配方階段可包含用以關閉電漿產生器的指令以及第三配方階段用的時間延遲指令。應明白,在本發明的範疇內此些配方階段可以任何適合的方式被更進一步地分割及/或重覆。
在某些沉積製程中,電漿擊發數秒或更久等級的時間。在本文的某些實施例中,在製程循環內可施用更加短的電漿擊發。此些電漿擊發可50ms至1秒等級的時間,例如0.25秒的特定例示性時間。此類短RF電漿擊發需要電漿的快速穩定。為了達到此目的,可配置電漿產生器俾使阻抗匹配被預設在一特定電壓但允許頻率浮動。傳統上,高頻電漿係於約13.56MHz的RF頻率下產生。在本文所述的各種實施例中,允許頻率浮動至不同於此標準值的值。藉著允許頻率浮動但將阻抗匹配固定在一預設電壓,可更加快速地穩定電漿,當使用與ALD/CFD循環相關的極短電漿擊發時,此結果可能是重要的。
在某些實施例中,平臺908可藉由加熱器910控制其溫度。又,在某些實施例中,製程站900的壓力控制可藉由蝶閥918來提供。如圖9的實施例所示,蝶閥918壓抑下游真空泵浦(未顯示)所提供的真空。然而,在某些實施例中, 製程站900的壓力控制亦可藉由變化導入製程站900之一或多種氣體的流率來加以調整。
製程站900的內部表面受到調節層950的披覆,調節層950可包含披覆下層膜及/或沉積前披覆層。可被調節層披覆之複數表面的實例包含製程室體902之壁、反應室頂板與地板、平臺908及噴淋頭906。雖然圖9顯示一基板912位於製程站900中,但在沉積一或多層調節層的期間,基板912並不存在。相反地,基板912係於沉積調節層之後,當製程站900已準備好用於在基板912上沉積薄膜時才導入製程站900中。
如前所述,可將一或多個製程站包含在一多站製程設備中。圖10顯示具有入口加載互鎖機構1002與出口加載互鎖機構1004之多站製程設備1000之一實施例的概圖,入口加載互鎖機構1002與出口加載互鎖機構1004的其中一者或兩者可包含遠端電漿源。大氣壓力下的機器人1006係用以移動來自晶圓盒的複數晶圓,晶圓係經由艙1008藉由大氣接口1010而被載入入口加載互鎖機構1002中。機器人1006將晶圓放置到入口加載互鎖機構1002中的平臺1012上,大氣接口1010關閉,然後加載互鎖機構被泵抽。在入口加載互鎖機構1002包含遠端電漿源的情況下,晶圓在被導入製程室1014前可被曝露至加載互鎖機構中的遠端電漿處理。又,晶圓亦可在入口加載互鎖機構1002中受到加熱以例如移除水氣及被吸附的氣體。接下來,往製程室1014之腔室傳送接口1016開放,另一機器人(未顯示)將晶圓放置到反應器中被顯示為製程用之反應器中之第一站的平臺上。雖然圖10所示的實施例包含加載互鎖機構,但應瞭解,在某些實施例中,可將晶圓直接導入製程站中。
所示之製程室1014包含四個製程站,這四個製程在圖10的實施例中被標號為1至4。每一站具有一經加熱的平臺(顯示於站1的1018處)以及複數氣體線入口。應瞭解,在某些實施例中,每一製程站可具有不同或複數的用途。例如,在某些實施例中,一製程站可在ALD模式、CFD模式與CVD處理模式之間切換。額外地或或者,在某些實施例中,製程室1014可包含一或多個匹配成對的ALD/CFD/CVD複數製程站。雖然所示的製程室1014包含四個站,但當瞭解,根據本發明的製程室可具有任何適當數目的站點。例如,在某些實施例中,一製程室可具有五或更多的站點,在其他的實施例中一製程室可具有三或更少的站點。
圖10亦顯示在製程室1014中用以傳送晶圓之晶圓搬運系統1090的一實施例。在某些實施例中,晶圓搬運系統1090可在各種製程站點之間及/或在一製程站與加載互鎖機構之間傳送晶圓。應瞭解,可使用各種適合的晶圓搬運系統。非限制性的實例包含晶圓傳送盤與晶圓搬運機器人。圖10亦顯示用以控制製程設備1000之製程條件與硬體狀態之系統控制器1050的一實施例。系統控制器1050可包含一或多個記憶體裝置1056、一或多個大量儲存裝置1054及一或多個處理器1052。處理器1052可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進機馬達控制器板等。
在某些實施例中,系統控制器1050控制製程設備1000的所有活動。系統控制器1050執行被儲存在大量儲存裝置1054中、被載入記憶體裝置1056中並在處理器1052上執行的系統控制軟體1058。系統控制軟體1058可包含用以控制下列的複數指令:時序、氣態與液態反應物的混合物、反應室及/或站點的壓力、反應室及/或站點的溫度、晶圓溫度、目標的功率位準、RF功率位準、RF 曝露時間、基板平臺、夾頭及/或支撐件的位置及製程設備1000所進行之特定製程的其他參數。此些程式化的製程可包含各種類型的製程,其包含但不限於:和沉積披覆下層膜相關的製程、和在基板上沉積薄膜相關的製程以及和清理反應室相關的製程。系統控制軟體1058可以任何適當的方式配置。例如,可撰寫各種製程設備元件的子程式或控制物件,以控制施行各種製程設備製程所需之製程設備元件的操作。可以任何適當的電腦可讀程式語言編碼系統控制軟體1058。
在某些實施例中,系統控制軟體1058可包含用以控制上述各種參數的輸入/輸出(IOC)序列指令。例如,密封層沉積製程的每一階段可包含用以被系統控制器1050執行的一或多個指令。用以設定密封層沉積製程階段之製程條件的複數指令可被包含於對應的密封層沉積配方階段中。在某些實施例中,可依序配置複數配方階段,俾使一製程階段的所有指令係與該製程階段同時執行。
在某些實施例中,可施行被儲存在與系統控制器1050相關之大量儲存裝置1054及/或記憶體裝置1056上的其他電腦軟體及/或程式。此目的用之程式或程式段落的實例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式及電漿控制程式。
基板定位程式可包含製程設備元件用的程式碼,此些程式碼係用以將基板載至平臺1018上、並控制基板與製程設備1000之其他部件之間的距離。定位程式可包含複數指令,此些指令係用以在必要時適當地將基板移入與移出反應室以沉積調節層、在基板上沉積薄膜以及清理反應室。此些指令包含用以確保在沉積調節層的期間內以及在清理製程的期間內,反應室中無基板存在的複數指令。
製程氣體控制程式可包含用以控制氣體組成與流率、並選擇性地控制在沉積前流入一或多個製程站的氣體以穩定製程站中的壓力的程式碼。壓力控制程式可包含藉由調節例如製程站之排放系統中的節流閥、控制流入製程站的氣體流等而控制製程站中之壓力的程式碼。
加熱器控制程式可包含用以控制流至用以加熱基板之加熱單元之電流的程式碼。或者或額外地,加熱器控制程式可控制輸送至基板之加熱傳輸氣體(如氦氣)的輸送。電漿控制程式可包含根據文中實施例設定一或多個製程站中的RF功率位準、頻率與曝露時間的程式碼。
在某些實施例中,可具有與系統控制器1050相關的使用者介面。使用者介面可包含顯示螢幕、該設備及/或製程條件的圖形化軟體顯示及使用者輸入裝置,如指向裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,系統控制器1050所調整的參數可與製程條件相關。非限制性實例包含製程氣體組成與流率、溫度、壓力、電漿條件(如RF偏壓功率位準與曝露時間)等。此些參數可以配方的形式提供予使用者,使用者可利用使用者介面來加以輸入此些參數。
用以監測製程的訊號可來自各種製程設備感測器、並藉由系統控制器1050的類比及/或數位輸入連接件所提供。用以控制製程的訊號可在製程設備1000的類比與數位輸出連接件上輸出。可被監控之製程設備感測器的非限制性實例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化的反饋與控制演算法可與來自此些感測器的數據一起使用以維持製程條件。
系統控制器1050可提供用以實施上述沉積製程的程式指令。程式指令可控制各種不同的製程參數,如DC功率位準、RF偏壓位準、壓力、溫度等。指令可根據文中的各種實施例控制參數以進行薄膜疊層的原位沉積。
系統控制器通常包含一或多個記憶體裝置以及一或多個用以執行指令的處理器,俾使設備能施行根據本發明之方法。可使包含用以控制根據本發明之方法步驟之指令的機器可讀非暫態媒體和系統控制器耦合。
上文中所述的各種硬體與方法實施例可與微影圖案化設備或製程一起使用,例如用以製造半導體裝置、顯示器、LEDs、光伏面板等的微影圖案化設備或製程。一般而言,雖然沒有必要,但此些設備/製程會在一共同的製造廠房中一起使用或進行。
薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至工作件上,如其上形成有氮化矽膜的基板上;(2)利用熱板、爐管或其他適合的固化設備固化光阻;(3)利用如晶圓步進機之一設備將光阻曝露至可見光或UV或X射線;(4)利用如濕式槽或噴塗顯影設備之一設備顯影光阻,以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備,將光阻圖案轉移至下方膜層或工作件中;及(6)利用如RF或微波電漿光阻剝除設備之一設備移除光阻。在某些實施例中,在施加光阻之前可沉積可灰化的硬遮罩層(如非晶碳層)及另一適合的硬遮罩(如抗反射層)。
當瞭解,文中所述的結構及/或方案皆具有例示性的本質,特定的實施例或實例不應被認為是限制性的,因為可對其作各種變化。文中所述的特定例行公事或方法可代表任何數目之處理策略中的一或多者。是以,所述的 各種動作可以所述的順序實施、以其他順序實施、同時實施或在某些情況下省略之。類似地,可改變上述處理的順序。
本發明的標的包含各種方法、系統與結構的所有新穎與非顯而易見組合與次組合,以及文中所述的其他特徵、功能、動作及/或特性以及上述者的任何與所有等效物。
實驗
實驗結果顯示,以ALD沉積的TiO2對於被用來作為新興記憶體領域(如MRAM與PCRAM中的密封層)而言,是一具有前景的材料。鈦氧化物在無圖案負載效應(在空曠特徵部中所沉積的薄膜與緻密特徵部中所沉積的薄膜之間並無蝕刻輪廓差異)的情況下展現出優異的階梯覆蓋率、良好的氣密性以及在相關溫度下展現出良好的薄膜穩定性。
圖11顯示具有一層TiO2沉積於其上之基板的SEM影像。此處所示之基板為具有矽底層1101的測試晶圓。一層矽氧化物1102係沉積於矽層1101上。蝕刻矽氧化物層1102以形成凹陷的特徵部。先以經由低壓化學氣相沉積(LPCVD)製程所沉積的氮化物層1103外襯特徵部。然後在約150℃的溫度下,於氮化物層上沉積TiO2。雖然在圖11中顯示了三個獨立的圖,但每一圖顯示了相同基板的不同部分。換言之,每一圖中的鈦氧化物薄膜係經由相同的製程沉積至相同的基板上。上圖顯示排列相對緻密之具有相對高深寬比(AR)的特徵部。中間的圖顯示排列較不緻密之具有較低深寬比之特徵部。下圖顯示平坦區域。在製程期間的一典型沉積製程中,一基板可包含具有不同深寬比及不同特徵部密度的各種特徵部。在沉積時的一個重要目標為,在基板上的特徵部內(為了良好的階梯覆蓋度)以及不同特徵部之間均勻地沉積薄膜。當基板包含緻密之具有 高深寬比的特徵部以及具有低深寬比之孤立特徵部時,在兩種類型的特徵部中均勻地沉積是一件極富挑戰性的任務。然而如圖11中所示,ALD沉積的鈦氧化物係以極均勻的方式沉積在兩種類型的特徵部中以及平坦區域中。
圖12之表說明圖11之鈦氧化物的薄膜特性。薄膜厚度係於每一特徵部的上部、側壁及底部量測。階梯覆蓋率係藉著將側壁厚度除以上部厚度(S/T)所計算獲得並以百分比表示之。圖12的高AR列對應至圖11之上圖中所示之高深寬比特徵部。圖12的低AR列對應至圖11之中間的圖中所示之低深寬比特徵部。圖12的平坦列對應至圖11之下圖中所示之平坦區域。整個薄膜各處的薄膜厚度是實質上均勻的。高深寬比特徵部展現出約99.9%的階梯覆蓋率,而低深寬比特徵部展現出約99.1%的階梯覆蓋率。又,實質上無圖案負載效應。換言之,對所有的特徵部類型而言,薄膜厚度是極均勻的。在某些實施例中,鈦氧化物薄膜的階梯覆蓋率係介於約98-102%,例如介於約99-101%。
圖13顯示一拉伸TEOS系矽氧化物薄膜在其上具有不同薄膜時的應力變化。薄膜係利用純N2O電漿在約150℃的溫度下沉積。RF功率約為2.5kW且壓力約為3.5Torr。如上所述,當一拉伸TEOS裸膜被暴露至大氣時,其開始吸收水氣。當水氣進入拉伸TEOS薄膜時,拉伸TEOS薄膜的應力以可預測的方式變化。此應力變化係由圖13的控制組(t-TEOS)線顯示。然而,當拉伸TEOS薄膜具有充分有效的氣密蓋層避免其吸收水氣時,不會發生此應力變化(或發生至較輕微的程度)。測試四種不同厚度的TiO2薄膜,其厚度介於約30-175Å。証明所有TiO2薄膜皆具有充分的氣密性以避免拉伸TEOS薄膜層的應力變化。這意味著即便將厚度降至約30Å,TiO2仍能提供良好的氣密以保護下方膜層。對於用以覆蓋 記憶體疊層的密封層而言,此氣密性是有利的,因為可避免水氣進入記憶體疊層並避免疊層材料氧化或以其他方式退化。
圖14之兩圖顯示在不同溫度下某些薄膜的穩定性。利用純N2O電漿、約2.5kW的RF功率、在約3.5Torr的壓力下形成此些薄膜。以熱色散光譜儀(TDS)產生數據。圖14的左圖係關於以文中所述之ALD製程沉積的TiO2薄膜。圖14的右圖係關於以脈衝電漿CVD製程沉積的SiN薄膜。提供右圖以茲比較。以ALD沉積的TiO2即便到高達至少約500℃,仍展現出極佳的薄膜穩定性。即便到上至此溫度實質上仍無H2、N2、O2或N2O自薄膜脫附。相對地,SiN薄膜在約360℃起便展現實質的H2脫附。雖然即便在低溫下TiO2薄膜展現出某個位準的氫,但此氫位準係相當於來自反應室環境的基準位準。在許多樣本中皆可見到此相對低位準之氫,此相對低位準之氫並不意味著受到測試的薄膜中有任何改變。例如,在低溫處於SiN薄膜中可見到此相同的基準氫位準。此些結果暗示,以文中所述之ALD沉積的鈦氧化物在相關的操作溫度下是穩定的。在某些實施例中,密封層在上至約500℃的溫度下不會實質上脫附氫。文中所用之「不會實質上脫附氫」係指在相關的溫度下、經由TDS所觀察到的氫位準不會上升超過原環境位準的約25%。
圖15顯示經由ALD製程沉積TiO2以填滿特徵部之間之間隙的實例。在此實例中,TiO2同時外襯特徵部(如一密封層)並同時填滿特徵部之間的間隙(如一隙填材料)。TiO2係以極保形的方式沉積。此薄膜在平坦區域中稍微較厚,但此額外的厚度存在係因為在間隙被填滿後仍持續地以保形的方式沉積薄膜。平坦區域中的額外厚度並不代表如CVD沉積薄膜所展現的過度負擔。此些結果暗示,為了填滿相較特徵部/記憶體疊層之間的間隙可增加鈦氧化物密封層 的厚度。雖然在側壁相接之處可能會觀察到縫隙,但此些縫隙相當地小且在已填滿的間隙中並無孔洞。在欲被填滿之間隙具有較小深寬比如約1:2.5或更小的深寬比(深度:寬度)時,此技術尤其有用。相較於較大深寬比的間隙,在此些小深寬比間隙中縫隙的存在是較不嚴重的問題。

Claims (24)

  1. 一種在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,包含:接收一基板於一反應室中,該基板上具有複數磁性隨機存取記憶體(MRAM)疊層或複數相變隨機存取記憶體(PCRAM)疊層;使一含鈦反應物流入該反應室中並使該含鈦反應物吸附至該基板的一表面上;使一含氧反應物流入該反應室中並使該含氧反應物吸附至該基板的該表面上;及將該反應室暴露至一電漿以驅動該含鈦反應物與該含氧反應物之間的一表面反應,藉此在該複數MRAM疊層或該複數PCRAM疊層上方保形地沉積一二氧化鈦密封層其中,滿足下列條件之其中至少一者:(a)該含氧反應物包含一弱氧化劑,(b)重覆該方法以在該二氧化鈦密封層上形成一第二二氧化鈦密封層,(c)在沉積該二氧化鈦密封層後,在相鄰之該MRAM疊層或該PCRAM疊層之間的複數間隙中沉積一介電材料,(d)該二氧化鈦密封層具有介於約20-500Å的一厚度,(e)該二氧化鈦密封層為一氣密封,(f)該方法係於約250℃或更低的一溫度下進行,(g)沉積該二氧化鈦密封層不會選擇性地氧化該MRAM疊層或該PCRAM疊層中的任何材料,及/或(h)該二氧化鈦密封層在高達至少約500℃的一溫度不會實質上脫附氫。
  2. 如申請專利範圍第1項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該含氧反應物包含一弱氧化劑。
  3. 如申請專利範圍第2項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該含氧反應物不包含氧氣(O2)。
  4. 如申請專利範圍第1至3項中任一項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,更包含重覆該方法以在該二氧化鈦密封層上形成一第二密封層。
  5. 如申請專利範圍第4項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中在沉積該二氧化鈦密封層期間該含氧反應物包含一弱氧化劑。
  6. 如申請專利範圍第5項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中在沉積該二氧化鈦密封層期間該含氧反應物係實質上不具有強氧化劑。
  7. 如申請專利範圍第5項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中在沉積該第二密封層期間,該含氧反應物係選自由下列者所構成的族群:氧氣、一氧化二氮、一氧化碳、一氧化氮、二氧化氮、二氧化碳、臭氧、氧化硫、二氧化硫、含氧之烴、水、過氧化氫、烷醇及上述者的組合。
  8. 如申請專利範圍第4項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中在沉積該二氧化鈦密封層期間,將用以產生該電漿的一RF功率維持在低於約125W/站且每一次反覆將該基板暴露至該電漿的時間約為0.5秒或更短。
  9. 如申請專利範圍第8項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中在沉積該第二密封層期間,將用以產生該電漿之一RF功率維持在高於約500W/站且每一次反覆將該基板暴露至該電漿的時間約為0.5秒或更長。
  10. 如申請專利範圍第5項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中在沉積該第二密封層期間,將用以產生該電漿之一RF功率維持在高於約500W/站且每一次反覆將該基板暴露至該電漿的時間約為0.5秒或更長。
  11. 如申請專利範圍第1至3項中任一項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,更包含在沉積該二氧化鈦密封層後,在相鄰之該MRAM疊層或該PCRAM疊層之間的複數間隙中沉積一介電材料。
  12. 如申請專利範圍第11項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中沉積該二氧化鈦密封層與在該複數間隙中沉積該介電材料係於相同的反應室中進行而未移除該基板。
  13. 如申請專利範圍第12項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該些間隙可被一二氧化鈦填滿且此二氧化鈦的反應與反應條件係與用以沉積該二氧化鈦密封層的反應與反應條件相同。
  14. 如申請專利範圍第12項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該些間隙可被一二氧化鈦填滿且此二氧化鈦的反應條件係不同於用以沉積該二氧化鈦密封層的反應條件。
  15. 如申請專利範圍第14項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該些間隙係以一較高的沉積速度填滿,此較高的沉積速度係高於用以沉積該二氧化鈦密封層的一沉積速度。
  16. 如申請專利範圍第1至3項中任一項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該二氧化鈦密封層具有介於約20-500Å的一厚度。
  17. 如申請專利範圍第1至3項中任一項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該二氧化鈦密封層為一氣密封。
  18. 如申請專利範圍第1至3項中任一項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該方法係於約250℃或更低的一溫度下進行。
  19. 如申請專利範圍第1至3項中任一項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中沉積該二氧化鈦密封層不會選擇性地氧化該MRAM疊層或該PCRAM疊層中的任何材料。
  20. 如申請專利範圍第1至3項中任一項之在半導體基板上之記憶體疊層上沉積二氧化鈦密封層的方法,其中該二氧化鈦密封層在高達至少約500℃的一溫度不會實質上脫附氫。
  21. 一種在已部分製造完成的半導體基板上沉積材料的設備,包含:一反應室;一反應室入口,用以將氣相反應物導入該反應室;一反應室出口,用以自該反應室移除材料;一電漿產生器,用以將該反應室暴露至電漿;及一控制器,用以:於該反應室中接收一基板,該基板上具有複數磁性隨機存取記憶體(MRAM)疊層或複數相變隨機存取記憶體(PCRAM)疊層;使一第一含鈦反應物流入該反應室中並使該第一含鈦反應物吸附至該基板的一表面上;使一第一含氧反應物流入該反應室中並使該第一含氧反應物吸附至該基板的該表面上;及利用該電漿產生器產生一第一電漿、並將該反應室暴露至該第一電漿以驅動該第一含鈦反應物與該第一含氧反應物之間的一表面反應,藉此在該複數MRAM疊層或該複數PCRAM疊層上方保形地沉積一第一二氧化鈦密封層。
  22. 如申請專利範圍第21項之在已部分製造完成的半導體基板上沉積材料的設備,其中該控制器更用以:使一第二含鈦反應物流入該反應室中並使該第二含鈦反應物吸附至該基板的該表面上;使一第二含氧反應物流入該反應室中並使該第二含氧反應物吸附至該基板的該表面上;及利用該電漿產生器產生一第二電漿、並將該反應室暴露至該第二電漿以驅動該第二含鈦反應物與第二含氧反應物之間的一表面反應,藉此在該第一二氧化鈦密封層上保形地沉積一第二二氧化鈦密封層,其中該第一二氧化鈦密封層的沉積條件係不同於該第二二氧化鈦密封層的沉積條件。
  23. 如申請專利範圍第22項之在已部分製造完成的半導體基板上沉積材料的設備,其中該第一含氧反應物包含一弱氧化劑且其中該第二含氧反應物包含O2及/或N2O。
  24. 如申請專利範圍第22或23項之在已部分製造完成的半導體基板上沉積材料的設備,其中該控制器係用以在約125W/站或更低的一RF功率下產生該第一電漿,且將該反應室暴露至該第一電漿、每次暴露約0.5秒或更短,且其中該控制器係更用以在約500W/站或更高的一RF功率下產生該第二電漿且將該反應室暴露至該第二電漿、每次暴露約0.5秒或更長。
TW104104648A 2014-02-21 2015-02-12 用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物 TWI671423B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/187,145 US9373500B2 (en) 2014-02-21 2014-02-21 Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US14/187,145 2014-02-21

Publications (2)

Publication Number Publication Date
TW201546312A TW201546312A (zh) 2015-12-16
TWI671423B true TWI671423B (zh) 2019-09-11

Family

ID=53883069

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104104648A TWI671423B (zh) 2014-02-21 2015-02-12 用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物
TW108119661A TWI708859B (zh) 2014-02-21 2015-02-12 用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108119661A TWI708859B (zh) 2014-02-21 2015-02-12 用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物

Country Status (4)

Country Link
US (4) US9373500B2 (zh)
KR (1) KR102392881B1 (zh)
SG (2) SG10201501155QA (zh)
TW (2) TWI671423B (zh)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10312432B2 (en) * 2016-04-06 2019-06-04 Varian Semiconductor Equipment Associates, Inc. Magnetic memory device and techniques for forming
JP6839206B2 (ja) * 2016-04-12 2021-03-03 ピコサン オーワイPicosun Oy 金属ウィスカの軽減のためのaldによる被覆
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10157736B2 (en) * 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
WO2018048481A1 (en) * 2016-09-09 2018-03-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Group 4 transition metal-containing film forming compositions for vapor deposition of group 4 transition metal-containing films
US10276555B2 (en) 2016-10-01 2019-04-30 Samsung Electronics Co., Ltd. Method and system for providing a magnetic cell usable in spin transfer torque applications and including a switchable shunting layer
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
EP3552250A1 (en) * 2016-12-06 2019-10-16 Everspin Technologies, Inc. Magnetoresistive devices and methods therefor
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125142A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Protection layers for magnetic tunnel junctions
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US9935261B1 (en) 2017-04-05 2018-04-03 Headway Technologies, Inc. Dielectric encapsulation layer for magnetic tunnel junction (MTJ) devices using radio frequency (RF) sputtering
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10038138B1 (en) 2017-10-10 2018-07-31 Headway Technologies, Inc. High temperature volatilization of sidewall materials from patterned magnetic tunnel junctions
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10854809B2 (en) * 2017-12-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. STT-MRAM heat sink and magnetic shield structure design for more robust read/write performance
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US10916420B2 (en) 2018-06-07 2021-02-09 Tokyo Electron Limited Processing method and plasma processing apparatus
US10707100B2 (en) * 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200033425A1 (en) * 2018-07-25 2020-01-30 Globalfoundries Singapore Pte. Ltd. Encapsulated magnetic tunnel junction (mtj) structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10957850B2 (en) * 2018-10-04 2021-03-23 International Business Machines Corporation Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10692925B2 (en) 2018-10-12 2020-06-23 International Business Machines Corporation Dielectric fill for memory pillar elements
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11158788B2 (en) 2018-10-30 2021-10-26 International Business Machines Corporation Atomic layer deposition and physical vapor deposition bilayer for additive patterning
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11744083B2 (en) 2019-04-12 2023-08-29 International Business Machines Corporation Fabrication of embedded memory devices utilizing a self assembled monolayer
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN110189967B (zh) * 2019-07-02 2020-05-26 电子科技大学 一种带有限流阻变层的场发射阴极结构及其制备方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202111825A (zh) * 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11444243B2 (en) 2019-10-28 2022-09-13 Micron Technology, Inc. Electronic devices comprising metal oxide materials and related methods and systems
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249600A1 (en) * 2020-02-11 2021-08-12 Macronix International Co., Ltd. Phase change memory with a carbon buffer layer
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134942A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20120028454A1 (en) * 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition
US20130058162A1 (en) * 2011-09-07 2013-03-07 Kabushiki Kaisha Toshiba Memory device and method for manufacturing the same

Family Cites Families (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (zh) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
US5094984A (en) * 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) * 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) * 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) * 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003279751A1 (en) 2002-10-03 2004-04-23 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) * 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
US7981473B2 (en) 2003-04-23 2011-07-19 Aixtron, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) * 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) * 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US8034727B2 (en) 2005-10-14 2011-10-11 Nec Corporation Method and apparatus for manufacturing semiconductor devices
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007145513A1 (en) 2006-06-16 2007-12-21 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) * 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101057877B1 (ko) 2006-09-19 2011-08-19 도쿄엘렉트론가부시키가이샤 플라즈마 세정 방법 및 플라즈마 cvd 방법
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) * 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
CN101889331A (zh) 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US8119424B2 (en) * 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) * 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8072800B2 (en) * 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
CN102652353B (zh) 2009-12-09 2016-12-07 诺发系统有限公司 新颖间隙填充整合
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
EP4084093B1 (en) 2010-05-21 2024-02-21 ASM International N.V. Solar cell, and method of manufacturing the same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) * 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR20200039806A (ko) * 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) * 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP5551129B2 (ja) * 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN107342216B (zh) 2011-09-23 2022-05-31 诺发系统公司 等离子体活化保形电介质膜沉积
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
CN105765428A (zh) * 2013-10-17 2016-07-13 纳米系统公司 发光二极管(led)器件
KR20210020175A (ko) * 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) * 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) * 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134942A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20120028454A1 (en) * 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition
US20130058162A1 (en) * 2011-09-07 2013-03-07 Kabushiki Kaisha Toshiba Memory device and method for manufacturing the same

Also Published As

Publication number Publication date
SG10201501155QA (en) 2015-09-29
US20150243883A1 (en) 2015-08-27
US20160293838A1 (en) 2016-10-06
TW202003901A (zh) 2020-01-16
TWI708859B (zh) 2020-11-01
US20160163972A1 (en) 2016-06-09
KR20150099451A (ko) 2015-08-31
US10043657B2 (en) 2018-08-07
US9373500B2 (en) 2016-06-21
SG10201807090QA (en) 2018-09-27
TW201546312A (zh) 2015-12-16
US9570290B2 (en) 2017-02-14
US9673041B2 (en) 2017-06-06
KR102392881B1 (ko) 2022-04-29
US20170263450A1 (en) 2017-09-14

Similar Documents

Publication Publication Date Title
TWI671423B (zh) 用於保形密封及隙填應用之電漿輔助原子層沉積鈦氧化物
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
TWI654336B (zh) 具有脈衝式電漿曝露之電漿輔助式原子層沉積
KR101701024B1 (ko) 컨포멀한 알루미늄 질화물을 위한 고 성장 레이트 프로세스
US9797042B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
CN104620353B (zh) 处理腔室及基板处理装置
TW201833992A (zh) 以原子層沉積間隙填充間隔件遮罩進行的自對準多重圖案化製程流程
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
US11072859B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US9988715B2 (en) Interface engineering during MGO deposition for magnetic tunnel junctions
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱