TW201630147A - 在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法 - Google Patents

在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法 Download PDF

Info

Publication number
TW201630147A
TW201630147A TW104140292A TW104140292A TW201630147A TW 201630147 A TW201630147 A TW 201630147A TW 104140292 A TW104140292 A TW 104140292A TW 104140292 A TW104140292 A TW 104140292A TW 201630147 A TW201630147 A TW 201630147A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
circuit
layers
bottom wall
Prior art date
Application number
TW104140292A
Other languages
English (en)
Other versions
TWI685079B (zh
Inventor
虹 沈
亮 王
拉傑許 卡特卡爾
Original Assignee
英帆薩斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英帆薩斯公司 filed Critical 英帆薩斯公司
Publication of TW201630147A publication Critical patent/TW201630147A/zh
Application granted granted Critical
Publication of TWI685079B publication Critical patent/TWI685079B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • H01L23/053Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body
    • H01L23/055Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body the leads having a passage through the base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/315Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the encapsulation having a cavity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

堆疊的晶粒(110)係由可模造材料所形成的多個囊封層(524)而被囊封在一個插入物的孔穴(304)之中。導電路徑(520,623)係將該等晶粒連接到該孔穴的底壁部(304B),且透過通過該底壁部的基板穿孔(TSV)而連接到該插入物之下的一個導體。該等導電路徑可被形成在其之每一個為形成在個別的囊封層中的一個通孔(514)之中的諸段。各段係可藉由電鍍而被形成在一個較低的段上;電鍍電流係可透過該等TSV與稍早形成的諸段而從該插入物之下所提供。其他特徵亦被提供。

Description

在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法 【相關申請案之交互參照】
本申請案係主張西元2014年3月12日提出之美國臨時專利申請案第61/952,066號的優先權,該件美國臨時專利申請案係以參照方式而納入本文。
本發明係關於半導體技術,且尤指用於半導體積體電路與其他構件之互連的輔助基板之使用。
半導體積體電路(IC,integrated circuit)係具有極小的接觸墊之小型裝置,該等接觸墊必須被連接到其他的IC或非IC構件。到其他構件之連接係藉由諸如印刷電路板(PCB,printed circuit board)的輔助基板或插入物所促成。圖1係說明IC 110為透過一個插入物120而連接到一個PCB 114。IC 110具有一個接觸墊112,其被附接到在插入物120的頂部上之接觸墊120C.T。該附接是經由連接140,其可為例如:焊接、黏著劑、或熱壓縮。該插入物的底部接觸墊120C.B被附接到該PCB的接觸墊114C(藉由適當的連接140)。在插入物120之中的互連線路120L提供在插入物的接觸墊120C (即:120C.T與120C.B)之間的期望連接。該PCB的互連線路114L提供在該PCB的接觸墊114C之間的期望連接。其他的插入物、IC、或其他電路可被連接到該PCB。
為了提供小尺寸、高操作速度、低功率消耗、與低製造成本,各個構件110、114、120可具有密集封裝電路,其具有對應密集封裝的接觸墊112、114C、120C與較佳為短的互連線路(“接線”)114L、120L。尤其,插入物120應為薄以縮短線路120L的垂直段。薄的插入物可由有機或陶瓷材料而便宜地製造。然而,一個薄的插入物可能為脆弱且可撓曲,且可能容易受到諸如由熱膨脹所引起的熱應力之機械應力而扭曲或斷裂。熱應力是在其具有不同熱膨脹係數(CTE,coefficients of thermal expansion)的材料之存在時而特別有害。舉例來說,矽具有較其常用在PCB與插入物中的有機或陶瓷材料為低的CTE,且此對於使用其具有矽基IC之有機或陶瓷PCB與插入物而言為一個嚴重的問題。熱應力可能損壞該等連接140且使得組件為不可操作。此外,熱應力造成扭曲,其使得組裝過程為複雜。
因此,期望為使該插入物加強以防扭曲而且使用導熱材料,其使局部產生的熱量散佈且將此等熱量傳導到周圍。
圖2係說明一種已知的陶瓷或有機插入物120,一個蓋子210係位在一個晶粒(die)110之上(一個晶粒是一種IC,其被製造為一個半導體晶圓(wafer)的部分者且接著為從該晶圓所分開;晶圓可含有同時製造的多個晶粒)。該插入物係將晶粒110連接到底部接觸墊120C.B;線路120L未被顯示。蓋子210係藉由環氧樹脂220而被附接到插入物120。蓋子210係使該插入物加強且平坦,並且作用如同一種散熱片(heat spreader):由晶粒110所 產生的熱量係透過熱油脂230而被傳導到蓋子210且接著到周圍。參閱:西元2006年6月13日所頒發給Eghan等人之美國專利第7,061,102號。
為了降低在加強件210與插入物120之間的介面的熱應力,該加強件與插入物可被作成在單一個基板120S,如在圖3所示且在Eghan專利中所描述。基板120S具有一個孔穴304,其容納晶粒110;該晶粒是由基板的“周邊壁部”304P所圍繞。該種組件可藉由在該晶粒與插入物之間的底部填充劑(underfill)310而進一步強化;該底部填充劑是由環氧樹脂所作成,其將該晶粒黏合到插入物且因此減輕在連接140之上的應力。另外,該底部填充劑可為導熱性以散熱。此外,導熱性的囊封物320係填充在該晶粒與壁部304P之間的空間以保護該晶粒且有助於散熱。該囊封物可能或可能未覆蓋該晶粒,且一個另外的散熱片(未顯示)可被提供在頂部上。
圖4係說明一種有機(模造的環氧樹脂或塑膠)插入物120,其支撐一種堆疊的三個晶粒110.1、110.2、110.3,如在美國專利第6,492,726號(Quek等人,西元2002年12月10日)所述。在此,插入物孔穴304具有階梯狀的側壁,不同的晶粒110.1、110.2、110.3被安裝在個別不同的階梯410.1、410.2、410.3(410.1為孔穴的底部)。對於各個階梯,接觸結構420是從個別的“接觸球”140朝下延伸通過該插入物,其中,接觸結構420可從該插入物之下方而進入。此外,參閱:美國專利第7,977,579號(Bathan等人,西元2011年7月12日)。
圖4的晶粒110(即:110.1、110.2、110.3)係可用適合的介電材料(未顯示)而為底部填充及囊封。一種散熱片(未顯示)可被提供在頂部上。
此段落係概述本發明的一些特點。其他特點可被描述在隨後的段落中。本發明係由隨附的申請專利範圍所界定,隨附的申請專利範圍係以參照方式而納入此段落。
一些實施例係提供適用於具有孔穴之有機、陶瓷、矽、或其他型式的插入物之架構與製造技術。一種插入物可包括多晶片模組(MCM,multi-chip module)之多個階層的晶粒。一些實施例提供簡單的製造過程。
本發明係不受限於上述的特徵與優點,除了由隨附申請專利範圍所界定之外。
110‧‧‧積體電路(IC)(晶粒)
110A、110B、110C、110D、110E、110F、110G‧‧‧晶粒
112‧‧‧接觸墊
114‧‧‧印刷電路板(PCB)
114C‧‧‧接觸墊
114L‧‧‧互連線路
120‧‧‧插入物
120C.B、120C.T‧‧‧接觸墊
120L‧‧‧互連線路
120S‧‧‧基板
140‧‧‧連接
210‧‧‧蓋子(加強件)
220‧‧‧環氧樹脂
230‧‧‧熱油脂
304‧‧‧孔穴
304B‧‧‧底壁部
304P‧‧‧周邊壁部
310‧‧‧底部填充劑
320‧‧‧囊封物
410.1、410.2、410.3‧‧‧階梯
420‧‧‧接觸結構
510‧‧‧基板(晶圓)
510.1、510.2‧‧‧基板
514.0‧‧‧孔(階層L0孔)
514.1‧‧‧L1孔
514.2‧‧‧L2孔
518‧‧‧介電質
520'‧‧‧導體
520.0‧‧‧導體(接線段)(接觸墊)
520.1‧‧‧L1接線段
520.2‧‧‧L2接線段
520.3‧‧‧L3接線段
520.4‧‧‧L4接線段
520S‧‧‧晶種層
520T‧‧‧垂直接線
524.1‧‧‧L1囊封物(層)
524.2‧‧‧L2囊封物(層)
524.3‧‧‧L3囊封物(層)
524.4‧‧‧L4囊封物(層)
532‧‧‧保護層
534‧‧‧端子
540‧‧‧散熱片
610.3‧‧‧重新分佈層(RDL)
620.3‧‧‧互連件(RDL線路)
630.3‧‧‧接觸墊
810‧‧‧黏著劑
圖1、2、3、4係顯示具有根據先前技術的插入物之結構的垂直橫截面。
圖5A、5B.1係顯示在根據本發明的一些實施例之製造過程中的插入物的垂直橫截面。
圖5B.2係在根據本發明的一些實施例之製造過程中的插入物的俯視圖。
圖5C、5D、5E、5F、5G、5H、5I、5J、5K、5L、6、7、8A係顯示在根據本發明的一些實施例之製造過程中的插入物的垂直橫截面。
圖8B係在根據本發明的一些實施例之製造過程中的插入物的俯視圖。
在此段落所描述的實施例係說明而非限制本發明。本發明係由隨附的申請專利範圍所界定。
在本發明的一些實施例中,堆疊的晶粒或多晶片模組(MCM) 可被置放在一個插入物孔穴中,該孔穴可具有如在圖2-4或其他形狀的任何形狀。一些實施例使用類似於420的垂直接線,用於將上方的晶粒連接到在插入物之下方的電路。然而,在一些實施例中,該等接線僅為通過插入物厚度的一個小部分。舉例來說,該等接線可通過孔穴的底壁部而未通過周邊壁部的任何部分。
在一些實施例中,該種組件被製造為在該孔穴內之一個堆疊的階層;各個階層包括個別的晶粒或多個晶粒110與環繞該等晶粒的囊封物。一個垂直接線可通過多個囊封物階層。通過各個階層之接線段是藉由將導電材料沉積在此階層中所作成的一個孔中而分別作成。此短的段是比將導電材料沉積到其深度為接線的整個長度之一個較深的孔中而為較容易製造。由於長的接線為較容易製造,較高的堆疊為可能,且各個接線可為較窄以改善接線密度。
圖5A係說明根據本發明的一些實施例之插入物製造的開始階段。如在圖5A所示,插入物製造係以一個基板510來開始,例如:由矽(單晶或一些其他型式)或另一種半導體或非半導體材料所作成的一個晶圓。吾人將聚焦在適用於由矽所作成的晶圓之製造技術,但類似的技術可被用於其他的半導體與非半導體材料,其包括金屬、玻璃、陶瓷、聚合物、有機或複合材料,且包括疊層。
晶圓510係充分厚以提供期望的剛度、散耗熱、及/或其他期望性質。舉例來說,一些實施例使用200或300mm直徑且至少650微米厚度的一種單晶矽晶圓。在一些實施例中,要在該種結構中所作成之垂直接線的長度不必在選擇晶圓厚度時被納入考量,因為接線長度並非由晶圓 厚度所界定。
孔穴304係形成在晶圓510的頂表面之中,例如:藉由一種遮罩蝕刻。若期望時,多次蝕刻可用不同的遮罩而被使用,以提供如在圖4之一種階梯狀的側壁。一個示範的孔穴深度為400微米或更小。垂直接線將通過該孔穴的底壁部304B。
吾人將晶圓510的頂側稱為“孔穴側”,且將底側稱為“非孔穴側”。此外,吾人將該孔穴側稱為“頂側”,且總將其顯示在頂部,即使晶圓可在製造或操作期間被顛倒或以任何角度來翻轉。同理,吾人將該非孔穴側稱為“底側”。
若多個插入物被製造在同個晶圓之中,則多個孔穴304可被同時形成。該多個插入物可被同時作成,且晶圓可稍後被切塊以分割該等插入物。為了簡明,僅有一個插入物將被顯示。
該孔穴的側向限度係由周邊壁部304P所界定。該等壁部為充分寬(水平地)以提供期望的剛度且使該孔穴的底壁部304B與整個晶圓510保持平坦。
接著(圖5B.1)孔514.0被作成為通過該孔穴的底壁部304B以容納該等垂直接線的底部段。圖5B.2係該種結構的俯視圖,其具有一個陣列的孔514.0。該等孔可為任何數目,且可具有任何幾何形狀(如在圖5B.2之圓形、方形、或一些其他形狀)。
該等孔可由一種遮罩蝕刻來作成。該遮罩可被作成在非孔穴側,且該蝕刻可從該非孔穴側來進行。替代而言,遮罩與蝕刻可從孔穴側來實行。非遮罩技術亦可被使用,例如:從該孔穴或非孔穴側之雷射剝蝕 或衝壓(衝壓是適用在若插入物為由諸如軟塑膠的一種軟材料所作成)。其他方法亦可被使用。對於可模造材料(例如:塑膠)而言,圖5B.1與圖5B.2的結構可無需任何的蝕刻或遮罩而藉由模造來作成。
孔514.0將含有用於垂直接線之導電的基板穿孔(TSV,through-substrate via)。高密度TSV之習用的形成係一個有挑戰的過程,因為一方面而言,該等TSV是為了高密度而應為窄,且另一方面而言,該基板必須為厚以提供機械強度。作成其通過一個厚的基板之窄的TSV為困難。然而,圖5B.1、圖5B.2的晶圓係藉由周邊壁部304P而為強化,且該等TSV僅通過底壁部304B。若底壁部304B為薄,該等TSV可為窄且因此可為較高的密度。在一些矽的實施例中,該底壁部的厚度為20微米或更小。
若期望時,電路(未顯示)被形成在晶圓510之中,可能包括電晶體、二極體、電容器、及/或其他的電路元件。該電路可在孔穴304的形成之前且/或在任何其他製造階段而被形成在壁部304P及/或304B之中。
吾人將孔514.0稱為“階層0孔”或“階層L0孔”。其他的孔將被作成在更高的階層,如下文所述。(為了易於描述,吾人使用階層的概念;本發明是有關於結構及方法而非關於任何描述技術。)
若晶圓510係由非介電材料(例如:矽)所作成,介電質518(圖5C)可被形成在孔的側壁上。該介電質可覆蓋整個晶圓,如同在圖5C,或可覆蓋僅為該等孔的表面且如需要時而可能為另外的區域。若該介電質覆蓋整個晶圓,並不需要任何遮罩。若該介電質覆蓋僅為孔的表面,該介電質可使用其被用以形成該等孔514.0之同個遮罩(未顯示)來形成(舉例來說,該介電質可藉由一種剝離過程而型樣化)。
該介電質係可藉由濺鍍(此為適用於二氧化矽、氮化矽、與一些其他介電材料)、或熱氧化(若晶圓是由矽或其氧化物為介電的一些其他可氧化材料所作成)、或藉由一些其他過程所形成。上述的過程與介電材料並非為限制性。
若期望時,一個障壁層(未顯示)可被形成在該等孔中與別處的介電質518之上,以保護該晶圓為免於受到後續形成的材料(例如:金屬)之汙染。
如在圖5D所示,孔514.0係用導電材料520.0來填充(或內襯)以形成TSV,其將作用為接線段。此可藉由濺鍍或其他的技術來完成,例如:電鍍(其費用為相當低廉)。在圖5D的實例中,電鍍係使用形成的一個晶種(seed)層520S以覆蓋該非孔穴側。該晶種層可能或可能未進入該等孔514.0之中。在示範的實施例中,該晶種層係藉由濺鍍所形成的銅。為了防止銅形成在頂部(孔穴)側,濺鍍可為以某個角度來實行,即:該晶圓可為傾斜以防止銅分子為透過該等孔而到達該孔穴。替代而言,該晶種層可為經疊層在非孔穴側上的金屬薄片(例如:銅箔)。其他技術亦可被使用。
然後,該種結構被置放在一種電鍍槽(未顯示),且晶種層520S被連接到一個電源的一個端子534以作為在電鍍操作中的一個陰極。結果,孔514.0被電鍍具有導體520.0,例如:銅。導體520.0填充該等孔514.0,且因此將接觸墊提供在孔穴底部,即:在底壁部304B的頂表面。該等接觸墊可能比該等孔514.0為寬。
導體520.0可包括在不同的電鍍及/或無電式電鍍步驟中所作成的多層。
如在圖5D所示,若晶種510S並未從底部所遮罩,導體亦可被電鍍在晶種層的底部上。經電鍍在晶種層的底部上之導體是在圖5D被標示為520',但未被單獨標示在後續圖式中。而,為了簡化圖式,對於晶種層與導體520',吾人均使用標號520S,吾人將二層均稱為“晶種層520S”。
導體520.0、520S可包括多個導電層。在一些實施例中,導體的頂表面(在該等孔穴內)可使用作為用於其他接線段之電鍍的晶種,如下所述。
一個選用式保護層532(圖5E)(例如:聚醯亞胺或一些其他有機聚合物)可被沉積在層520'的底表面上,以保護該種結構且避免在後續的電鍍步驟中之在該底表面上的電鍍。
一個或多個晶粒110被置放到該孔穴中而在底壁部304B之上。三個晶粒110A、110B、110C被顯示,且可能存在任何數目。該等晶粒的接觸墊110C被附接到個別的接觸墊520.0(藉由諸如上述的140之連接,例如:焊錫、黏著劑、熱壓縮、或可能其他型式(可能為離散的接線);不同的連接可被使用於同個結構中的不同晶粒)。吾人將此等晶粒稱為階層1晶粒或階層L1晶粒或L1晶粒。
該等晶粒係藉由一種適合的介電囊封物524.1(在下文稱為階層1或L1“囊封物”)而為底部填充及囊封。底部填充可藉由毛細管或無流通技術來實行。囊封可藉由可模造材料之旋轉(spin-on)沉積及固化或可能藉由其他技術來實行。適合的底部填充劑及囊封物材料可為習用者,包括具有適合的填充劑之有機聚合物樹脂(諸如:苯環丁烯(BCB,benzocyclobutene)或環氧樹脂)、或可能其他型式。吾人將該底部填充劑及囊封物稱為“囊封 物524.1”。
在圖5E,囊封物524.1延伸到高達晶粒510B與510C的頂部表面,因此為側向及在下面囊封此等晶粒,但該囊封物並未覆蓋此等晶粒。囊封物524.1覆蓋晶粒110A。該囊封物可延伸到任何階層,尤其是可覆蓋超過一個晶粒,且/或反之為可終止在該等晶粒之一者或多者的頂部表面之下。頂部表面階層可藉由降低在沉積後的囊封物階層而作調整,該沉積為例如:使用化學蝕刻或藉由研磨物(例如:乾式或濕式噴砂)之蝕刻。
一些接觸墊520.0並未由任何的L1晶粒110所覆蓋。
孔514.1(圖5F)被形成在囊封物中以暴露出其未由L1晶粒110所覆蓋的接觸墊520.0。吾人將孔514.1稱為階層1或L1孔。用於作成L1孔514.1之一種適合的過程取決於囊封物的材料。舉例來說,對於樹脂而言,雷射剝蝕可被使用,其為一種在通過模具穿孔(TMV,through-mold via)之製造中的已知技術。若囊封物為可光成像,則光刻技術可被使用,即:透過一種基於玻璃的遮罩而曝光且隨後為在一種顯影液之中的顯影。此等實例並非為限制性。
L1孔514.1係暴露出接觸墊520.0。該等孔被填充或內襯有導體520.1(圖5G)以形成階層L1接線段。段520.1可為藉由電鍍所形成;電鍍電流可透過晶種層520S與L0接線段520.0而從非孔穴側來提供,藉由將該晶種層連接到一個電源的端子534。導體520.1填充(或內襯)L1孔514.1,且因此提供在L1囊封物524.1的頂表面之接觸墊(“L1接觸墊”)。該等L1接觸墊可能比該等L1孔514.1為寬。
此過程可被持續任何次數,以建立任何數目個階層。舉例來 說,圖5H-5L說明階層L2形成。包括晶粒110D之一個或多個晶粒110(“L2晶粒”)被附接在階層L1的頂部上;該等晶粒的接觸墊被附接到L1接觸墊520.1。在此實施例中,晶粒110D是在頂部與底部上均具有接觸墊112。頂部的接觸墊112將被附接到覆在上面的電路(尚未形成)。
L2囊封物524.2被形成以底部填充及囊封L2晶粒。L2孔514.2(圖5I)被形成在L2囊封物524.2之中以暴露其並未由一個晶粒所覆蓋的彼等L1接觸墊520.1。L2孔514.2被填充或內襯有導體520.2(圖5J),其提供L2接線段。導體520.2可為藉由電鍍所形成;電鍍電流可透過晶種層520S與較低階層的接線段520.0而從底側來提供,藉由將晶種層520S連接到一個電源的端子534。L2接線段520.2接觸在下面的L1接線段520.1,且提供在L2囊封物524.2的頂表面之接觸墊。該等接觸墊可能比該等L2孔514.2為寬。該等L2特徵可使用如同對於階層L1之上述的相同過程來形成。
圖5K係顯示一種最終的結構,其具有四個階層與在頂部上的一個散熱片540。階層L3包括一個晶粒110E,其一些底部接觸墊被附接到階層L2接觸墊520.2(未標示在此圖中)。晶粒110E的其他底部接觸墊被附接到L2晶粒110D的頂部接觸墊112。L3晶粒110E是藉由囊封物524.3所底部填充且側向囊封,囊封物524.3是由可模造材料所作成。L3接線段520.3是通過囊封物524.3的垂直段。L4晶粒110F具有底部接觸墊,其被附接到L3晶粒110E的頂部接觸墊且附接到接線段520.3(到由此等段所提供的頂部接觸墊)。L3接線段520.3可藉由電鍍來形成;電鍍電流可從底側而透過較低階層的接線段520.0、520.1、520.2來提供。L4晶粒110F是藉由囊封物524.4而為底部填充及側向囊封,囊封物524.4是由可模造材料所作成。 L4接線段520.4是通過囊封物524.4的垂直段。例如晶粒、MCM、或離散接線或其他離散構件之外部電路(未顯示)可被附接到段524.4的頂端。該等頂端可被形成以利於此類的附接;舉例來說,若附接將為藉由焊錫,則諸如鎳的一個障壁層可被電鍍或非電式電鍍以保護段524.4的下面部分為免於焊錫汙染;且,金可被電鍍或非電式電鍍在段524.4的頂部上以阻止在焊接期間的氧化。
圖5K的結構係包括一個垂直接線510T,其不具有電氣功能性而為提供熱移除。此接線是由階層0到階層4的垂直段520(即:520.0、520.1、520.2、520.3、520.4)所組成。此接線延伸到散熱片540。頂部階層段520.4可藉由電鍍來形成;電鍍電流可從底側而透過較低階層的接線段520.0、520.1、520.2、520.3來提供。任何數目個熱移除接線可被提供;該等熱移除接線可能或可能未延伸到散熱片。
頂部階層L4包括接線段520.4,其提供在囊封物520.4的頂部而和散熱片540為並排的接觸墊。此等接觸墊可被連接到外部電路,如上所述。
在一些實施例中,各個囊封層524係在一個單獨的操作中被沉積及固化;該囊封層不具有任何單獨固化的子層。因此,該囊封層不具有其將會存在於子層之間的任何內部表面邊界。一個內部表面邊界係由表面狀態來描述其特徵。一個表面狀態係具有分子能量為高於該表面下方之一種狀態;表面狀態可由視覺來偵測(可能使用顯微鏡觀察)或可能藉由超音波或其他方式。然而,本發明不受限於此類的實施例。
在一些實施例中,若一個階層Li的一個或多個晶粒110係 以不同於側向囊封的一種操作而底部填充,且該底部填充劑被個別固化,則囊封層可具有在該底部填充劑與該囊封物的側向囊封部分之間的邊界的一個表面。再者,該側向囊封部分可由不同於該底部填充劑的一種材料所作成。
在所有階層的製造之後或在製造期間的任何點,該插入物的底部可被處理以任何期望方式來將保護層532與導體520S移除或型樣化。在圖5L,保護層532被移除,且該導體被薄化及型樣化以提供在接線段520.0的末端之接觸墊120C.B。此等接觸墊可被附接到其他晶粒、MCM、或諸如PCB或插入物的封裝基板。替代而言,其他電路可被形成在底部上,例如:作為包括多個介電、導電、與半導體層之一個重新分佈層(RDL,redistribution layer)的部分者,其提供電路為經耦合到接線段520.0且可從在插入物下方所接近。該重新分佈層可具有在底部的接觸墊,其可被耦合到其他晶粒、MCM、或諸如PCB或插入物的封裝基板。
圖6係顯示其可在一些實施例中為可用之另外的特徵。在此,階層L3包括一個重新分佈層610.3,其具有由介電層所分開的若干個導電層。該等導電層提供互連件620.3,其垂直及側向(可能為水平)延伸。互連件620.3終止在該RDL之頂部的接觸墊630.3。如所期望,互連件620.3將在下面的接線段520.2與在上面的接線段520.3互連。在一些實施例中,RDL 610.3的介電層是由如同囊封層524(例如:藉由一種旋轉過程所沉積之可模造的有機聚合物、或來自上述的其他材料)之相同或類似的材料所形成,且藉由相同的技術(例如:光刻技術或雷射剝蝕)而為型樣化。該等導電層亦可藉由其他的沉積與型樣化技術來形成,例如:使用光刻技術或藉由 附加的製造(印製)。
在圖6的實例中,一些RDL線路620.3將L2接線段520.2連接到L3接線段520.3。一條線路620.3將L2晶粒110E的一個頂部接觸墊112連接到一個L2接線段520.2的頂部。另一條線路620.3將一個L2接線段520.2連接到L3晶粒110F的一個底部接觸墊。
如必要時,在線路620.3的末端之接觸墊630.3可提供一個區域陣列型樣或其他型樣以供連接到L3晶粒與接線段。
在一些實施例中,階層L2包括一個晶粒,其不具有底部接觸墊而僅具有由RDL 610.3所連接到其他電路的頂部接觸墊。任何階層均可包括其不具有底部接觸墊而具有附接到在該孔穴內或外的其他電路的頂部接觸墊之一個晶粒。此外,一個晶粒可不具有頂部接觸墊。在圖7的實例中,晶粒110E不具有頂部接觸墊,再者,L2囊封物524.2覆蓋該晶粒,且RDL 610.3覆蓋該囊封物。
階層L3接線段520.3(圖6、7)可藉由電鍍而形成在RDL 610.3的頂部;電鍍電流是透過接線段620.3而從底層520S被導通到RDL 610.3的頂部。
L4接線段520.4與其個別在下面的L3段520.3係用於熱移除。
以上,RDL 610.3係關聯於階層L3,但此僅為術語的問題:吾人可稱RDL 610.3係階層L2的部分者。一個RDL可被提供在任何階層。此外,多個交替的RDL與囊封層可被提供在單一層中,即:用於附接到前個階層之單一組的晶粒110。
基板510可由不同的基板所組裝,且一個實例被顯示在圖8A(垂直橫截面)與圖8B(比圖8A為小的刻度之俯視圖)。在此實例中,基板510包括一個平面基板510.1與其附接到平面基板510.1的一個基板510.2。該附接是藉由黏著劑810,但直接黏合亦可被使用。在圖8B之中,多個基板510.2(下文稱為“框架”)被附接到單一個平面基板510.1,且多個結構是由圖7的型式或上述的其他型式而被形成在同個平面基板510.1。在製造結束時或在任何其他製造階段,平面基板510.1可沿著通過在框架510.2之間的直線(未顯示)而切割。
平面基板510.1與框架510.2可由相同或不同材料所作成,包括用於晶圓510之上述的任何材料。若存在時,黏著劑810可為任何適合的黏著劑,例如:塑膠,其為以可流通的形式所沉積且接著使用熱、壓力、輻射、及/或其他方式所固化。非可流通的黏著劑亦可被使用。基板510可包括超過二個基板之一種堆疊,例如:各個框架510.2或平面基板510.1可為多個基板之一種疊層。
不論基板510是否為多個基板的一個組件,基板510(以及若存在時的各個構成基板)可為一種一致或非一致的媒體,即:在各個內部點可能或可能未具有相同的物體性質。在一些實施例中,基板510係關於以下性質的任一者或多者而為一致:化學組成、密度、彈性模數、導電性、介電常數、對於一個或多個波長(可能為所有超音波(US,ultrasound)波長)的超音波(US)傳播速度、對於一個或多個波長(例如:在紅外線範圍與以下的波長)的光波傳播速度。舉例來說,一致的基板510可為單一晶體(例如:單晶矽),且可為有機或無機,且可為一種複合材料或上述的其他材料。此等 實例並非為限制性。若基板510為諸如510.1與510.2的多件之一種組件,則在一些實施例中,相鄰的諸件之一個介面區域(該區域包括該二件的相鄰部分)是關於上文所述的性質之至少一者為不一致,即:化學組成、密度、彈性模數、導電性、介電常數、對於一個或多個波長的超音波傳播速度、對於一個或多個波長的光波傳播速度。舉例來說,在圖7A,該介面區域被界定為包括黏著劑810以及基板510.1與510.2的相鄰表面,且若該黏著劑具有不同於基板510.1或510.2的相鄰表面之化學組成,該介面為不一致。若該附接是藉由基板510.1之直接黏合到基板510.2且該等基板是由相同的材料(例如:矽)所作成,該介面可為一致,且該基板510可與單一件所初始作成的一個基板為難以分辨。然而,該介面亦可關於光或聲音傳播速度為不一致,例如:若該介面包括可由超音波(US)或頻譜成像所偵測的氣泡或其他狀態。
本發明不受限於上述的實施例。其他的實施例與變化是在本發明的範疇內,如由隨附的申請專利範圍所界定。
110A、110B、110C、110D、110E、110F、110G‧‧‧晶粒
112‧‧‧接觸墊
120C.B‧‧‧接觸墊
520.0、520.1、520.2、520.3、520.4‧‧‧接線段
524.2、524.3、524.4‧‧‧囊封物
610.3‧‧‧重新分佈層(RDL)
620.3‧‧‧互連件

Claims (21)

  1. 一種電路組件,其包含複數個階層L0到Ln,其中n係大於1的一個整數,該電路組件包含一個基板,其頂側包含一個孔穴,該孔穴包含一個底壁部;其中該階層L0包含:該底壁部;複數個通孔,其之每一個通過該底壁部;在個別的通孔中的複數個導體(“L0導體”);其中對於除了L0與L1之外的各個階層Li:至少部分的階層Li係覆在至少部分的階層Li-1之上;各個階層Li包含一個或多個電路模組,其之每一個具有一個或多個接觸墊,各個接觸墊係藉由置於該孔穴中的一個或多個第一導電路徑而被電氣連接到一個或多個L0導體。
  2. 如申請專利範圍第1項之電路組件,其中該基板包含:第一基板,其包含該底壁部;及第二基板,其包含該孔穴的側壁部,該第二基板被附接到該第一基板;其中該第一與第二基板的一個介面區域係關於以下的至少一者而為不一致:化學組成、密度、彈性模數、導電性、介電常數、對於一個或多個波長的超音波傳播速度、對於不超過紅外線波長之一個或多個波長的光波傳播速度。
  3. 如申請專利範圍第1項之電路組件,其更包含:由一種可模造材料所作成的複數個第一囊封層,其中各個階層L1到Ln-1包含至少一個第一 囊封層,其側向囊封在該階層的該一個或多個電路模組。
  4. 如申請專利範圍第3項之電路組件,其中各個第一囊封層的至少第一部分係至少上升為高達其由該第一囊封層所側向囊封的至少一個電路模組的一個頂表面;其中各個第一囊封層的至少該第一部分係至少下降為低達其由該第一囊封層所側向囊封的至少一個電路模組的一個底表面;其中各個第一囊封層的至少該第一部分係在單一個固化操作中被形成而不具有個別固化的子層。
  5. 如申請專利範圍第4項之電路組件,其中對於通過任何第一囊封層的各個第一導電路徑,該第一導電路徑包含其通過該第一囊封層的一個垂直段。
  6. 如申請專利範圍第3項之電路組件,其中i大於0之至少一個階層Li包含在其通過該階層的第一囊封層之至少一個第一導電路徑中的至少一個水平段,該水平段係低於該階層的第一囊封層。
  7. 如申請專利範圍第6項之電路組件,其中該水平段係覆在階層Li-1的該第一囊封層與階層Li-1的至少一個晶粒之上,該晶粒係由階層Li-1的該第一囊封層所覆蓋。
  8. 如申請專利範圍第3項之電路組件,其更包含:在該孔穴中的一個或多個第二導電路徑,其中各個第二導電路徑不具有電氣功能性而為用於加強從該電路組件到周圍的熱移除,其中各個第二導電路徑係從一個L0導體而延伸通過除了L0之外的一個或多個階層且用於除了L0之外的各個階層。
  9. 如申請專利範圍第3項之電路組件,其中各個第一囊封層具有一個平面的頂表面。
  10. 如申請專利範圍第1項之電路組件,其中通過該底壁部的各個通孔係垂直,且各個L0導體係垂直。
  11. 一種製造方法,其包含:得到一種結構,其包含:一個基板,其頂側包含一個孔穴,該孔穴包含一個底壁部,該基板包含複數個通孔(“L0通孔”),其之每一個通過該底壁部;複數個導體(“L0導體”),其之每一個通過個別的L0通孔;一個導電層,其置於該底壁部之下且被電氣連接到各個L0導體;將一個或多個電路模組(“L1電路模組”)附接到該孔穴的一個底部以將各個L1電路模組的一個或多個接觸墊電氣連接到一個或多個L0導體;形成一種可模造材料的一個囊封層(“L1囊封層”)以側向囊封該一個或多個L1電路模組;形成在該L1囊封層之中的一個或多個通孔(“L1通孔”),各個L1通孔暴露出其為由至少一個L0導體所提供或經電氣連接到該至少一個L0導體的一個特徵;且將導電材料電鍍到該等L1通孔中而在由該等L1通孔所暴露的該等特徵上,以形成一個或多個L1導體,各個L1導體通過個別的L1通孔,其中該電鍍包含提供一個電鍍電流,其流通過該等特徵、該等L0導體、該導電層、及經耦合到該導電層之一個電源的一個端子。
  12. 如申請專利範圍第11項之方法,其中該基板包含:第一基板,其包含該底壁部;及第二基板,其包含該孔穴的側壁部,該第二基板被附接到該第一基板;且得到該種結構包含:將該第一基板附接到該第二基板以提供該基板。
  13. 如申請專利範圍第11項之方法,其更包含:形成在該孔穴的底部上之一個重新分佈層,其中該等特徵係在該重新分佈層的一個頂部上,該重新分佈層係將該等特徵電氣連接到該等L0導體。
  14. 如申請專利範圍第11項之方法,其更包含:將一個或多個電路模組(“L2電路模組”)附接在該孔穴內以將各個L2電路模組的一個或多個接觸墊電氣連接到一個或多個L1導體;形成一種可模造材料的一個囊封層(“L2囊封層”)以側向囊封該一個或多個L2電路模組;形成在該L2囊封層之中的一個或多個通孔(“L2通孔”),各個L2通孔暴露出其為由至少一個L1導體所提供或經電氣連接到該至少一個L1導體的一個特徵;且將導電材料電鍍到該等L2通孔中而在由該等L2通孔所暴露的該等特徵上,以形成複數個L2導體,各個L2導體係通過個別的L2通孔,其中該電鍍包含提供一個電鍍電流,其流通過該等L1導體、該等L0導體、該導電層、及經耦合到該導電層之一個電源的一個端子。
  15. 一種電路組件,其包含:一個基板,其頂側包含一個孔穴,該孔穴包含一個底壁部; 複數個通孔,其之每一個通過該底壁部;在個別的通孔中的複數個導體;在該孔穴中的複數個電路模組,各個電路模組具有一個或多個接觸墊;在該孔穴中而覆在彼此之上的複數個第一囊封層,其中各個第一囊封層係由一種可模造材料所作成且側向囊封該等電路模組的一者或多者;複數個第一導電路徑,其之每一個置於該孔穴中且通過一個或多個第一囊封層以將至少一個電路模組的至少一個接觸墊連接到其通過該底壁部的至少一個導體。
  16. 如申請專利範圍第15項之電路組件,其中該基板包含:第一基板,其包含該底壁部;及第二基板,其包含該孔穴的側壁部,該第二基板被附接到該第一基板;其中該第一與第二基板的一個介面區域係關於以下的至少一者而為不一致:化學組成、密度、彈性模數、導電性、介電常數、對於一個或多個波長的超音波傳播速度、對於不超過紅外線波長之一個或多個波長的光波傳播速度。
  17. 如申請專利範圍第15項之電路組件,其中各個第一囊封層的至少第一部分係至少上升為高達其由該第一囊封層所側向囊封的至少一個電路模組的一個頂表面;其中各個第一囊封層的至少該第一部分係至少下降為低達其由該第一囊封層所側向囊封的至少一個電路模組的一個底表面;其中各個第一囊封層的至少該第一部分係在單一個固化操作中被形成而不具有個別固化的子層。
  18. 如申請專利範圍第17項之電路組件,其中各個囊封層具有一個平面的頂表面。
  19. 如申請專利範圍第15項之電路組件,其更包含:一個或多個水平的導電互連件,其之每一個屬於通過覆在該水平的導電互連件之上的第一囊封層之至少一個第一導電路徑。
  20. 如申請專利範圍第15項之電路組件,其更包含:在該孔穴中的一個或多個第二導電路徑,其中各個第二導電路徑不具有電氣功能性而為用於加強從該電路組件到周圍的熱移除,其中各個第二導電路徑係開始在該通孔中的一個導體且延伸通過該等囊封層的一者或多者。
  21. 如申請專利範圍第15項之電路組件,其中通過該底壁部的各個通孔係垂直,且在該底壁部中的各個通孔之中的各個導體係垂直。
TW104140292A 2014-03-12 2015-12-02 在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法 TWI685079B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461952066P 2014-03-12 2014-03-12
US14/558,462 2014-12-02
US14/558,462 US9324626B2 (en) 2014-03-12 2014-12-02 Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication

Publications (2)

Publication Number Publication Date
TW201630147A true TW201630147A (zh) 2016-08-16
TWI685079B TWI685079B (zh) 2020-02-11

Family

ID=54069679

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104107704A TWI573223B (zh) 2014-03-12 2015-03-10 空腔基板保護之積體電路
TW104140292A TWI685079B (zh) 2014-03-12 2015-12-02 在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104107704A TWI573223B (zh) 2014-03-12 2015-03-10 空腔基板保護之積體電路

Country Status (4)

Country Link
US (6) US20150262902A1 (zh)
KR (1) KR20160132093A (zh)
TW (2) TWI573223B (zh)
WO (1) WO2015138393A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107863333A (zh) * 2017-11-15 2018-03-30 贵州贵芯半导体有限公司 高散热等线距堆栈芯片封装结构及其封装方法
TWI635544B (zh) * 2017-03-22 2018-09-11 東芝記憶體股份有限公司 半導體裝置之製造方法及半導體裝置

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
KR102052294B1 (ko) * 2013-09-27 2019-12-04 인텔 코포레이션 수동 부품용 중첩체 기판을 구비한 다이 패키지
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
JP6332439B2 (ja) * 2014-03-31 2018-05-30 富士電機株式会社 電力変換装置
US9165793B1 (en) * 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
KR102373809B1 (ko) * 2014-07-02 2022-03-14 삼성전기주식회사 패키지 구조체 및 그 제조 방법
US9252127B1 (en) 2014-07-10 2016-02-02 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
US9627285B2 (en) 2014-07-25 2017-04-18 Dyi-chung Hu Package substrate
TWI558288B (zh) * 2014-09-10 2016-11-11 恆勁科技股份有限公司 中介基板及其製法
DE102014114982B4 (de) * 2014-10-15 2023-01-26 Infineon Technologies Ag Verfahren zum Bilden einer Chip-Baugruppe
US10685904B2 (en) 2014-11-21 2020-06-16 Delta Electronics, Inc. Packaging device and manufacturing method thereof
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US10306777B2 (en) * 2014-12-15 2019-05-28 Bridge Semiconductor Corporation Wiring board with dual stiffeners and dual routing circuitries integrated together and method of making the same
US10541229B2 (en) 2015-02-19 2020-01-21 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US10217724B2 (en) * 2015-03-30 2019-02-26 Mediatek Inc. Semiconductor package assembly with embedded IPD
US9666514B2 (en) * 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
US20170040266A1 (en) 2015-05-05 2017-02-09 Mediatek Inc. Fan-out package structure including antenna
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10276541B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10056338B2 (en) * 2015-10-27 2018-08-21 Micron Technology, Inc. Methods of forming semiconductor packages including molding semiconductor chips of the semiconductor packages
US10256213B2 (en) * 2015-12-10 2019-04-09 Intel Corporation Reduced-height electronic memory system and method
US9818637B2 (en) * 2015-12-29 2017-11-14 Globalfoundries Inc. Device layer transfer with a preserved handle wafer section
US9984998B2 (en) 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US20170287870A1 (en) * 2016-04-01 2017-10-05 Powertech Technology Inc. Stacked chip package structure and manufacturing method thereof
TWI606563B (zh) * 2016-04-01 2017-11-21 力成科技股份有限公司 薄型晶片堆疊封裝構造及其製造方法
EP3240027B1 (en) * 2016-04-25 2021-03-17 Technische Hochschule Ingolstadt Semiconductor package
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10109540B2 (en) 2016-06-08 2018-10-23 International Business Machines Corporation Fabrication of sacrificial interposer test structure
US9818729B1 (en) * 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
KR102019352B1 (ko) * 2016-06-20 2019-09-09 삼성전자주식회사 팬-아웃 반도체 패키지
JP6716363B2 (ja) 2016-06-28 2020-07-01 株式会社アムコー・テクノロジー・ジャパン 半導体パッケージ及びその製造方法
US10204884B2 (en) * 2016-06-29 2019-02-12 Intel Corporation Multichip packaging for dice of different sizes
US11469215B2 (en) * 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9825007B1 (en) * 2016-07-13 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
KR102569815B1 (ko) * 2016-10-01 2023-08-22 인텔 코포레이션 전자 디바이스 패키지
WO2018067719A2 (en) 2016-10-07 2018-04-12 Invensas Bonding Technologies, Inc. Direct-bonded native interconnects and active base die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US9922845B1 (en) * 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
MY192051A (en) * 2016-12-29 2022-07-25 Intel Corp Stacked dice systems
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US11276667B2 (en) * 2016-12-31 2022-03-15 Intel Corporation Heat removal between top and bottom die interface
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10410940B2 (en) * 2017-06-30 2019-09-10 Intel Corporation Semiconductor package with cavity
US10475747B2 (en) * 2017-08-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
DE102017123175B4 (de) 2017-10-05 2024-02-22 Infineon Technologies Ag Halbleiterbauteil und Verfahren zu dessen Herstellung
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
EP3483921A1 (en) * 2017-11-11 2019-05-15 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Embedding known-good component in known-good cavity of known-good component carrier material with pre-formed electric connection structure
US10784247B2 (en) * 2017-11-15 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Process control for package formation
DE102017127089B4 (de) * 2017-11-17 2022-05-25 Infineon Technologies Austria Ag Multi-Die-Gehäuse und Leistungswandler
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
KR102404058B1 (ko) 2017-12-28 2022-05-31 삼성전자주식회사 반도체 패키지
WO2019132958A1 (en) * 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
DE112018006757T5 (de) * 2018-01-03 2020-10-01 Intel Corporation Gestapelte Halbleiter-Die-Architektur mit mehreren Disaggregationsschichten
DE102018102144A1 (de) * 2018-01-31 2019-08-01 Tdk Electronics Ag Elektronisches Bauelement
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10727203B1 (en) * 2018-05-08 2020-07-28 Rockwell Collins, Inc. Die-in-die-cavity packaging
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
CN109003961B (zh) * 2018-07-26 2020-06-16 华进半导体封装先导技术研发中心有限公司 一种3d系统集成结构及其制造方法
US10825774B2 (en) 2018-08-01 2020-11-03 Samsung Electronics Co., Ltd. Semiconductor package
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11024605B2 (en) * 2019-05-31 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN112670249A (zh) 2019-10-16 2021-04-16 长鑫存储技术有限公司 半导体封装方法、半导体封装结构及封装体
CN112670274A (zh) * 2019-10-16 2021-04-16 长鑫存储技术有限公司 半导体封装方法、半导体封装结构及封装体
US11018056B1 (en) * 2019-11-01 2021-05-25 Micron Technology, Inc. Encapsulated solder TSV insertion interconnect
US11088114B2 (en) 2019-11-01 2021-08-10 Micron Technology, Inc. High density pillar interconnect conversion with stack to substrate connection
US10998271B1 (en) 2019-11-01 2021-05-04 Micron Technology, Inc. High density pillar interconnect conversion with stack to substrate connection
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
CN110828496B (zh) * 2019-11-15 2022-10-11 华天科技(昆山)电子有限公司 半导体器件及其制造方法
KR102643424B1 (ko) 2019-12-13 2024-03-06 삼성전자주식회사 반도체 패키지
KR20210076589A (ko) * 2019-12-16 2021-06-24 삼성전기주식회사 전자부품 내장기판
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11444059B2 (en) * 2019-12-19 2022-09-13 Micron Technology, Inc. Wafer-level stacked die structures and associated systems and methods
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
CN111115553B (zh) * 2019-12-25 2023-04-14 北京遥测技术研究所 一种基于储能焊接方式的双腔室金属封装外壳及封装方法
US11404337B2 (en) 2019-12-27 2022-08-02 Apple Inc. Scalable extreme large size substrate integration
JP7354885B2 (ja) * 2020-03-12 2023-10-03 富士通株式会社 半導体装置及び半導体装置の製造方法
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11302683B2 (en) * 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11552029B2 (en) * 2020-09-04 2023-01-10 Micron Technology, Inc. Semiconductor devices with reinforced substrates
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN112218428B (zh) * 2020-11-04 2022-02-18 生益电子股份有限公司 一种内埋空腔的制作方法及pcb
EP4362086A1 (en) * 2021-08-19 2024-05-01 Huawei Technologies Co., Ltd. Chip package structure and electronic apparatus
US11810895B2 (en) * 2021-10-14 2023-11-07 Honeywell Federal Manufacturing & Technologies, Llc Electrical interconnect structure using metal bridges to interconnect die
KR20230067129A (ko) * 2021-11-09 2023-05-16 삼성전기주식회사 인쇄회로기판
CN116230555B (zh) * 2023-05-06 2023-08-29 芯盟科技有限公司 芯片载体、其形成方法以及晶圆键合结构的形成方法
CN116230556B (zh) * 2023-05-06 2023-08-29 芯盟科技有限公司 芯片载体、其形成方法、晶圆键合结构及其形成方法
CN117153839A (zh) * 2023-08-28 2023-12-01 湖北三维半导体集成创新中心有限责任公司 一种封装结构及其制造方法

Family Cites Families (405)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US5567653A (en) 1994-09-14 1996-10-22 International Business Machines Corporation Process for aligning etch masks on an integrated circuit surface using electromagnetic energy
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
US5701233A (en) 1995-01-23 1997-12-23 Irvine Sensors Corporation Stackable modules and multimodular assemblies
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
US6008536A (en) 1997-06-23 1999-12-28 Lsi Logic Corporation Grid array device package including advanced heat transfer mechanisms
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6157076A (en) 1997-06-30 2000-12-05 Intersil Corporation Hermetic thin pack semiconductor device
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6624505B2 (en) 1998-02-06 2003-09-23 Shellcase, Ltd. Packaged integrated circuits and methods of producing thereof
JP3630551B2 (ja) 1998-04-02 2005-03-16 株式会社東芝 半導体記憶装置及びその製造方法
JP3857435B2 (ja) 1998-08-31 2006-12-13 ローム株式会社 光半導体素子、光半導体素子の実装構造、および光半導体素子群の包装構造
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
TW426931B (en) 1999-07-29 2001-03-21 Mosel Vitelic Inc Manufacturing method and structure of trench type capacitor having a cylindrical conductive plate
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP2001148436A (ja) 1999-11-22 2001-05-29 Ngk Spark Plug Co Ltd セラミックパッケージ及びセラミックパッケージの製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6251796B1 (en) 2000-02-24 2001-06-26 Conexant Systems, Inc. Method for fabrication of ceramic tantalum nitride and improved structures based thereon
JP2001267473A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd 半導体装置およびその製造方法
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6492726B1 (en) 2000-09-22 2002-12-10 Chartered Semiconductor Manufacturing Ltd. Chip scale packaging with multi-layer flip chip arrangement and ball grid array interconnection
US6900549B2 (en) 2001-01-17 2005-05-31 Micron Technology, Inc. Semiconductor assembly without adhesive fillets
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6451650B1 (en) 2001-04-20 2002-09-17 Taiwan Semiconductor Manufacturing Company Low thermal budget method for forming MIM capacitor
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US20020179921A1 (en) 2001-06-02 2002-12-05 Cohn Michael B. Compliant hermetic package
US7061102B2 (en) 2001-06-11 2006-06-13 Xilinx, Inc. High performance flipchip package that incorporates heat removal with minimal thermal mismatch
US6856007B2 (en) 2001-08-28 2005-02-15 Tessera, Inc. High-frequency chip packages
US6787916B2 (en) 2001-09-13 2004-09-07 Tru-Si Technologies, Inc. Structures having a substrate with a cavity and having an integrated circuit bonded to a contact pad located in the cavity
US6620701B2 (en) 2001-10-12 2003-09-16 Infineon Technologies Ag Method of fabricating a metal-insulator-metal (MIM) capacitor
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
JP2003204074A (ja) 2001-10-29 2003-07-18 Sharp Corp 太陽電池用封止膜、およびこれを用いた太陽電池パネルの製造方法
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7633765B1 (en) 2004-03-23 2009-12-15 Amkor Technology, Inc. Semiconductor package including a top-surface metal layer for implementing circuit features
JP2004014714A (ja) 2002-06-05 2004-01-15 Mitsubishi Electric Corp キャパシタの製造方法
US6876062B2 (en) 2002-06-27 2005-04-05 Taiwan Semiconductor Manufacturing Co., Ltd Seal ring and die corner stress relief pattern design to protect against moisture and metallic impurities
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
AU2002337581A1 (en) 2002-09-09 2004-03-29 Singapore Institute Of Manufacturing Technology Apparatus and method for bonding strength testing
GB0221439D0 (en) 2002-09-16 2002-10-23 Enpar Technologies Inc Ion-exchange/electrochemical treatment of ammonia in waste-water
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP4056854B2 (ja) 2002-11-05 2008-03-05 新光電気工業株式会社 半導体装置の製造方法
US6919508B2 (en) 2002-11-08 2005-07-19 Flipchip International, Llc Build-up structures with multi-angle vias for chip to chip interconnects and optical bussing
US7400036B2 (en) 2002-12-16 2008-07-15 Avago Technologies General Ip Pte Ltd Semiconductor chip package with a package substrate and a lid cover
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP4390541B2 (ja) * 2003-02-03 2009-12-24 Necエレクトロニクス株式会社 半導体装置及びその製造方法
WO2004070792A2 (en) 2003-02-04 2004-08-19 Advanced Interconnect Technologies Limited Thin multiple semiconductor die package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI278975B (en) 2003-03-04 2007-04-11 Siliconware Precision Industries Co Ltd Semiconductor package with heatsink
SG137651A1 (en) 2003-03-14 2007-12-28 Micron Technology Inc Microelectronic devices and methods for packaging microelectronic devices
JP2004281830A (ja) 2003-03-17 2004-10-07 Shinko Electric Ind Co Ltd 半導体装置用基板及び基板の製造方法及び半導体装置
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7102217B2 (en) 2003-04-09 2006-09-05 Micron Technology, Inc. Interposer substrates with reinforced interconnect slots, and semiconductor die packages including same
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040259325A1 (en) 2003-06-19 2004-12-23 Qing Gan Wafer level chip scale hermetic package
US7012326B1 (en) 2003-08-25 2006-03-14 Xilinx, Inc. Lid and method of employing a lid on an integrated circuit
KR100537892B1 (ko) 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
TWI251916B (en) 2003-08-28 2006-03-21 Phoenix Prec Technology Corp Semiconductor assembled heat sink structure for embedding electronic components
US7031162B2 (en) 2003-09-26 2006-04-18 International Business Machines Corporation Method and structure for cooling a dual chip module with one high power chip
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7183643B2 (en) 2003-11-04 2007-02-27 Tessera, Inc. Stacked packages and systems incorporating the same
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US6979899B2 (en) * 2003-12-31 2005-12-27 Texas Instruments Incorported System and method for high performance heat sink for multiple chip devices
US7115988B1 (en) 2004-01-21 2006-10-03 Altera Corporation Bypass capacitor embedded flip chip package lid and stiffener
CN1645172A (zh) 2004-01-22 2005-07-27 松下电器产业株式会社 光传送路基板、光传送路内置基板、及它们的制造方法
US7165896B2 (en) 2004-02-12 2007-01-23 Hymite A/S Light transmitting modules with optical power monitoring
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP4441328B2 (ja) 2004-05-25 2010-03-31 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP3972209B2 (ja) 2004-05-26 2007-09-05 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US7183622B2 (en) 2004-06-30 2007-02-27 Intel Corporation Module integrating MEMS and passive components
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7786591B2 (en) 2004-09-29 2010-08-31 Broadcom Corporation Die down ball grid array package
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
KR101211576B1 (ko) 2004-11-04 2012-12-12 마이크로칩스 인코포레이티드 압축 및 냉간 용접 밀봉 방법 및 장치
KR100498708B1 (ko) 2004-11-08 2005-07-01 옵토팩 주식회사 반도체 소자용 전자패키지 및 그 패키징 방법
JP4677991B2 (ja) 2004-12-02 2011-04-27 株式会社村田製作所 電子部品及びその製造方法
KR100594952B1 (ko) 2005-02-04 2006-06-30 삼성전자주식회사 웨이퍼 레벨 패키징 캡 및 그 제조방법
US7358106B2 (en) 2005-03-03 2008-04-15 Stellar Micro Devices Hermetic MEMS package and method of manufacture
US7442570B2 (en) 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
WO2006124597A2 (en) 2005-05-12 2006-11-23 Foster Ron B Infinitely stackable interconnect device and method
US7215032B2 (en) 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
JP2007019107A (ja) 2005-07-05 2007-01-25 Shinko Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
JP4889974B2 (ja) 2005-08-01 2012-03-07 新光電気工業株式会社 電子部品実装構造体及びその製造方法
JP2007042719A (ja) 2005-08-01 2007-02-15 Nec Electronics Corp 半導体装置
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7582969B2 (en) 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
US20070045795A1 (en) 2005-08-31 2007-03-01 Mcbean Ronald V MEMS package and method of forming the same
US7906803B2 (en) 2005-12-06 2011-03-15 Canon Kabushiki Kaisha Nano-wire capacitor and circuit device therewith
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7344954B2 (en) 2006-01-03 2008-03-18 United Microelectonics Corp. Method of manufacturing a capacitor deep trench and of etching a deep trench opening
US7560761B2 (en) 2006-01-09 2009-07-14 International Business Machines Corporation Semiconductor structure including trench capacitor and trench resistor
US20070188054A1 (en) 2006-02-13 2007-08-16 Honeywell International Inc. Surface acoustic wave packages and methods of forming same
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7977579B2 (en) 2006-03-30 2011-07-12 Stats Chippac Ltd. Multiple flip-chip integrated circuit package system
DE102006016260A1 (de) 2006-04-06 2007-10-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mikromechanische Gehäusung mit mindestens zwei Kavitäten mit unterschiedlichem Innendruck und/oder unterschiedlicher Gaszusammensetzung sowie Verfahren zu deren Herstellung
US7390700B2 (en) 2006-04-07 2008-06-24 Texas Instruments Incorporated Packaged system of semiconductor chips having a semiconductor interposer
US7510928B2 (en) 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
US7462931B2 (en) 2006-05-15 2008-12-09 Innovative Micro Technology Indented structure for encapsulated devices and method of manufacture
US7513035B2 (en) 2006-06-07 2009-04-07 Advanced Micro Devices, Inc. Method of integrated circuit packaging
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP5107539B2 (ja) 2006-08-03 2012-12-26 新光電気工業株式会社 半導体装置および半導体装置の製造方法
TWI367557B (en) 2006-08-11 2012-07-01 Sanyo Electric Co Semiconductor device and manufaturing method thereof
US7430359B2 (en) 2006-10-02 2008-09-30 Miradia, Inc. Micromechanical system containing a microfluidic lubricant channel
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US20080124835A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Hermetic seal and reliable bonding structures for 3d applications
US7550857B1 (en) 2006-11-16 2009-06-23 Amkor Technology, Inc. Stacked redistribution layer (RDL) die assembly package
US20080128897A1 (en) 2006-12-05 2008-06-05 Tong Wa Chao Heat spreader for a multi-chip package
KR100833508B1 (ko) 2006-12-07 2008-05-29 한국전자통신연구원 멤즈 패키지 및 그 방법
US7670921B2 (en) 2006-12-28 2010-03-02 International Business Machines Corporation Structure and method for self aligned vertical plate capacitor
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8183687B2 (en) 2007-02-16 2012-05-22 Broadcom Corporation Interposer for die stacking in semiconductor packages and the method of making the same
JP4792143B2 (ja) 2007-02-22 2011-10-12 株式会社デンソー 半導体装置およびその製造方法
US7800916B2 (en) * 2007-04-09 2010-09-21 Endicott Interconnect Technologies, Inc. Circuitized substrate with internal stacked semiconductor chips, method of making same, electrical assembly utilizing same and information handling system utilizing same
US7723159B2 (en) 2007-05-04 2010-05-25 Stats Chippac, Ltd. Package-on-package using through-hole via die on saw streets
US8039309B2 (en) 2007-05-10 2011-10-18 Texas Instruments Incorporated Systems and methods for post-circuitization assembly
US7737513B2 (en) 2007-05-30 2010-06-15 Tessera, Inc. Chip assembly including package element and integrated circuit chip
KR100909322B1 (ko) 2007-07-02 2009-07-24 주식회사 네패스 초박형 반도체 패키지 및 그 제조방법
US20090057884A1 (en) * 2007-08-29 2009-03-05 Seah Sun Too Multi-Chip Package
EP2213148A4 (en) 2007-10-10 2011-09-07 Tessera Inc ROBUST MULTILAYER WIRING ELEMENTS AND ASSEMBLIES INCLUDING MICROELECTRONIC ELEMENTS INCLUDED
KR20090056044A (ko) * 2007-11-29 2009-06-03 삼성전자주식회사 반도체 소자 패키지 및 이를 제조하는 방법
US8324728B2 (en) 2007-11-30 2012-12-04 Skyworks Solutions, Inc. Wafer level packaging using flip chip mounting
US7928548B2 (en) 2008-01-07 2011-04-19 International Business Machines Corporation Silicon heat spreader mounted in-plane with a heat source and method therefor
US7901987B2 (en) 2008-03-19 2011-03-08 Stats Chippac Ltd. Package-on-package system with internal stacking module interposer
JP2009238905A (ja) 2008-03-26 2009-10-15 Nippon Telegr & Teleph Corp <Ntt> 半導体素子の実装構造および半導体素子の実装方法
US8787060B2 (en) 2010-11-03 2014-07-22 Netlist, Inc. Method and apparatus for optimizing driver load in a memory package
SG142321A1 (en) 2008-04-24 2009-11-26 Micron Technology Inc Pre-encapsulated cavity interposer
US8008764B2 (en) 2008-04-28 2011-08-30 International Business Machines Corporation Bridges for interconnecting interposers in multi-chip integrated circuits
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US7863096B2 (en) 2008-07-17 2011-01-04 Fairchild Semiconductor Corporation Embedded die package and process flow using a pre-molded carrier
JP2010034403A (ja) 2008-07-30 2010-02-12 Shinko Electric Ind Co Ltd 配線基板及び電子部品装置
JP5585447B2 (ja) 2008-07-31 2014-09-10 日本電気株式会社 半導体装置及びその製造方法
US8101494B2 (en) 2008-08-14 2012-01-24 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8257985B2 (en) 2008-09-25 2012-09-04 Texas Instruments Incorporated MEMS device and fabrication method
JP5284235B2 (ja) 2008-09-29 2013-09-11 日本特殊陶業株式会社 半導体パッケージ
KR20100037300A (ko) 2008-10-01 2010-04-09 삼성전자주식회사 내장형 인터포저를 갖는 반도체장치의 형성방법
JP2010092977A (ja) * 2008-10-06 2010-04-22 Panasonic Corp 半導体装置及びその製造方法
MY149251A (en) 2008-10-23 2013-07-31 Carsem M Sdn Bhd Wafer-level package using stud bump coated with solder
KR20100046760A (ko) 2008-10-28 2010-05-07 삼성전자주식회사 반도체 패키지
KR101015704B1 (ko) 2008-12-01 2011-02-22 삼성전기주식회사 칩 내장 인쇄회로기판 및 그 제조방법
US8110908B2 (en) 2008-12-04 2012-02-07 Stats Chippac Ltd. Integrated circuit packaging system using bottom flip chip die bonding and method of manufacture thereof
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
US7858441B2 (en) 2008-12-08 2010-12-28 Stats Chippac, Ltd. Semiconductor package with semiconductor core structure and method of forming same
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8089144B2 (en) 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
JP5308145B2 (ja) 2008-12-19 2013-10-09 ルネサスエレクトロニクス株式会社 半導体装置
US8269671B2 (en) 2009-01-27 2012-09-18 International Business Machines Corporation Simple radio frequency integrated circuit (RFIC) packages with integrated antennas
US8278749B2 (en) 2009-01-30 2012-10-02 Infineon Technologies Ag Integrated antennas in wafer level package
US8343806B2 (en) 2009-03-05 2013-01-01 Raytheon Company Hermetic packaging of integrated circuit components
US7989270B2 (en) 2009-03-13 2011-08-02 Stats Chippac, Ltd. Semiconductor device and method of forming three-dimensional vertically oriented integrated capacitors
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
SE537499C2 (sv) 2009-04-30 2015-05-26 Silex Microsystems Ab Bondningsmaterialstruktur och process med bondningsmaterialstruktur
US8216887B2 (en) 2009-05-04 2012-07-10 Advanced Micro Devices, Inc. Semiconductor chip package with stiffener frame and configured lid
US20100288525A1 (en) 2009-05-12 2010-11-18 Alcatel-Lucent Usa, Incorporated Electronic package and method of manufacture
EP2259018B1 (en) 2009-05-29 2017-06-28 Infineon Technologies AG Gap control for die or layer bonding using intermediate layers of a micro-electromechanical system
FR2947481B1 (fr) 2009-07-03 2011-08-26 Commissariat Energie Atomique Procede de collage cuivre-cuivre simplifie
EP2273545B1 (en) 2009-07-08 2016-08-31 Imec Method for insertion bonding and kit of parts for use in said method
US8034660B2 (en) 2009-07-24 2011-10-11 Texas Instruments Incorporated PoP precursor with interposer for top package bond pad pitch compensation
US8383457B2 (en) 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US8143097B2 (en) 2009-09-23 2012-03-27 Stats Chippac, Ltd. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP
JP5330184B2 (ja) * 2009-10-06 2013-10-30 新光電気工業株式会社 電子部品装置
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US8531012B2 (en) 2009-10-23 2013-09-10 Stats Chippac, Ltd. Semiconductor device and method of forming a shielding layer over a semiconductor die disposed in a cavity of an interconnect structure and grounded through the die TSV
JP5295932B2 (ja) 2009-11-02 2013-09-18 新光電気工業株式会社 半導体パッケージ及びその評価方法、並びにその製造方法
US8653654B2 (en) 2009-12-16 2014-02-18 Stats Chippac Ltd. Integrated circuit packaging system with a stackable package and method of manufacture thereof
JP5115618B2 (ja) 2009-12-17 2013-01-09 株式会社デンソー 半導体装置
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
JP5568786B2 (ja) 2009-12-24 2014-08-13 新光電気工業株式会社 半導体パッケージの製造方法及び半導体パッケージ
US8519537B2 (en) 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8378480B2 (en) 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies
US8541886B2 (en) 2010-03-09 2013-09-24 Stats Chippac Ltd. Integrated circuit packaging system with via and method of manufacture thereof
US8183696B2 (en) 2010-03-31 2012-05-22 Infineon Technologies Ag Packaged semiconductor device with encapsulant embedding semiconductor chip that includes contact pads
JP4900498B2 (ja) 2010-04-26 2012-03-21 セイコーエプソン株式会社 電子部品
FR2960339B1 (fr) 2010-05-18 2012-05-18 Commissariat Energie Atomique Procede de realisation d'elements a puce munis de rainures d'insertion de fils
US8349653B2 (en) 2010-06-02 2013-01-08 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional metal interconnect technologies
KR101394205B1 (ko) 2010-06-09 2014-05-14 에스케이하이닉스 주식회사 반도체 패키지
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US20120001339A1 (en) 2010-06-30 2012-01-05 Pramod Malatkar Bumpless build-up layer package design with an interposer
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
KR101129909B1 (ko) 2010-07-20 2012-03-23 주식회사 하이닉스반도체 반도체 소자의 필라형 캐패시터 및 그 형성방법
US8847376B2 (en) 2010-07-23 2014-09-30 Tessera, Inc. Microelectronic elements with post-assembly planarization
US8598695B2 (en) 2010-07-23 2013-12-03 Tessera, Inc. Active chip on carrier or laminated chip having microelectronic element embedded therein
TWI445104B (zh) 2010-08-25 2014-07-11 Advanced Semiconductor Eng 半導體封裝結構及其製程
US8617926B2 (en) 2010-09-09 2013-12-31 Advanced Micro Devices, Inc. Semiconductor chip device with polymeric filler trench
US9343436B2 (en) 2010-09-09 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked package and method of manufacturing the same
US8330559B2 (en) 2010-09-10 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging
US8411444B2 (en) 2010-09-15 2013-04-02 International Business Machines Corporation Thermal interface material application for integrated circuit cooling
US8830689B2 (en) 2010-09-16 2014-09-09 Samsung Electro-Mechanics Co., Ltd. Interposer-embedded printed circuit board
US9156673B2 (en) 2010-09-18 2015-10-13 Fairchild Semiconductor Corporation Packaging to reduce stress on microelectromechanical systems
CN103380496A (zh) 2010-10-06 2013-10-30 查尔斯.斯塔克.德雷珀实验室公司 中介层、电子模块及其形成方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8666505B2 (en) * 2010-10-26 2014-03-04 Medtronic, Inc. Wafer-scale package including power source
US9337116B2 (en) 2010-10-28 2016-05-10 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interposer for stacking and electrically connecting semiconductor die
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8525318B1 (en) 2010-11-10 2013-09-03 Amkor Technology, Inc. Semiconductor device and fabricating method thereof
US9386688B2 (en) 2010-11-12 2016-07-05 Freescale Semiconductor, Inc. Integrated antenna package
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8569090B2 (en) * 2010-12-03 2013-10-29 Babak Taheri Wafer level structures and methods for fabricating and packaging MEMS
US8502340B2 (en) 2010-12-09 2013-08-06 Tessera, Inc. High density three-dimensional integrated capacitors
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8575493B1 (en) 2011-02-24 2013-11-05 Maxim Integrated Products, Inc. Integrated circuit device having extended under ball metallization
US8847337B2 (en) 2011-02-25 2014-09-30 Evigia Systems, Inc. Processes and mounting fixtures for fabricating electromechanical devices and devices formed therewith
US9018094B2 (en) 2011-03-07 2015-04-28 Invensas Corporation Substrates with through vias with conductive features for connection to integrated circuit elements, and methods for forming through vias in substrates
US8395229B2 (en) 2011-03-11 2013-03-12 Institut National D'optique MEMS-based getter microdevice
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP2514713B1 (en) 2011-04-20 2013-10-02 Tronics Microsystems S.A. A micro-electromechanical system (MEMS) device
JP2012231096A (ja) 2011-04-27 2012-11-22 Elpida Memory Inc 半導体装置及びその製造方法
JP2012256846A (ja) 2011-05-16 2012-12-27 Elpida Memory Inc 半導体装置の製造方法
JP5968068B2 (ja) 2011-05-24 2016-08-10 キヤノン株式会社 露出制御を行う撮像装置、撮像装置の制御方法、プログラム及び記録媒体
EP2717300B1 (en) 2011-05-24 2020-03-18 Sony Corporation Semiconductor device
JP5994776B2 (ja) 2011-06-06 2016-09-21 住友ベークライト株式会社 半導体パッケージ、半導体装置、半導体パッケージの製造方法
US8409923B2 (en) * 2011-06-15 2013-04-02 Stats Chippac Ltd. Integrated circuit packaging system with underfill and method of manufacture thereof
US8692365B2 (en) 2011-06-17 2014-04-08 Stats Chippac Ltd. Integrated circuit packaging system with thermal dispersal structures and method of manufacture thereof
US9540230B2 (en) 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8497558B2 (en) 2011-07-14 2013-07-30 Infineon Technologies Ag System and method for wafer level packaging
US9125333B2 (en) 2011-07-15 2015-09-01 Tessera, Inc. Electrical barrier layers
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
EP2555239A3 (en) 2011-08-04 2013-06-05 Sony Mobile Communications AB Thermal package with heat slug for die stacks
TWI492680B (zh) 2011-08-05 2015-07-11 Unimicron Technology Corp 嵌埋有中介層之封裝基板及其製法
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US8816404B2 (en) 2011-09-16 2014-08-26 Stats Chippac, Ltd. Semiconductor device and method of forming stacked semiconductor die and conductive interconnect structure through an encapsulant
US20130082383A1 (en) 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
KR101906408B1 (ko) * 2011-10-04 2018-10-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
KR101932665B1 (ko) 2011-10-10 2018-12-27 삼성전자 주식회사 반도체 패키지
US9287191B2 (en) 2011-10-12 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device package and method
KR20130042936A (ko) 2011-10-19 2013-04-29 에스케이하이닉스 주식회사 칩 캐리어, 이를 이용한 반도체 칩, 반도체 패키지, 및 그 제조방법들
TWI426572B (zh) 2011-10-20 2014-02-11 Ind Tech Res Inst 微機電感測裝置及其製造方法
WO2013062533A1 (en) 2011-10-25 2013-05-02 Intel Corporation Interposer for hermetic sealing of sensor chips and for their integration with integrated circuit chips
US9269646B2 (en) 2011-11-14 2016-02-23 Micron Technology, Inc. Semiconductor die assemblies with enhanced thermal management and semiconductor devices including same
US8518753B2 (en) 2011-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Assembly method for three dimensional integrated circuit
JP5970078B2 (ja) 2011-12-02 2016-08-17 インテル・コーポレーション デバイス相互接続の変化を可能にする積層メモリ
US8975711B2 (en) 2011-12-08 2015-03-10 Infineon Technologies Ag Device including two power semiconductor chips and manufacturing thereof
US9548251B2 (en) 2012-01-12 2017-01-17 Broadcom Corporation Semiconductor interposer having a cavity for intra-interposer die
US9139423B2 (en) 2012-01-19 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electro mechanical system structures
US8686570B2 (en) 2012-01-20 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-dimensional integrated circuit structures and methods of forming the same
JP2013183120A (ja) 2012-03-05 2013-09-12 Elpida Memory Inc 半導体装置
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
CN102610758B (zh) * 2012-03-19 2014-06-04 中国科学院上海技术物理研究所 一种铁电隧道结室温红外探测器及制备方法
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
DE102012206732A1 (de) 2012-04-24 2013-10-24 Robert Bosch Gmbh Verfahren zum Herstellen eines hybrid integrierten Bauteils
FR2990314B1 (fr) * 2012-05-03 2014-06-06 Commissariat Energie Atomique Dispositif microelectronique de transmission sans fil
TR201908104T4 (tr) 2012-05-18 2019-06-21 Panasonic Ip Man Co Ltd Çoklu pencere camlarının üretim yöntemi.
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8530997B1 (en) 2012-07-31 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Double seal ring
US9502390B2 (en) 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US8872349B2 (en) 2012-09-11 2014-10-28 Intel Corporation Bridge interconnect with air gap in package assembly
US20140091461A1 (en) 2012-09-30 2014-04-03 Yuci Shen Die cap for use with flip chip package
US20140130595A1 (en) 2012-11-12 2014-05-15 Memsic, Inc. Monolithic sensor package
US9136159B2 (en) 2012-11-15 2015-09-15 Amkor Technology, Inc. Method and system for a semiconductor for device package with a die-to-packaging substrate first bond
US9040349B2 (en) 2012-11-15 2015-05-26 Amkor Technology, Inc. Method and system for a semiconductor device package with a die to interposer wafer first bond
US8796072B2 (en) * 2012-11-15 2014-08-05 Amkor Technology, Inc. Method and system for a semiconductor device package with a die-to-die first bond
US9511994B2 (en) 2012-11-28 2016-12-06 Invensense, Inc. Aluminum nitride (AlN) devices with infrared absorption structural layer
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8716351B1 (en) 2012-12-23 2014-05-06 Liveleaf, Inc. Methods of treating gastrointestinal spasms
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US8564076B1 (en) 2013-01-30 2013-10-22 Invensense, Inc. Internal electrical contact for enclosed MEMS devices
US9452920B2 (en) 2013-01-30 2016-09-27 Invensense, Inc. Microelectromechanical system device with internal direct electric coupling
US9287188B2 (en) 2013-02-05 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a seal ring structure
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US9257355B2 (en) 2013-02-11 2016-02-09 The Charles Stark Draper Laboratory, Inc. Method for embedding a chipset having an intermediary interposer in high density electronic modules
US20140225206A1 (en) 2013-02-11 2014-08-14 Yizhen Lin Pressure level adjustment in a cavity of a semiconductor die
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US20140246227A1 (en) 2013-03-01 2014-09-04 Bridge Semiconductor Corporation Method of making cavity substrate with built-in stiffener and cavity substrate manufactured thereby
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US9111930B2 (en) 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package on-package with cavity in interposer
US9469527B2 (en) 2013-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS pressure sensor and microphone devices having through-vias and methods of forming same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
KR102041265B1 (ko) * 2013-05-02 2019-11-27 삼성전자주식회사 Emi 차폐기능과 방열 기능을 가지는 반도체 패키지
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9136233B2 (en) * 2013-06-06 2015-09-15 STMicroelctronis (Crolles 2) SAS Process for fabricating a three-dimensional integrated structure with improved heat dissipation, and corresponding three-dimensional integrated structure
JP6110734B2 (ja) 2013-06-06 2017-04-05 ルネサスエレクトロニクス株式会社 半導体装置
EP2813465B1 (en) 2013-06-12 2020-01-15 Tronic's Microsystems MEMS device with getter layer
CN104249991B (zh) 2013-06-26 2016-08-10 中芯国际集成电路制造(上海)有限公司 Mems器件及其制作方法
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US10273147B2 (en) 2013-07-08 2019-04-30 Motion Engine Inc. MEMS components and method of wafer-level manufacturing thereof
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9035451B2 (en) 2013-09-30 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer level sealing methods with different vacuum levels for MEMS sensors
US9617150B2 (en) 2013-10-09 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Micro-electro mechanical system (MEMS) device having a blocking layer formed between closed chamber and a dielectric layer of a CMOS substrate
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR20150058940A (ko) * 2013-11-21 2015-05-29 삼성전자주식회사 히트 스프레더를 갖는 반도체 패키지
US9379074B2 (en) 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9368479B2 (en) 2014-03-07 2016-06-14 Invensas Corporation Thermal vias disposed in a substrate proximate to a well thereof
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9165793B1 (en) 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US9524883B2 (en) 2014-05-13 2016-12-20 Invensas Corporation Holding of interposers and other microelectronic workpieces in position during assembly and other processing
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
US9252127B1 (en) 2014-07-10 2016-02-02 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
FR3023974B1 (fr) 2014-07-18 2016-07-22 Ulis Procede de fabrication d'un dispositif comprenant un boitier hermetique sous vide et un getter
US9620464B2 (en) 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9331043B1 (en) 2015-01-30 2016-05-03 Invensas Corporation Localized sealing of interconnect structures in small gaps
US9738516B2 (en) 2015-04-29 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure to reduce backside silicon damage
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9650241B2 (en) 2015-09-17 2017-05-16 Invensense, Inc. Method for providing a MEMS device with a plurality of sealed enclosures having uneven standoff structures and MEMS device thereof
TW201737362A (zh) 2015-12-08 2017-10-16 天工方案公司 暫態液相材料接合及密封結構及形成其之方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10062656B2 (en) 2016-08-15 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Composite bond structure in stacked semiconductor structure
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US9834435B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11235969B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS-MEMS integration with through-chip via process
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI635544B (zh) * 2017-03-22 2018-09-11 東芝記憶體股份有限公司 半導體裝置之製造方法及半導體裝置
US10211165B2 (en) 2017-03-22 2019-02-19 Toshiba Memory Corporation Method of manufacturing semiconductor device and semiconductor device
US10741505B2 (en) 2017-03-22 2020-08-11 Toshiba Memory Corporation Method of manufacturing semiconductor device and semiconductor device
CN107863333A (zh) * 2017-11-15 2018-03-30 贵州贵芯半导体有限公司 高散热等线距堆栈芯片封装结构及其封装方法

Also Published As

Publication number Publication date
US20150262928A1 (en) 2015-09-17
TW201535603A (zh) 2015-09-16
TWI573223B (zh) 2017-03-01
US20170040237A1 (en) 2017-02-09
TWI685079B (zh) 2020-02-11
US20180130717A1 (en) 2018-05-10
WO2015138393A1 (en) 2015-09-17
US20160155695A1 (en) 2016-06-02
US20200043817A1 (en) 2020-02-06
US11205600B2 (en) 2021-12-21
KR20160132093A (ko) 2016-11-16
US9899281B2 (en) 2018-02-20
US10446456B2 (en) 2019-10-15
US9691696B2 (en) 2017-06-27
US20150262902A1 (en) 2015-09-17
US9324626B2 (en) 2016-04-26

Similar Documents

Publication Publication Date Title
TWI685079B (zh) 在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法
US11631611B2 (en) Wafer level chip scale packaging intermediate structure apparatus and method
TWI703680B (zh) 半導體封裝件及其形成方法
TWI713129B (zh) 半導體元件及其形成方法
US9837372B1 (en) Wafer-level die to package and die to die interconnects suspended over integrated heat sinks
KR101753454B1 (ko) 칩 온 패키지 구조 및 방법
KR101746269B1 (ko) 반도체 디바이스 및 그 제조방법
TWI649845B (zh) 半導體封裝結構及其製造方法
US10163861B2 (en) Semiconductor package for thermal dissipation
US9293442B2 (en) Semiconductor package and method
KR20190055709A (ko) 통합 팬-아웃 패키지 및 통합 팬-아웃 패키지 형성 방법
TWI754839B (zh) 封裝結構及其形成方法
US11929318B2 (en) Package structure and method of forming the same
TW201801276A (zh) 半導體元件及其製造方法
KR102480685B1 (ko) 반도체 디바이스 및 제조 방법
US11257715B2 (en) Integrated fan-out packages and methods of forming the same
KR20040090493A (ko) 반도체 패키지 및 그 제조 방법
CN113658944A (zh) 半导体封装件及其形成方法
WO2016089831A1 (en) Interposers with circuit modules encapsulated by moldable material in a cavity, and methods of fabrication
TW202412117A (zh) 無捕獲墊的模製直接接觸互連結構及其方法
CN117174690A (zh) 半导体器件及形成其接合结构的方法
CN114975359A (zh) 半导体器件和制造方法
CN118099105A (zh) 电子装置及制造电子装置的方法