TWI573223B - 空腔基板保護之積體電路 - Google Patents

空腔基板保護之積體電路 Download PDF

Info

Publication number
TWI573223B
TWI573223B TW104107704A TW104107704A TWI573223B TW I573223 B TWI573223 B TW I573223B TW 104107704 A TW104107704 A TW 104107704A TW 104107704 A TW104107704 A TW 104107704A TW I573223 B TWI573223 B TW I573223B
Authority
TW
Taiwan
Prior art keywords
substrate
cavity
die
integrated circuit
attached
Prior art date
Application number
TW104107704A
Other languages
English (en)
Other versions
TW201535603A (zh
Inventor
宏 沈
查爾斯G 渥奇克
阿爾卡爾古德R 斯塔拉姆
Original Assignee
英凡薩斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英凡薩斯公司 filed Critical 英凡薩斯公司
Publication of TW201535603A publication Critical patent/TW201535603A/zh
Application granted granted Critical
Publication of TWI573223B publication Critical patent/TWI573223B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • H01L23/053Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body
    • H01L23/055Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls the container being a hollow construction and having an insulating or insulated base as a mounting for the semiconductor body the leads having a passage through the base
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/315Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the encapsulation having a cavity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Description

空腔基板保護之積體電路 【相關申請案之交互參照】
本申請案主張美國先行申請案序號第61/952,066號的優先權,標題為「INTEGRATED CIRCUITS PROTECTED BY SUBSTRATES WITH CAVITIES,AND METHODS OF MANUFACTURE(空腔基板保護之積體電路與製造方法)」,其申請於2014年3月12日,在此以引用之方式將其併入。
本文件係關於積體電路,且更具體地,係關於具有包含半導體積體電路的晶粒之組件。
在積體電路的製造中,一或更多個電路製造在半導體晶圓中,且然後在所謂的「單分」或「切割」的處理中分離成「晶粒」(也稱為「晶片」)。晶粒(例如第1圖的110所示)附接至佈線基板(WS,wiring substrate,例如印刷佈線板)120,其具有導線130來連接晶粒至彼此且至系統的其他元件。更具體地,晶粒具有連接至晶粒的電路(未圖示)之接觸墊110C,且這些接觸墊附接至WS 120的接觸墊120C。墊120C藉由導線130而互連。藉由可包含焊料、導電環氧樹脂、或其他種類的連接140,來執行墊110至墊120C的附接。
封裝150(例如,具有二氧化矽或其他粒子的環氧樹脂)保護晶粒110與連接140免於濕氣與其他污染物、紫外線、α粒子、以及其他可能的有害元素。封裝也強化了晶粒至WS的附接,來抵抗力學應力,並且協助將熱傳導遠離晶粒(至選擇性的散熱器160,或直接至周圍(例如,空氣))。
吾人之所欲在於,提供晶粒免於力學應力、熱、以及其他有害元素的改良保護。
此章節總結了本發明的一些範例性實施。
在一些實施例中,晶粒由額外的保護基板保護,保護基板附接至佈線基板。晶粒位於保護基板中的空腔中(晶粒可突伸出空腔之外)。保護基板可類似於用於保護MEMS(Micro-Electro-Mechanical Structures,微機電結構)元件的覆蓋晶圓(cap wafer);參見K.Zoschke等人所寫的「Hermetic Wafer Level Packaging of MEMS Components Using Through Silicon Via and Wafer to Wafer Bonding Technologies(使用矽通孔與晶圓至晶圓接合技術之MEMS元件的全封閉晶圓級封裝)」(2013年電子元件與技術會議,IEEE,1500-1507頁);也參見2005年10月25日頒發給Siniaguine的美 國專利第6,958,285號。然而,在一些實施例中,保護基板施加壓力於晶粒上(例如,每一晶粒可實體接觸於空腔表面),以強化晶粒至WS 120的力學附接、提供晶粒與保護基板之間良好的熱傳導性、協助使晶粒平坦(如果晶粒翹曲的話)、以及減小垂直尺寸。保護基板可或可不具有連接至晶粒或至佈線基板之其自身的電路。
在一些實施例中,晶粒不接觸空腔表面,但是晶粒藉由實體接觸於晶粒與空腔表面之固體材料(例如,接合層)而分隔於空腔表面。在一些實施例中,晶粒或固體材料在一些(但非全部)操作溫度時實體接觸於空腔表面(例如,實體接觸可能僅存在於較高的溫度,其中晶粒膨脹)。操作溫度為可獲得電性功能的溫度。
在一些實施例中,空腔包含晶粒的堆疊,且堆疊中的頂部晶粒接觸於空腔表面(或者頂部晶粒之上的固體材料實體接觸於空腔表面)。在一些實施例中,每一晶粒(或者堆疊中的頂部晶粒(如果有堆疊的話))的整個頂表面實體接觸於空腔表面。在一些實施例中,保護基板向下施加壓力於每一空腔中的晶粒上,以強化晶粒至佈線基板的附接並且抵消晶粒翹曲。
在一些實施例中,佈線基板為中介層。中介層通常使用作為中間基板,以調節晶粒製造技術與印刷佈線基板(PWS,printed wiring substrates)之間的失配。更具體地,晶粒的接觸墊110C可放置得遠遠較靠近彼此(以較小的間距),相較於PWS墊120C來說。因此(第2圖),中間基板120.1可使用在晶粒120與PWS(以120.2表示)之間。中介層120.1包含基板120.1S(例如,半導體或其他材料)、基板120.1S的頂部上的再分佈層(RDL, redistribution layer)210.T、以及基板120.1S的底部上的另一再分佈層210.B。每一RDL 210.T、210.B包含互連線216,互連線216藉由RDL的介電質220而絕緣於彼此與基板120.1S。線216連接至中介層的頂部上的接觸墊120.1C.T以及底部上的接觸墊120.1C.B。RDL 210.T的線216藉由導電(例如,金屬化的)通孔224而連接至RDL 210.B的線216。墊120.1C.T藉由連接140.1而附接至晶粒的墊110C,如同第1圖中。墊120.1C.B利用連接140.2而附接至PWS 120.2的墊120.2C。墊120.1C.B比墊120.1C.T有較大的間距,以調節PWS接點120.2C的間距。
中介層基板120.1S應盡可能地薄,以縮短晶粒110與PWS120.2之間的信號路徑,且因此使系統更快且耗電更少。此外,如果中介層為薄的,可促進金屬化的通孔224的製造。然而,薄的中介層難以處理:它們為易碎的、易翹曲的、且在製造期間不吸收或散熱。因此,一般的製造處理(例如,上面引用的Zoschke等人中所述)在製造期間將中介層附接至暫時基板(「支撐晶圓」)。支撐晶圓稍後移除。附接與分離暫時的支撐晶圓為沉重的負擔。前述美國專利第6,958,285號的處理不使用支撐晶圓。下述的一些新穎處理也不使用支撐晶圓。
本發明不限於上述的特徵與優點,並且包含下述的其他特徵。
110‧‧‧晶粒
110C‧‧‧接觸墊
120‧‧‧佈線基板(WS)
120.1‧‧‧中間基板(中介層)
120.1C.B‧‧‧接觸墊
120.1C.T‧‧‧接觸墊
120.1S‧‧‧基板
120.2‧‧‧PWS
120.2C‧‧‧墊
120C‧‧‧接觸墊
130‧‧‧導線
140、140.1、140.2‧‧‧連接
150‧‧‧封裝
160‧‧‧散熱器
210.B‧‧‧再分佈層(RDL)
210.T‧‧‧再分佈層
216‧‧‧互連線
220‧‧‧介電質
224、224B‧‧‧通孔
224M‧‧‧金屬
310‧‧‧層
320‧‧‧光阻
324‧‧‧介電質
410‧‧‧保護基板
410S‧‧‧基板
410L‧‧‧腿部
414‧‧‧空腔
420‧‧‧輔助層
430‧‧‧光阻
504‧‧‧組件
504S‧‧‧堆
525‧‧‧溫度界面材料(TIM)
610‧‧‧黏合劑
810、820‧‧‧接合層
910‧‧‧接觸墊
920‧‧‧介電質
930‧‧‧介電質
1110C‧‧‧接觸墊
1210‧‧‧結構
第1與2圖為先前技術所建構並且包含積體電路的組件的垂直橫剖面 圖。
第3A、3B、3C、3D、3E、4A、4B、4C、5A、5B、5C、5D、5E.1、6、7、8A、8B、8C、9A、9B、9C、9D、10圖為本較佳較實施例的結構的垂直橫剖面圖,詳述如下。
第5E.2與5E.3圖為本較佳較實施例之水平橫剖面的底視圖,詳述如下。
第6、7、8A、8B、8C、9A、9B、9C、9D、10、11、12圖為本較佳較實施例之結構的垂直橫剖面圖,詳述如下。
此章節中敘述的實施例非用以限制本發明。基本上,本發明不限於特定的材料、處理、尺寸或其他細節,除了如同由所附申請專利範圍所界定的。
第3A圖為本發明較佳實施例之中介層120.1的製造的開始階段。最初選擇足夠厚之中介層基板120.1S,以於製造中,易於處理與充分散熱。在一些實施例中,基板120.1S為200mm或300mm直徑與650微米或更大厚度的單晶矽晶圓。這些材料與尺寸為範例,不限制本發明。例如,基板120.1S可由其他半導體材料(例如砷化鎵)、或玻璃、或藍寶石、或金屬、或可能的其他材料製成。可能的材料包含NbTaN與LiTaN。基板稍後將打薄;例如,在矽的實例中,最終的厚度可為5至50微米。這些尺寸並非限制。
基板120.1S經圖案化,形成盲通孔224B(第3B圖)。「盲」指通孔不穿過基板120.1S。例如,針對矽基板,首先,選擇性的層310(第3A圖)形成在基板120.1S上,以保護基板及/或改良隨後形成的光阻320的附 著。例如,層310可為熱氧化、化學氣相沉積(CVD)或濺射所形成的二氧化矽。然後,沉積並且光微影圖案化光阻320,以界定通孔。在光阻320曝露的區域中蝕刻層310與基板120.1S,以形成盲通孔。通孔深度等於或稍微大於基板120.1S的最終深度,例如針對一些矽基板的實施例為5至51微米。通孔可藉由乾式蝕刻來形成,例如乾式反應離子蝕刻(DRIE,dry reactive ion etching)。範例之每一通孔直徑可為60微米或更小,但是其他尺寸也可能。通孔可為垂直的(如圖所示),或者可具有傾斜的側壁。如同上述,特定的尺寸、處理與其他特徵僅為例示,而非限制。
通孔之後金屬化。如果基板120.1S為矽,進行如下步驟。移除光阻320與保護層310,且介電質層324(第3C圖)形成於基板120.1S的完整頂表面上。介電質324可作為通孔表面的襯裡。在一些實施例中,介電質324藉由矽基板的熱氧化或藉由CVD或物理氣相沉積(PVD)而形成。介電質324使基板與隨後形成在通孔224B中的金屬電性絕緣。介電質厚度取決於吾人所欲的處理參數,且在範例性熱氧化物實施例中為1微米(熱氧化物為藉由熱氧化所形成的二氧化矽)。其他的尺寸與材料可用來替代。如果基板120.1S本身為介電質,介電質324可省略。
然後,金屬224M(第3D圖)形成於通孔224B中及介電質324之上。實施例中,金屬224M填滿通孔,但是在其他實施例中,金屬為通孔表面上的襯裡。在範例性實施例中,金屬224M為電鍍銅。例如,阻障層(金屬或介電質,未單獨繪示)先形成於介電質324上,以協助銅附著並且防止銅擴散至介電質324或基板120.1S中。合適的阻障層可包含一層鈦-鎢(參見Kosenko等人於2012年9月13日公開之美國早期公開專利第2012/0228778 號,在此以引用之方式將其併入),及/或含有鎳的層(Uzoh等人於2013年1月17日公開之US 2013/0014978,在此以引用之方式將其併入)。然後,種子層(例如銅)藉由物理氣相沉積(例如,PVD,可能為濺射)形成於阻障層上。然後,電鍍銅於種子層上,以填充通孔224B且覆蓋整個基板120.1S。然後,藉由化學機械研磨(CMP),從通孔之間的區域移除銅。選擇性地,CMP也可從這些區域移除阻障層(如果存在的話),並且可停止於介電質324上。因此,銅與阻障層僅保留在通孔224B中與之上。
為了便於敘述,我們將稱通孔224為「金屬化的」,但是也可使用非金屬的導電材料(例如,摻雜的多晶矽)。
如果層224M並未填滿通孔,但是僅作為通孔表面的襯裡,一些其他材料(未圖示)可形成在層224M上作為填料,以填充通孔且提供平坦的頂表面給晶圓。這種填料材料可為聚酰亞胺,例如藉由旋塗法來沉積。
選擇性地,RDL 210.T(第3E圖)形成在基板120.1S的上方,以提供接觸墊120.1C.T在吾人所欲的位置處。RDL 210.T可藉由,例如,相關於第1與2圖之上述先前技術的技術來形成。如果接觸墊120.1C.T由金屬224M的頂部區域提供,則省略RDL 210.T。在此種實例中,如果基板120.1S並非介電質,則介電質層可形成在基板上並且受到光微影圖案化來曝露接觸墊120.1C.T。
中介層120.1可包含在基板120.1S與再分佈層210.T中的電晶體、電阻器、電容器與其他裝置(未圖示)。在通孔224與RDL 210.T的製造之前、期間及/或之後,吾人可使用上述的處理步驟及/或額外的處理步驟來 形成這些裝置。此種製造技術為熟知的。參見例如前述的美國專利第6,958,285號以及早期公開的專利公開案2012/0228778。
如第1與2圖之先前技術的方法或其他方法(例如,擴散接合;在此種實例中,連接140.1並非額外的元件,但是為接觸墊110C及/或120.1C.T的部分),可利用連接140.1將晶粒110附接至接觸墊120.1C.T。
選擇性地,使用相關於第1圖之上述的相同技術(例如,藉由模製及/或底部填充),封裝(未圖示)可形成於晶粒的周圍及/或晶粒之下。封裝可為任何合適的材料(例如,具有二氧化矽或其他粒子的環氧樹脂)。在一些實施例中並未使用封裝。其他實施例使用封裝,但是對於封裝的需求是寬鬆的,因為晶粒將由額外的保護基板410(第5A圖)來保護,如同下述。在一些實施例中,封裝僅設置於晶粒的下方(作為底部填充),亦即,僅在晶粒與基板120.1S之間(圍繞著連接140.1)。
第4A-4C圖為保護基板410的製造。許多變化都有可能。基板410應該具有足夠的剛性,以促進組件的後續處理,如同下面解釋的。在所示的實施例中,基板410包含厚度為650微米或更大的單晶矽基板410S。其他材料與厚度都有可能,取決於任何可能為重要的因素(包含材料與處理的可用性)。一個可能的因素為,減少基板410與120.1S之間的熱膨脹係數(CTE)的不匹配:如果基板120.1S為矽,則基板410S可為矽或具有類似的CTE的另一種材料。另一個因素為,減少基板410與晶粒110之間的CTE不匹配。在一些實施例中,基板410S不具有任何電路,但是如果想要在基板410S中或上有電路,則這可能影響材料的選擇。在下述的步驟之前,及/或中間,及/或之後,則可製造電路。
另一個可能的因素為,高的熱傳導性,以促成基板410作用為散熱器。例如,可為合適的金屬。
空腔414(第4C圖)形成在基板410中,以對應晶粒110的尺寸與位置。範例處理如下(此處理適合於矽基板410S,且可能不適合於其他材料;已知的處理可用於矽或其他材料)。首先,形成輔助層420(第4B圖),以覆蓋基板410S,來保護隨後形成的光阻430或改良隨後形成的光阻430的附著。沉積光阻430且光微影圖案化光阻430,以界定空腔。蝕刻掉光阻開口所曝露的輔助層420。然後,在這些開口中蝕刻基板410S,以形成具有傾斜、向上擴張的側壁之空腔414。空腔深度取決於晶粒110的厚度與連接140.1,如同下面解釋的。非傾斜(垂直)或逆行的側壁或其他側壁輪廓也可能。
然後,移除光阻430。在所示的範例中,也移除輔助層420,但是在其他實施例中,層420保留在最終結構中。
如同第5A圖所示,基板410附接中介層120.1,使得每一晶粒110配接至對應的空腔414中。更具體地,保護基板410的腿部410L附接中介層120.1的頂表面(例如,接至RDL 210.T(如果RDL存在的話);腿部410L為保護基板410圍繞空腔的那些部分)。基板與中介層的附接為直接接合,但是其他類型的附接(例如,藉由黏合劑)也可使用,下面將進一步敘述。整個組件以元件符號504來標示。
在第5A圖中,晶粒的頂表面實體接觸於空腔414的頂表面。在一些實施例中,每一晶粒的頂表面接合至空腔的頂表面(直接或以某種其他方式,例如,藉由黏合劑)。此接合增加了兩個基板之間的接合強度, 並且改良了從晶粒至保護基板的熱路徑的熱傳導性。此外,晶粒與空腔表面之間的接合限制了晶粒的橫向運動,且因此抵消了可能弱化連接140.1的橫向或其他力。例如,如果保護基板410與中介層120.1具有匹配的CTE,則晶粒的頂表面至空腔表面之接合將導致保護基板410,使晶粒在熱循環中跟隨中介層的運動;相信這可減輕晶粒至中介層的連接140.1上的應力。
在其他實施例中,晶粒並未接合至空腔的頂表面,且因此晶粒的頂表面在熱運動中可沿著空腔的頂表面橫向滑動。這可降低熱應力,例如,如果晶粒與中介層的CTE匹配較優於中介層與保護基板410之間的匹配。
在一些實施例中,不管晶粒是否接合至空腔表面,晶粒上的基板410的向下壓力有助於抵消晶粒翹曲。在一些實施例中,晶粒傾向於隨溫度增高而增加翹曲,且該壓力也可隨溫度增高而增加(例如,如果晶粒垂直膨脹超過保護基板的腿部410L)。
如同上述,在一些實施例中,晶粒係底部填充及/或由合適的應力減輕材料(例如,環氧樹脂)從上方封裝。在從上方封裝的實例中,該封裝可為實體接觸於空腔414的頂表面之固體材料(可能為熱固的)。該封裝可或可不接合至空腔表面,如同上述,其中益處類似於針對無封裝的實施例所上述的那些。
為了確保晶粒(或封裝)與空腔之間的實體接觸,晶粒(或封裝)的頂表面應該具有一致的高度。為了改良高度一致性,在基板410接合至中介層120.1之前,可研磨晶粒(或封裝)。合適的研磨處理包含精研、研磨與化學機械研磨(CMP)。另外,在將晶粒插入空腔之前,空腔表面及 /或晶粒可設有合適的溫度界面材料(TIM,temperature interface material,繪示在下面討論的第5E.2與5E.3圖中的525),以改良晶粒與基板410之間的熱轉移。TIM的熱傳導性通常可較高於空氣的熱傳導性。範例性的TIM為那些在預期的操作溫度範圍內(例如針對某些組件,0℃至200℃)以半固體、凝膠狀(潤滑脂狀)的狀態存在或者至少當溫度高時使晶粒冷卻得特別令人滿意的(例如針對某些組件,20℃至200℃)之材料。凝膠狀材料填充晶粒與基板410之間的自由空間,以提供遠離晶粒的導熱路徑。範例性TIM材料為可從銀北極公司((其在美國加州具有辦公室)取得的熱潤滑脂;該潤滑脂的熱傳導性為1W/mK。
在接合基板410至中介層120.1之後,從底部將中介層打薄,以曝露金屬224M(第5B圖)。打薄包含部分移除基板120.1S與介電質324(如果介電質存在的話)。打薄可藉由已知技術來執行(例如,基板120.1S與介電質324的乾式或濕式、遮罩或未遮罩蝕刻之後,基板120.1S的機械研磨或精研;基板與介電質在一些實施例中為同時蝕刻)。在一些實施例中,介電質324在打薄操作結束時突伸出金屬224M周圍的基板120.1S之外,且金屬224M突伸出介電質。參見例如前述的美國專利第6,958,285號。如同上述,本發明不限於特定的處理。
有利的是,中介層120.1藉由基板410來保持平坦,所以促進組件504的處理。基板410也有助於吸收且散去在此製造階段與隨後的製造階段期間以及組件504的後續操作中所產生的熱。基板120.1S的最終厚度因此可非常小,例如50微米或甚至5微米或更小。因此,盲通孔224B(第3B圖)可為淺的。淺的深度可促進金屬化通孔的製造(亦即,促進通孔的蝕 刻以及後續沉積介電質與金屬進入通孔中)。深度淺也縮短通過通孔的信號路徑。此外,如果通孔淺,每一通孔可較窄,同時仍然允許可靠的介電質與金屬沉積。可因此減小通路間距。
如果需要的話,保護基板410可從頂部打薄;此未繪示。基板120.1S與410的組合厚度由吾人所欲的特性界定,例如剛性、耐翹曲、散熱、以及組件尺寸。
隨後的處理步驟取決於特定的應用。在一些實施例中(第5C圖),可能使用先前技術的技術(例如如同第2圖中),將RDL 210.B形成在基板120.1S的底部上。RDL提供接觸墊120.1C.B,且連接接觸墊120.1C.B與金屬224M。(如果省略RDL,則由金屬224M提供接觸墊)。如果需要的話,組件504可切割成堆504S(第5D圖)。然後,該等堆(組件堆或整個組件504,如果省略切割的話)附接至其他結構,例如第5E.1圖中的佈線基板120.2(例如,印刷佈線基板)。在第5E.1圖的範例中,堆504S附接至PWS 120.2,且基本上,堆504S的接點120.1C.B附接至PWS接點120.2C時,可藉由第1或2圖中的相同技術。PWS 120.2的導線130連接接觸墊120.2C至彼此或其他元件。這些細節並非限制。
第5E.2圖為沿著第5E.1圖中的線5E.2-5E.2之水平橫剖面的可能底視圖。在第5E.2圖的範例中,晶粒由溫度界面材料(TIM)525圍繞。腿部410L形成完全圍繞每一晶粒的區域,且接合至腿部的中介層也完全圍繞每一晶粒。
第5E.3圖為相同水平橫剖面的另一可能的底視圖,也具有TIM 525。在此範例中,腿部410L僅設置在每一晶粒的兩對立側上(左側與 右側),但是並未設置在晶粒的上方與下方。每一空腔414為基板410S中的水平凹槽,可能含有多個晶粒沿著該凹槽橫向散佈。凹槽可運行通過整個基板。其他空腔形狀也可能。
如同上述,保護基板410與中介層120.1可藉由黏合劑來接合,且第6圖係藉由黏合劑610來接合。黏合劑610設置在腿部410L或中介層120.1的對應區域或兩者上。該結構對應第5A圖(在中介層打薄之前)。在一些實施例中,黏合劑為彈性的,具有低彈性係數(例如:矽樹脂橡膠(Silicone ruber)),以協助吸收晶粒110的熱膨脹(使得來自膨脹的晶粒110的壓力將不會損壞保護基板410或晶粒)。在一些實施例中,如果晶粒的CTE等於或大於保護基板410或基板410S的CTE,這是有益的。黏合劑的彈性也吸收晶粒的頂表面或空腔414的頂表面的高度不一致性。另外,為了吸收晶粒的膨脹,黏合劑可具有等於或大於晶粒的CTE之CTE。範例性的黏合劑為環氧樹脂型的底部填充。
第7圖為類似的實施例,但是黏合劑610覆蓋保護基板410S的整個底表面。黏合劑接合晶粒(或封裝)的頂表面至空腔的頂表面。黏合劑的CTE可等於、或大於、或小於晶粒的CTE。
第8A-8C圖例示使用單獨的接合層810、820來直接接合保護基板410至中介層120.1。在一些實施例中,接合層為二氧化矽,但是也可使用其他材料(例如,用於共熔接合的金屬)。參見第8A圖,晶粒附接至中介層120.1,如同第3E圖;晶粒之後選擇性地底部填充及/或從上方封裝(在第8A圖中,封裝150封裝且底部填充晶粒)。藉由任何合適的技術(例如,濺射),形成接合層810(例如,二氧化矽或金屬)來覆蓋中介層與晶粒(以 及封裝,如果存在的話)。
參見第8B圖,保護基板410設有空腔,如同第4C圖中。然後,藉由任何合適的技術(例如,濺射,或熱氧化(如果基板410S為矽)),形成接合層820(例如,二氧化矽或金屬)來覆蓋基板表面。
參見第8C圖,中介層接合至基板410,使得層810、820實體接觸於彼此。然後,加熱該結構,以將層820接合至層810,其中兩層接觸,亦即,在腿部410L處與在空腔的頂表面處。然而,在一些實施例中,在接合之前,層820在空腔的頂表面處移除,並未接合晶粒至空腔的頂表面。
第6-8A圖的結構的後續處理(中介層打薄,可能的切割等)可如同上述的其他實施例。
上述處理步驟的順序並非限制;例如,通孔224可在中介層打薄之後形成。第9A-9D圖為範例性處理。中介層120.1基本上如同在第3E或6或8A圖中所製造,但是沒有通孔224(通孔將在稍後形成)。具體地,介電質324為中介層基板120.1S上的平坦層。然後,接觸墊910在未來通孔224的位置處形成於基板120.1S上。RDL 210.T選擇性地製造在中介層的頂部上,以連接接觸墊910至中介層的頂部上的墊120.1C.T。(替代地,墊120.1C.T可由墊910提供。)晶粒110附接至墊120.1C.T,且選擇性地底部填充與封裝。接合層810(如圖所示)選擇性地沉積,如同第8A圖中,以接合至保護基板(替代地,該接合可藉由第6或7圖中的黏合劑,或藉由相關於第5A圖所上述的直接接合處理)。
具有晶粒附接的中介層120.1然後接合至保護基板410(第9B圖),如同上述的任何實施例中。然後,中介層打薄(第9C圖)。晶粒在後 續步驟期間將由基板410保護。基板410可在任何吾人所欲的階段打薄。
然後,金屬化的通孔224從中介層底部形成。範例性處理如下:
1.介電質920(例如,二氧化矽或氮化矽)沉積(例如,藉由濺射或CVD),覆蓋中介層基板120.1S的底表面。
2.通孔(貫通孔)從底部蝕刻通過介電質920與基板120.1S。此為遮罩蝕刻,停止於接觸墊910上。
3.介電質930(例如,二氧化矽或氮化矽)沉積(例如,藉由濺射或CVD),覆蓋中介層基板120.1S的底表面並且作為通孔的襯裡。介電質930從底部覆蓋接觸墊910。
4.蝕刻介電質930,以曝露接觸墊910。此可為遮罩蝕刻。替代地,可使用毯覆各向異性(垂直)蝕刻從每一接觸墊910的至少一部分之上移除介電質930,同時使介電質留在通孔側壁上。垂直蝕刻可或可不移除通孔外部的介電質930。
5.形成導電材料224M(例如,金屬)於通孔中,可能藉由上述相同的技術(例如,銅電鍍)。導電材料不存在於通孔外部(例如,它可藉由CMP研磨掉)。導電材料可填充通孔或僅作為通孔表面的襯裡。每一通孔中的導電材料實體接觸於對應的墊910。
隨後的處理步驟如第5C-5E.3圖所述,基本上,底部的RDL 210.B(第5C圖)與連接140.2可如上述形成。如果需要,可切割該結構(第5D圖),並且附接至另一結構(例如,第5E.1圖中的PWS 120.2)。
通孔224為選擇性的,且進一步,基板120.1可為任何佈線基 板,例如第10圖的120所示。此圖式在腿部410L處與空腔頂表面處使用黏合劑610來接合保護基板410至WS 120之實施例,但是可使用上述的任何其他接合方法。底部填充或其他封裝並未繪出,但是整個晶粒的封裝可存在有或沒有底部填充。
相關於第5A-10圖之上述技術可用於附接任何數量的單獨的保護基板410至相同的中介層120.1或WS 120;不同的保護基板410可附接至基板120.1或120的相同側,其中不同的晶粒在相同或不同的保護基板410的不同空腔中。其他保護基板410可附接至基板120.1或120的對立側。一些晶粒可不具有保護基板410來保護它們。每一基板120.1S或410S可為晶圓,且兩個基板在給定的組件504中可為相同的尺寸;但是相同組件中也可能有不同的尺寸。
晶粒也可在相同的空腔中堆疊於彼此之上(參見第11圖,繪示如同第6圖的相同製造階段中的結構),其中每一堆疊僅頂部晶粒實體接觸於對應的空腔的頂表面。每一堆疊中的晶粒可具有它們各自的電路透過其接觸墊1110C與各自的連接140(其可為上述的任何類型)而互連。在第11圖中,基板120.1S、410S藉由腿部410L上的黏合劑610接合在一起,如同第6圖中,但是也可使用上述的其他接合方法。堆疊的晶粒也可用於上述的其他變化型,例如,當保護基板直接接合至PWS時。
在一些實施例中,基板410S具有電路,可能連接至晶粒及/或中介層120.1S或PWS中的電路。參見第12圖,結構1210可連接至基板410S的頂部晶粒;每一結構1210包含基板410S中的接觸墊、頂部晶粒110上的對應接觸墊、以及接合兩接觸墊至彼此的連接(例如,焊料或上述的任何其 他類型)。在第12圖中,封裝150底部填充且完全圍繞每一晶粒,接觸於空腔的頂表面。如同上述,封裝及/或底部填充為選擇性的。
本發明不限於上述的實施例。例如,通孔224可在RDL之後形成,並且可通過一或兩個RDL而蝕刻。
一些實施例提供一種製品,包含:一第一基板(例如,120.1或120),其包含一或更多個第一接觸墊(例如,頂部墊120.1C.T);一或更多個晶粒,其附接至該第一基板,每一晶粒包含一半導體積體電路,該半導體積體電路包含一或更多個接觸墊係各自附接至一個別的第一接觸墊;一第二基板(例如,410或410S),其包含一或更多個空腔,該第二基板係附接至該第一基板,其中每一晶粒的至少部分係位於該第二基板中的一對應空腔中,該第二基板包含一表面區域(例如,腿部410L的表面)係位於該等空腔之外並且附接至該第一基板;其中至少在該結構可電性操作的一些溫度時,至少一晶粒符合狀況(A)與(B)的一或兩者:(A)該晶粒實體接觸於該對應空腔的一表面;(B)該晶粒藉由實體接觸於該晶粒與該對應空腔的該表面之固體材料(例如,封裝或接合層)而分隔於該對應空腔的該表面。
在一些實施例中,在每一空腔係位於該第二基板的一底表面中之一側視中(例如,如同第5C或5E.1圖中),該第二基板的該表面區域橫向圍繞每一空腔(例如,如同第5E.2圖中)。
在一些實施例中,該至少一晶粒附接至該對應空腔的該表面。
在一些實施例中,該至少一晶粒並未附接至該對應空腔的該表面。
在一些實施例中,該一或更多個第一接觸墊位於該第一基板的一第一側;該第一基板在相對於該第一側的一第二側包含一或更多個第二接觸墊(例如,在中介層底部處的接觸墊120.1C.B);及該第一基板包含一或更多個導電路徑,該一或更多個導電路徑通過該第一基板(例如,金屬化的通孔224)並且電連接至少一第一接觸墊至至少一第二接觸墊。
在一些實施例中,該等狀況(A)與(B)的至少一者在室溫時符合。
在一些實施例中,該至少一晶粒處於來自該第二基板的壓力之下。
在一些實施例中,該壓力在室溫時不超過200MPa。在一些實施例中,該壓力大於大氣壓力(1巴(bar),亦即,105Pa),並且可在1巴至200MPa的範圍或此範圍的任何子範圍。該壓力也可高於或低於此範圍。
一些實施例提供一種用於製造一電性功能的製品之方法,該方法包含:獲得一第一基板(例如,120.1),其包含一第一側與在該第 一側的一或更多個第一接觸墊;附接一或更多個晶粒至該第一基板,每一晶粒包含一半導體積體電路,該半導體積體電路包含一或更多個接觸墊係各自附接至一個別的第一接觸墊;獲得一第二基板(例如,410),其包含一或更多個空腔;附接該第二基板至該第一基板,其中每一晶粒的至少部分係位於該第二基板中的一對應空腔中,該第二基板包含一表面區域(例如,腿部410L的底部區域)係位於該等空腔之外並且附接至該第一基板;其中至少在該結構可電性操作的一些溫度時,至少一晶粒符合狀況(A)與(B)的一或兩者:(A)該晶粒實體接觸於該對應空腔的一表面;(B)該晶粒藉由實體接觸於該晶粒與該對應空腔的該表面之固體材料而分隔於該對應空腔的該表面。
在一些實施例中,在每一空腔係位於該第二基板的一底表面中之一側視中,該第二基板的該表面區域橫向圍繞每一空腔。
在一些實施例中,該至少一晶粒附接至該對應空腔的該表面。
在一些實施例中,該至少一晶粒並未附接至該對應空腔的該表面。
在一些實施例中,該一或更多個第一接觸墊位於該第一基板的一第一側;該第一基板在相對於該第一側的一第二側包含一或更多個 第二接觸墊;及該第一基板包含一或更多個導電路徑,該一或更多個導電路徑通過該第一基板並且電連接至少一第一接觸墊至至少一第二接觸墊。
在一些實施例中,該等狀況(A)與(B)的至少一者在室溫時符合。
在一些實施例中,當該第一基板附接至該第二基板時,該至少一晶粒處於來自該第二基板的壓力之下。
在一些實施例中,該壓力在室溫時不超過200MPa。
在一些實施例中,該一或更多個晶粒為複數個晶粒,且該方法另包含在附接該第一基板至該第二基板之前,研磨在該晶粒的一第一側的一固體表面,該晶粒的該第一側為相對於每一晶粒的一或更多個接觸墊之一側,該固體表面為該晶粒的一表面或形成於該晶粒上的一封裝的一表面。
在一些實施例中,該固體表面為該封裝包含一環氧樹脂的一表面。
一些實施例提供一種製品,包含:一第一基板,其包含一或更多個第一接觸墊;一或更多個晶粒,其附接至該第一基板,每一晶粒包含一半導體積體電路,該半導體積體電路包含一或更多個接觸墊係各自附接至一個別的第一接觸墊;一第二基板,其包含一或更多個空腔,該第二基板係附接至該第一基板,其中每一晶粒的至少部分係位於該第二基板中的一對應空腔 中,該第二基板包含一表面區域係位於該等空腔之外並且附接至該第一基板;其中至少在該結構可電性操作的一些溫度時,至少一晶粒係處於來自該第二基板的壓力之下。
在一些實施例中,該壓力在室溫時不超過200MPa。
在一些實施例中,在每一空腔係位於該第二基板的一底表面中之一側視中,該第二基板的該表面區域橫向圍繞每一空腔。
在一些實施例中,該至少一晶粒附接至該對應空腔的該表面。
在一些實施例中,其中該至少一晶粒並未附接至該對應空腔的該表面。
在一些實施例中,其中該一或更多個第一接觸墊位於該第一基板的一第一側;該第一基板在相對於該第一側的一第二側包含一或更多個第二接觸墊;及該第一基板包含一或更多個導電路徑,該一或更多個導電路徑通過該第一基板並且電連接至少一第一接觸墊至至少一第二接觸墊。
其他實施例與變化都在本發明的範圍內,如同所附申請專利範圍所界定的。
320‧‧‧光阻
PR 320‧‧‧光阻
310‧‧‧層
120.1S‧‧‧基板

Claims (20)

  1. 一種空腔基板保護之積體電路,包含:(a)一結構,包含:一第一基板(Substrate),包含一或更多個第一接觸墊;及一或更多個晶粒(Dies),其附接至該第一基板,每一晶粒包含一半導體積體電路,該半導體積體電路包含之一或更多個接觸墊係各自附接至一個別的第一接觸墊;其中該結構包含一第一材料的一區域(Region);(b)一第二基板,其包含一或更多個空腔(Cavities)在該第二基板之一底部中,該第二基板的一整個底表面係由一第二材料製成,該第二基板係附接至該結構,其中每一晶粒的至少部分係位於該第二基板中的一對應空腔中;其中該區域在該第二基板之下,且在頂視圖中,該區域可達該第二基板的一外部橫向邊界並且也可達到該一或更多個晶粒的至少一者;其中該第一材料係相同或不相同於該第二材料,並且具有實質上與該第二材料相同的熱膨脹係數(CTE);其中該區域直接結合至該第二基板的該底表面,以實體接觸於該第二基板的該底表面;其中在該一或更多個空腔的至少一第一空腔裡,每一晶粒係由一固體的密封劑從上方封裝,該密封劑係實體接觸該第一空腔之一表面,但是不附接於該第一空腔的該表面之任何部分。
  2. 如申請專利範圍第1項之空腔基板保護之積體電路,其中該第二基板的該底表面包含附接至該結構的一表面區域,且該表面區域橫向圍繞每一空腔。
  3. 如申請專利範圍第1項之空腔基板保護之積體電路,其中:該第一基板包含在該第一基板的一底部側處的一或更多個第二接觸墊;及該第一基板包含一或更多個導電路徑,該一或更多個導電路徑通過該第一基板,並且電連接至少一第一接觸墊到至少一第二接觸墊。
  4. 如申請專利範圍第1項之空腔基板保護之積體電路,其中對至少一空腔而言,該空腔中的每一晶粒的熱膨脹係數(CTE)實質上與該第一及第二材料相同。
  5. 如申請專利範圍第1項之空腔基板保護之積體電路,其中該整個第二基板係由該第二材料製成。
  6. 如申請專利範圍第1項之空腔基板保護之積體電路,其中該第一與第二材料的至少一者為半導體。
  7. 如申請專利範圍第1項之空腔基板保護之積體電路,另包含一密封劑,該密封劑覆蓋且實體接觸於每一晶粒,該密封劑係一模製化合物(Molding Compound),其中該第一與第二材料皆非一模製化合物。
  8. 如申請專利範圍第1項之空腔基板保護之積體電路,其中該第一區域為該第一基板的部分。
  9. 如申請專利範圍第1項之空腔基板保護之積體電路,其中除了每一空腔的一位置之外,該第二基板具有一第一厚度,該第二基板在每一空腔的該位置處較薄於該第一厚度。
  10. 如申請專利範圍第1項之空腔基板保護之積體電路,其中該第二基板在每一空腔之上比不在該一或更多個空腔的任一者之上的一位置處更薄。
  11. 如申請專利範圍第1項之空腔基板保護之積體電路,其中至少在該結構可電性操作的一些溫度時,至少一晶粒符合狀況(A)與(B)的一或兩者:(A)該晶粒實體接觸於該對應空腔的一表面;(B)該晶粒藉由實體接觸於該晶粒與該對應空腔的該表面之固體材料而分隔於該對應空腔的該表面。
  12. 如申請專利範圍第11項之空腔基板保護之積體電路,其中該至少一晶粒係附接至該對應空腔的該表面。
  13. 如申請專利範圍第11項之空腔基板保護之積體電路,其中該至少一晶粒 並未附接至該對應空腔的該表面。
  14. 如申請專利範圍第11項之空腔基板保護之積體電路,其中該狀況(A)與(B)的至少一者在室溫時符合。
  15. 一種空腔基板保護之積體電路,包含:(a)一結構,包含:一第一基板(Substrate),包含一或更多個第一接觸墊;及一或更多個晶粒(Dies),其附接至該第一基板,每一晶粒包含一半導體積體電路,該半導體積體電路包含之一或更多個接觸墊係各自附接至一個別的第一接觸墊;其中該結構包含一預定材料的一區域;(b)一第二基板,其包含一或更多個空腔(Cavities)在該該第二基板之一底部中,該第二基板的一整個底表面係由該預定材料製成,該第二基板係附接至該結構,其中每一晶粒的至少部分係位於該第二基板中的一對應空腔中;其中該區域在該第二基板之下,且在頂視圖中,該區域到達該第二基板的一外部橫向邊界並且也到達該一或更多個晶粒的至少一者;其中該區域直接結合至該第二基板的該底表面,以實體接觸於該第二基板的該底表面;其中在該一或更多個空腔的至少一第一空腔中,針對至少部分位於該第一空腔中的至少一晶粒,該晶粒附接至該第一空腔的一頂表面,而無一 模製化合物在該晶粒與該第一空腔的該頂表面之間。
  16. 如申請專利範圍第15項之空腔基板保護之積體電路,其中至少在該結構可電性操作的一些溫度時,至少一晶粒符合狀況(A)與(B)的一或兩者:(A)該晶粒實體接觸於該對應空腔的一表面;(B)該晶粒藉由實體接觸於該晶粒與該對應空腔的該表面之固體材料而分隔於該對應空腔的該表面。
  17. 如申請專利範圍第15項之空腔基板保護之積體電路,其中該區域為該第一基板的部分。
  18. 一種空腔基板保護之積體電路,包含:(a)一第一基板(Substrate),包含:一第一主體,由一第一材料製成;一或更多個導電通孔(Conductive Vias),每一導電通孔通過在該第一主體的一頂表面與一底表面之間的該第一主體;一再分佈層(Redistribution Layer),其包含一或更多個導電線與並非該第一材料的介電質;及一或更多個第一接觸墊,其在該再分佈層的一頂部,並且間隔於該第一主體,該一或更多個導電線互連該一或更多個導電通孔的一或更多者與該一或更多個第一接觸墊的一或更多者;(b)一或更多個晶粒(Dies),其附接至該第一基板,每一晶粒包含一半 導體積體電路,該半導體積體電路包含之一或更多個接觸墊係各自附接至一個別的第一接觸墊;(c)一第二基板,其包含一第二主體,該第二主體係由與該第一材料相同或不同的一第二材料製成,該第二基板包含一或更多個空腔(Cavities)在該底部中,每一空腔延伸至該第二主體中,該第二主體在每一空腔上的地方較薄,不在該一或更多個空腔的任一者之上的地方則較厚,該第二基板包含一底表面,該底表面包含之一區域係位於該一或更多個空腔的外部,並且直接結合至該再分佈層的一頂表面,以實體接觸於該再分佈層的該頂表面;其中該第一材料之熱膨脹係數(CTE)與該第二材料實質上相同;其中在該一或更多個空腔的至少一第一空腔中,針對至少部分位於該第一空腔中的至少一晶粒,該晶粒附接至該第一空腔的一頂表面,而無一模製化合物在該晶粒與該第一空腔的該頂表面之間。
  19. 如申請專利範圍第18項之空腔基板保護之積體電路,其中該第一材料相同於該第二材料。
  20. 如申請專利範圍第18項之空腔基板保護之積體電路,其中該第一與第二材料的至少一者為半導體。
TW104107704A 2014-03-12 2015-03-10 空腔基板保護之積體電路 TWI573223B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461952066P 2014-03-12 2014-03-12
US14/214,365 US20150262902A1 (en) 2014-03-12 2014-03-14 Integrated circuits protected by substrates with cavities, and methods of manufacture

Publications (2)

Publication Number Publication Date
TW201535603A TW201535603A (zh) 2015-09-16
TWI573223B true TWI573223B (zh) 2017-03-01

Family

ID=54069679

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104107704A TWI573223B (zh) 2014-03-12 2015-03-10 空腔基板保護之積體電路
TW104140292A TWI685079B (zh) 2014-03-12 2015-12-02 在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104140292A TWI685079B (zh) 2014-03-12 2015-12-02 在孔穴中具有由可模造材料所囊封的電路模組的插入物及製造方法

Country Status (4)

Country Link
US (6) US20150262902A1 (zh)
KR (1) KR20160132093A (zh)
TW (2) TWI573223B (zh)
WO (1) WO2015138393A1 (zh)

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
KR102052294B1 (ko) * 2013-09-27 2019-12-04 인텔 코포레이션 수동 부품용 중첩체 기판을 구비한 다이 패키지
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
JP6332439B2 (ja) * 2014-03-31 2018-05-30 富士電機株式会社 電力変換装置
US9165793B1 (en) * 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
KR102373809B1 (ko) * 2014-07-02 2022-03-14 삼성전기주식회사 패키지 구조체 및 그 제조 방법
US9252127B1 (en) 2014-07-10 2016-02-02 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
US9627285B2 (en) 2014-07-25 2017-04-18 Dyi-chung Hu Package substrate
TWI558288B (zh) * 2014-09-10 2016-11-11 恆勁科技股份有限公司 中介基板及其製法
DE102014114982B4 (de) * 2014-10-15 2023-01-26 Infineon Technologies Ag Verfahren zum Bilden einer Chip-Baugruppe
US10685904B2 (en) 2014-11-21 2020-06-16 Delta Electronics, Inc. Packaging device and manufacturing method thereof
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US10306777B2 (en) * 2014-12-15 2019-05-28 Bridge Semiconductor Corporation Wiring board with dual stiffeners and dual routing circuitries integrated together and method of making the same
US10541229B2 (en) 2015-02-19 2020-01-21 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US10217724B2 (en) * 2015-03-30 2019-02-26 Mediatek Inc. Semiconductor package assembly with embedded IPD
US9666514B2 (en) * 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
US20170040266A1 (en) 2015-05-05 2017-02-09 Mediatek Inc. Fan-out package structure including antenna
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10276541B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10056338B2 (en) * 2015-10-27 2018-08-21 Micron Technology, Inc. Methods of forming semiconductor packages including molding semiconductor chips of the semiconductor packages
US10256213B2 (en) * 2015-12-10 2019-04-09 Intel Corporation Reduced-height electronic memory system and method
US9818637B2 (en) * 2015-12-29 2017-11-14 Globalfoundries Inc. Device layer transfer with a preserved handle wafer section
US9984998B2 (en) 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US20170287870A1 (en) * 2016-04-01 2017-10-05 Powertech Technology Inc. Stacked chip package structure and manufacturing method thereof
TWI606563B (zh) * 2016-04-01 2017-11-21 力成科技股份有限公司 薄型晶片堆疊封裝構造及其製造方法
EP3240027B1 (en) * 2016-04-25 2021-03-17 Technische Hochschule Ingolstadt Semiconductor package
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10109540B2 (en) 2016-06-08 2018-10-23 International Business Machines Corporation Fabrication of sacrificial interposer test structure
US9818729B1 (en) * 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
KR102019352B1 (ko) * 2016-06-20 2019-09-09 삼성전자주식회사 팬-아웃 반도체 패키지
JP6716363B2 (ja) 2016-06-28 2020-07-01 株式会社アムコー・テクノロジー・ジャパン 半導体パッケージ及びその製造方法
US10204884B2 (en) * 2016-06-29 2019-02-12 Intel Corporation Multichip packaging for dice of different sizes
US11469215B2 (en) * 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9825007B1 (en) * 2016-07-13 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
KR102569815B1 (ko) * 2016-10-01 2023-08-22 인텔 코포레이션 전자 디바이스 패키지
WO2018067719A2 (en) 2016-10-07 2018-04-12 Invensas Bonding Technologies, Inc. Direct-bonded native interconnects and active base die
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US9922845B1 (en) * 2016-11-03 2018-03-20 Micron Technology, Inc. Semiconductor package and fabrication method thereof
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
MY192051A (en) * 2016-12-29 2022-07-25 Intel Corp Stacked dice systems
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US11276667B2 (en) * 2016-12-31 2022-03-15 Intel Corporation Heat removal between top and bottom die interface
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10410940B2 (en) * 2017-06-30 2019-09-10 Intel Corporation Semiconductor package with cavity
US10475747B2 (en) * 2017-08-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
DE102017123175B4 (de) 2017-10-05 2024-02-22 Infineon Technologies Ag Halbleiterbauteil und Verfahren zu dessen Herstellung
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
EP3483921A1 (en) * 2017-11-11 2019-05-15 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Embedding known-good component in known-good cavity of known-good component carrier material with pre-formed electric connection structure
US10784247B2 (en) * 2017-11-15 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Process control for package formation
CN107863333A (zh) * 2017-11-15 2018-03-30 贵州贵芯半导体有限公司 高散热等线距堆栈芯片封装结构及其封装方法
DE102017127089B4 (de) * 2017-11-17 2022-05-25 Infineon Technologies Austria Ag Multi-Die-Gehäuse und Leistungswandler
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
KR102404058B1 (ko) 2017-12-28 2022-05-31 삼성전자주식회사 반도체 패키지
WO2019132958A1 (en) * 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
DE112018006757T5 (de) * 2018-01-03 2020-10-01 Intel Corporation Gestapelte Halbleiter-Die-Architektur mit mehreren Disaggregationsschichten
DE102018102144A1 (de) * 2018-01-31 2019-08-01 Tdk Electronics Ag Elektronisches Bauelement
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10727203B1 (en) * 2018-05-08 2020-07-28 Rockwell Collins, Inc. Die-in-die-cavity packaging
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
CN109003961B (zh) * 2018-07-26 2020-06-16 华进半导体封装先导技术研发中心有限公司 一种3d系统集成结构及其制造方法
US10825774B2 (en) 2018-08-01 2020-11-03 Samsung Electronics Co., Ltd. Semiconductor package
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11024605B2 (en) * 2019-05-31 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN112670249A (zh) 2019-10-16 2021-04-16 长鑫存储技术有限公司 半导体封装方法、半导体封装结构及封装体
CN112670274A (zh) * 2019-10-16 2021-04-16 长鑫存储技术有限公司 半导体封装方法、半导体封装结构及封装体
US11018056B1 (en) * 2019-11-01 2021-05-25 Micron Technology, Inc. Encapsulated solder TSV insertion interconnect
US11088114B2 (en) 2019-11-01 2021-08-10 Micron Technology, Inc. High density pillar interconnect conversion with stack to substrate connection
US10998271B1 (en) 2019-11-01 2021-05-04 Micron Technology, Inc. High density pillar interconnect conversion with stack to substrate connection
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
CN110828496B (zh) * 2019-11-15 2022-10-11 华天科技(昆山)电子有限公司 半导体器件及其制造方法
KR102643424B1 (ko) 2019-12-13 2024-03-06 삼성전자주식회사 반도체 패키지
KR20210076589A (ko) * 2019-12-16 2021-06-24 삼성전기주식회사 전자부품 내장기판
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11444059B2 (en) * 2019-12-19 2022-09-13 Micron Technology, Inc. Wafer-level stacked die structures and associated systems and methods
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
CN111115553B (zh) * 2019-12-25 2023-04-14 北京遥测技术研究所 一种基于储能焊接方式的双腔室金属封装外壳及封装方法
US11404337B2 (en) 2019-12-27 2022-08-02 Apple Inc. Scalable extreme large size substrate integration
JP7354885B2 (ja) * 2020-03-12 2023-10-03 富士通株式会社 半導体装置及び半導体装置の製造方法
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11302683B2 (en) * 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11552029B2 (en) * 2020-09-04 2023-01-10 Micron Technology, Inc. Semiconductor devices with reinforced substrates
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN112218428B (zh) * 2020-11-04 2022-02-18 生益电子股份有限公司 一种内埋空腔的制作方法及pcb
EP4362086A1 (en) * 2021-08-19 2024-05-01 Huawei Technologies Co., Ltd. Chip package structure and electronic apparatus
US11810895B2 (en) * 2021-10-14 2023-11-07 Honeywell Federal Manufacturing & Technologies, Llc Electrical interconnect structure using metal bridges to interconnect die
KR20230067129A (ko) * 2021-11-09 2023-05-16 삼성전기주식회사 인쇄회로기판
CN116230555B (zh) * 2023-05-06 2023-08-29 芯盟科技有限公司 芯片载体、其形成方法以及晶圆键合结构的形成方法
CN116230556B (zh) * 2023-05-06 2023-08-29 芯盟科技有限公司 芯片载体、其形成方法、晶圆键合结构及其形成方法
CN117153839A (zh) * 2023-08-28 2023-12-01 湖北三维半导体集成创新中心有限责任公司 一种封装结构及其制造方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070029654A1 (en) * 2005-08-01 2007-02-08 Shinko Electric Industries Co., Ltd. Electronic parts packaging structure and method of manufacturing the same
US20080128897A1 (en) * 2006-12-05 2008-06-05 Tong Wa Chao Heat spreader for a multi-chip package
US20110080713A1 (en) * 2009-10-06 2011-04-07 Shinko Electric Industries Co., Ltd. Interposer mounted wiring board and electronic component device
US20120101540A1 (en) * 2010-10-26 2012-04-26 Medtronic, Inc. Wafer-scale package including power source
CN102610758A (zh) * 2012-03-19 2012-07-25 中国科学院上海技术物理研究所 一种铁电隧道结室温红外探测器及制备方法
US20130082399A1 (en) * 2011-10-04 2013-04-04 Won-keun Kim Semiconductor package and method of manufacturing the same

Family Cites Families (399)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US5567653A (en) 1994-09-14 1996-10-22 International Business Machines Corporation Process for aligning etch masks on an integrated circuit surface using electromagnetic energy
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
US5701233A (en) 1995-01-23 1997-12-23 Irvine Sensors Corporation Stackable modules and multimodular assemblies
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
US6008536A (en) 1997-06-23 1999-12-28 Lsi Logic Corporation Grid array device package including advanced heat transfer mechanisms
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6157076A (en) 1997-06-30 2000-12-05 Intersil Corporation Hermetic thin pack semiconductor device
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6624505B2 (en) 1998-02-06 2003-09-23 Shellcase, Ltd. Packaged integrated circuits and methods of producing thereof
JP3630551B2 (ja) 1998-04-02 2005-03-16 株式会社東芝 半導体記憶装置及びその製造方法
JP3857435B2 (ja) 1998-08-31 2006-12-13 ローム株式会社 光半導体素子、光半導体素子の実装構造、および光半導体素子群の包装構造
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
TW426931B (en) 1999-07-29 2001-03-21 Mosel Vitelic Inc Manufacturing method and structure of trench type capacitor having a cylindrical conductive plate
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP2001148436A (ja) 1999-11-22 2001-05-29 Ngk Spark Plug Co Ltd セラミックパッケージ及びセラミックパッケージの製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6251796B1 (en) 2000-02-24 2001-06-26 Conexant Systems, Inc. Method for fabrication of ceramic tantalum nitride and improved structures based thereon
JP2001267473A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd 半導体装置およびその製造方法
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6492726B1 (en) 2000-09-22 2002-12-10 Chartered Semiconductor Manufacturing Ltd. Chip scale packaging with multi-layer flip chip arrangement and ball grid array interconnection
US6900549B2 (en) 2001-01-17 2005-05-31 Micron Technology, Inc. Semiconductor assembly without adhesive fillets
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6451650B1 (en) 2001-04-20 2002-09-17 Taiwan Semiconductor Manufacturing Company Low thermal budget method for forming MIM capacitor
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US20020179921A1 (en) 2001-06-02 2002-12-05 Cohn Michael B. Compliant hermetic package
US7061102B2 (en) 2001-06-11 2006-06-13 Xilinx, Inc. High performance flipchip package that incorporates heat removal with minimal thermal mismatch
US6856007B2 (en) 2001-08-28 2005-02-15 Tessera, Inc. High-frequency chip packages
US6787916B2 (en) 2001-09-13 2004-09-07 Tru-Si Technologies, Inc. Structures having a substrate with a cavity and having an integrated circuit bonded to a contact pad located in the cavity
US6620701B2 (en) 2001-10-12 2003-09-16 Infineon Technologies Ag Method of fabricating a metal-insulator-metal (MIM) capacitor
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
JP2003204074A (ja) 2001-10-29 2003-07-18 Sharp Corp 太陽電池用封止膜、およびこれを用いた太陽電池パネルの製造方法
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7633765B1 (en) 2004-03-23 2009-12-15 Amkor Technology, Inc. Semiconductor package including a top-surface metal layer for implementing circuit features
JP2004014714A (ja) 2002-06-05 2004-01-15 Mitsubishi Electric Corp キャパシタの製造方法
US6876062B2 (en) 2002-06-27 2005-04-05 Taiwan Semiconductor Manufacturing Co., Ltd Seal ring and die corner stress relief pattern design to protect against moisture and metallic impurities
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
AU2002337581A1 (en) 2002-09-09 2004-03-29 Singapore Institute Of Manufacturing Technology Apparatus and method for bonding strength testing
GB0221439D0 (en) 2002-09-16 2002-10-23 Enpar Technologies Inc Ion-exchange/electrochemical treatment of ammonia in waste-water
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP4056854B2 (ja) 2002-11-05 2008-03-05 新光電気工業株式会社 半導体装置の製造方法
US6919508B2 (en) 2002-11-08 2005-07-19 Flipchip International, Llc Build-up structures with multi-angle vias for chip to chip interconnects and optical bussing
US7400036B2 (en) 2002-12-16 2008-07-15 Avago Technologies General Ip Pte Ltd Semiconductor chip package with a package substrate and a lid cover
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP4390541B2 (ja) * 2003-02-03 2009-12-24 Necエレクトロニクス株式会社 半導体装置及びその製造方法
WO2004070792A2 (en) 2003-02-04 2004-08-19 Advanced Interconnect Technologies Limited Thin multiple semiconductor die package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI278975B (en) 2003-03-04 2007-04-11 Siliconware Precision Industries Co Ltd Semiconductor package with heatsink
SG137651A1 (en) 2003-03-14 2007-12-28 Micron Technology Inc Microelectronic devices and methods for packaging microelectronic devices
JP2004281830A (ja) 2003-03-17 2004-10-07 Shinko Electric Ind Co Ltd 半導体装置用基板及び基板の製造方法及び半導体装置
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7102217B2 (en) 2003-04-09 2006-09-05 Micron Technology, Inc. Interposer substrates with reinforced interconnect slots, and semiconductor die packages including same
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040259325A1 (en) 2003-06-19 2004-12-23 Qing Gan Wafer level chip scale hermetic package
US7012326B1 (en) 2003-08-25 2006-03-14 Xilinx, Inc. Lid and method of employing a lid on an integrated circuit
KR100537892B1 (ko) 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
TWI251916B (en) 2003-08-28 2006-03-21 Phoenix Prec Technology Corp Semiconductor assembled heat sink structure for embedding electronic components
US7031162B2 (en) 2003-09-26 2006-04-18 International Business Machines Corporation Method and structure for cooling a dual chip module with one high power chip
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7183643B2 (en) 2003-11-04 2007-02-27 Tessera, Inc. Stacked packages and systems incorporating the same
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US6979899B2 (en) * 2003-12-31 2005-12-27 Texas Instruments Incorported System and method for high performance heat sink for multiple chip devices
US7115988B1 (en) 2004-01-21 2006-10-03 Altera Corporation Bypass capacitor embedded flip chip package lid and stiffener
CN1645172A (zh) 2004-01-22 2005-07-27 松下电器产业株式会社 光传送路基板、光传送路内置基板、及它们的制造方法
US7165896B2 (en) 2004-02-12 2007-01-23 Hymite A/S Light transmitting modules with optical power monitoring
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
JP4441328B2 (ja) 2004-05-25 2010-03-31 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP3972209B2 (ja) 2004-05-26 2007-09-05 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US7183622B2 (en) 2004-06-30 2007-02-27 Intel Corporation Module integrating MEMS and passive components
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7786591B2 (en) 2004-09-29 2010-08-31 Broadcom Corporation Die down ball grid array package
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
KR101211576B1 (ko) 2004-11-04 2012-12-12 마이크로칩스 인코포레이티드 압축 및 냉간 용접 밀봉 방법 및 장치
KR100498708B1 (ko) 2004-11-08 2005-07-01 옵토팩 주식회사 반도체 소자용 전자패키지 및 그 패키징 방법
JP4677991B2 (ja) 2004-12-02 2011-04-27 株式会社村田製作所 電子部品及びその製造方法
KR100594952B1 (ko) 2005-02-04 2006-06-30 삼성전자주식회사 웨이퍼 레벨 패키징 캡 및 그 제조방법
US7358106B2 (en) 2005-03-03 2008-04-15 Stellar Micro Devices Hermetic MEMS package and method of manufacture
US7442570B2 (en) 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
WO2006124597A2 (en) 2005-05-12 2006-11-23 Foster Ron B Infinitely stackable interconnect device and method
US7215032B2 (en) 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US8397013B1 (en) 2006-10-05 2013-03-12 Google Inc. Hybrid memory module
JP2007019107A (ja) 2005-07-05 2007-01-25 Shinko Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
JP2007042719A (ja) 2005-08-01 2007-02-15 Nec Electronics Corp 半導体装置
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7582969B2 (en) 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
US20070045795A1 (en) 2005-08-31 2007-03-01 Mcbean Ronald V MEMS package and method of forming the same
US7906803B2 (en) 2005-12-06 2011-03-15 Canon Kabushiki Kaisha Nano-wire capacitor and circuit device therewith
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7344954B2 (en) 2006-01-03 2008-03-18 United Microelectonics Corp. Method of manufacturing a capacitor deep trench and of etching a deep trench opening
US7560761B2 (en) 2006-01-09 2009-07-14 International Business Machines Corporation Semiconductor structure including trench capacitor and trench resistor
US20070188054A1 (en) 2006-02-13 2007-08-16 Honeywell International Inc. Surface acoustic wave packages and methods of forming same
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7977579B2 (en) 2006-03-30 2011-07-12 Stats Chippac Ltd. Multiple flip-chip integrated circuit package system
DE102006016260A1 (de) 2006-04-06 2007-10-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mikromechanische Gehäusung mit mindestens zwei Kavitäten mit unterschiedlichem Innendruck und/oder unterschiedlicher Gaszusammensetzung sowie Verfahren zu deren Herstellung
US7390700B2 (en) 2006-04-07 2008-06-24 Texas Instruments Incorporated Packaged system of semiconductor chips having a semiconductor interposer
US7510928B2 (en) 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
US7462931B2 (en) 2006-05-15 2008-12-09 Innovative Micro Technology Indented structure for encapsulated devices and method of manufacture
US7513035B2 (en) 2006-06-07 2009-04-07 Advanced Micro Devices, Inc. Method of integrated circuit packaging
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP5107539B2 (ja) 2006-08-03 2012-12-26 新光電気工業株式会社 半導体装置および半導体装置の製造方法
TWI367557B (en) 2006-08-11 2012-07-01 Sanyo Electric Co Semiconductor device and manufaturing method thereof
US7430359B2 (en) 2006-10-02 2008-09-30 Miradia, Inc. Micromechanical system containing a microfluidic lubricant channel
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US20080124835A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Hermetic seal and reliable bonding structures for 3d applications
US7550857B1 (en) 2006-11-16 2009-06-23 Amkor Technology, Inc. Stacked redistribution layer (RDL) die assembly package
KR100833508B1 (ko) 2006-12-07 2008-05-29 한국전자통신연구원 멤즈 패키지 및 그 방법
US7670921B2 (en) 2006-12-28 2010-03-02 International Business Machines Corporation Structure and method for self aligned vertical plate capacitor
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8183687B2 (en) 2007-02-16 2012-05-22 Broadcom Corporation Interposer for die stacking in semiconductor packages and the method of making the same
JP4792143B2 (ja) 2007-02-22 2011-10-12 株式会社デンソー 半導体装置およびその製造方法
US7800916B2 (en) * 2007-04-09 2010-09-21 Endicott Interconnect Technologies, Inc. Circuitized substrate with internal stacked semiconductor chips, method of making same, electrical assembly utilizing same and information handling system utilizing same
US7723159B2 (en) 2007-05-04 2010-05-25 Stats Chippac, Ltd. Package-on-package using through-hole via die on saw streets
US8039309B2 (en) 2007-05-10 2011-10-18 Texas Instruments Incorporated Systems and methods for post-circuitization assembly
US7737513B2 (en) 2007-05-30 2010-06-15 Tessera, Inc. Chip assembly including package element and integrated circuit chip
KR100909322B1 (ko) 2007-07-02 2009-07-24 주식회사 네패스 초박형 반도체 패키지 및 그 제조방법
US20090057884A1 (en) * 2007-08-29 2009-03-05 Seah Sun Too Multi-Chip Package
EP2213148A4 (en) 2007-10-10 2011-09-07 Tessera Inc ROBUST MULTILAYER WIRING ELEMENTS AND ASSEMBLIES INCLUDING MICROELECTRONIC ELEMENTS INCLUDED
KR20090056044A (ko) * 2007-11-29 2009-06-03 삼성전자주식회사 반도체 소자 패키지 및 이를 제조하는 방법
US8324728B2 (en) 2007-11-30 2012-12-04 Skyworks Solutions, Inc. Wafer level packaging using flip chip mounting
US7928548B2 (en) 2008-01-07 2011-04-19 International Business Machines Corporation Silicon heat spreader mounted in-plane with a heat source and method therefor
US7901987B2 (en) 2008-03-19 2011-03-08 Stats Chippac Ltd. Package-on-package system with internal stacking module interposer
JP2009238905A (ja) 2008-03-26 2009-10-15 Nippon Telegr & Teleph Corp <Ntt> 半導体素子の実装構造および半導体素子の実装方法
US8787060B2 (en) 2010-11-03 2014-07-22 Netlist, Inc. Method and apparatus for optimizing driver load in a memory package
SG142321A1 (en) 2008-04-24 2009-11-26 Micron Technology Inc Pre-encapsulated cavity interposer
US8008764B2 (en) 2008-04-28 2011-08-30 International Business Machines Corporation Bridges for interconnecting interposers in multi-chip integrated circuits
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US7863096B2 (en) 2008-07-17 2011-01-04 Fairchild Semiconductor Corporation Embedded die package and process flow using a pre-molded carrier
JP2010034403A (ja) 2008-07-30 2010-02-12 Shinko Electric Ind Co Ltd 配線基板及び電子部品装置
JP5585447B2 (ja) 2008-07-31 2014-09-10 日本電気株式会社 半導体装置及びその製造方法
US8101494B2 (en) 2008-08-14 2012-01-24 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8257985B2 (en) 2008-09-25 2012-09-04 Texas Instruments Incorporated MEMS device and fabrication method
JP5284235B2 (ja) 2008-09-29 2013-09-11 日本特殊陶業株式会社 半導体パッケージ
KR20100037300A (ko) 2008-10-01 2010-04-09 삼성전자주식회사 내장형 인터포저를 갖는 반도체장치의 형성방법
JP2010092977A (ja) * 2008-10-06 2010-04-22 Panasonic Corp 半導体装置及びその製造方法
MY149251A (en) 2008-10-23 2013-07-31 Carsem M Sdn Bhd Wafer-level package using stud bump coated with solder
KR20100046760A (ko) 2008-10-28 2010-05-07 삼성전자주식회사 반도체 패키지
KR101015704B1 (ko) 2008-12-01 2011-02-22 삼성전기주식회사 칩 내장 인쇄회로기판 및 그 제조방법
US8110908B2 (en) 2008-12-04 2012-02-07 Stats Chippac Ltd. Integrated circuit packaging system using bottom flip chip die bonding and method of manufacture thereof
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
US7858441B2 (en) 2008-12-08 2010-12-28 Stats Chippac, Ltd. Semiconductor package with semiconductor core structure and method of forming same
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8089144B2 (en) 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
JP5308145B2 (ja) 2008-12-19 2013-10-09 ルネサスエレクトロニクス株式会社 半導体装置
US8269671B2 (en) 2009-01-27 2012-09-18 International Business Machines Corporation Simple radio frequency integrated circuit (RFIC) packages with integrated antennas
US8278749B2 (en) 2009-01-30 2012-10-02 Infineon Technologies Ag Integrated antennas in wafer level package
US8343806B2 (en) 2009-03-05 2013-01-01 Raytheon Company Hermetic packaging of integrated circuit components
US7989270B2 (en) 2009-03-13 2011-08-02 Stats Chippac, Ltd. Semiconductor device and method of forming three-dimensional vertically oriented integrated capacitors
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
SE537499C2 (sv) 2009-04-30 2015-05-26 Silex Microsystems Ab Bondningsmaterialstruktur och process med bondningsmaterialstruktur
US8216887B2 (en) 2009-05-04 2012-07-10 Advanced Micro Devices, Inc. Semiconductor chip package with stiffener frame and configured lid
US20100288525A1 (en) 2009-05-12 2010-11-18 Alcatel-Lucent Usa, Incorporated Electronic package and method of manufacture
EP2259018B1 (en) 2009-05-29 2017-06-28 Infineon Technologies AG Gap control for die or layer bonding using intermediate layers of a micro-electromechanical system
FR2947481B1 (fr) 2009-07-03 2011-08-26 Commissariat Energie Atomique Procede de collage cuivre-cuivre simplifie
EP2273545B1 (en) 2009-07-08 2016-08-31 Imec Method for insertion bonding and kit of parts for use in said method
US8034660B2 (en) 2009-07-24 2011-10-11 Texas Instruments Incorporated PoP precursor with interposer for top package bond pad pitch compensation
US8383457B2 (en) 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US8143097B2 (en) 2009-09-23 2012-03-27 Stats Chippac, Ltd. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US8531012B2 (en) 2009-10-23 2013-09-10 Stats Chippac, Ltd. Semiconductor device and method of forming a shielding layer over a semiconductor die disposed in a cavity of an interconnect structure and grounded through the die TSV
JP5295932B2 (ja) 2009-11-02 2013-09-18 新光電気工業株式会社 半導体パッケージ及びその評価方法、並びにその製造方法
US8653654B2 (en) 2009-12-16 2014-02-18 Stats Chippac Ltd. Integrated circuit packaging system with a stackable package and method of manufacture thereof
JP5115618B2 (ja) 2009-12-17 2013-01-09 株式会社デンソー 半導体装置
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
JP5568786B2 (ja) 2009-12-24 2014-08-13 新光電気工業株式会社 半導体パッケージの製造方法及び半導体パッケージ
US8519537B2 (en) 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8378480B2 (en) 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies
US8541886B2 (en) 2010-03-09 2013-09-24 Stats Chippac Ltd. Integrated circuit packaging system with via and method of manufacture thereof
US8183696B2 (en) 2010-03-31 2012-05-22 Infineon Technologies Ag Packaged semiconductor device with encapsulant embedding semiconductor chip that includes contact pads
JP4900498B2 (ja) 2010-04-26 2012-03-21 セイコーエプソン株式会社 電子部品
FR2960339B1 (fr) 2010-05-18 2012-05-18 Commissariat Energie Atomique Procede de realisation d'elements a puce munis de rainures d'insertion de fils
US8349653B2 (en) 2010-06-02 2013-01-08 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional metal interconnect technologies
KR101394205B1 (ko) 2010-06-09 2014-05-14 에스케이하이닉스 주식회사 반도체 패키지
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US20120001339A1 (en) 2010-06-30 2012-01-05 Pramod Malatkar Bumpless build-up layer package design with an interposer
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
KR101129909B1 (ko) 2010-07-20 2012-03-23 주식회사 하이닉스반도체 반도체 소자의 필라형 캐패시터 및 그 형성방법
US8847376B2 (en) 2010-07-23 2014-09-30 Tessera, Inc. Microelectronic elements with post-assembly planarization
US8598695B2 (en) 2010-07-23 2013-12-03 Tessera, Inc. Active chip on carrier or laminated chip having microelectronic element embedded therein
TWI445104B (zh) 2010-08-25 2014-07-11 Advanced Semiconductor Eng 半導體封裝結構及其製程
US8617926B2 (en) 2010-09-09 2013-12-31 Advanced Micro Devices, Inc. Semiconductor chip device with polymeric filler trench
US9343436B2 (en) 2010-09-09 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked package and method of manufacturing the same
US8330559B2 (en) 2010-09-10 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging
US8411444B2 (en) 2010-09-15 2013-04-02 International Business Machines Corporation Thermal interface material application for integrated circuit cooling
US8830689B2 (en) 2010-09-16 2014-09-09 Samsung Electro-Mechanics Co., Ltd. Interposer-embedded printed circuit board
US9156673B2 (en) 2010-09-18 2015-10-13 Fairchild Semiconductor Corporation Packaging to reduce stress on microelectromechanical systems
CN103380496A (zh) 2010-10-06 2013-10-30 查尔斯.斯塔克.德雷珀实验室公司 中介层、电子模块及其形成方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US9337116B2 (en) 2010-10-28 2016-05-10 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interposer for stacking and electrically connecting semiconductor die
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8525318B1 (en) 2010-11-10 2013-09-03 Amkor Technology, Inc. Semiconductor device and fabricating method thereof
US9386688B2 (en) 2010-11-12 2016-07-05 Freescale Semiconductor, Inc. Integrated antenna package
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8569090B2 (en) * 2010-12-03 2013-10-29 Babak Taheri Wafer level structures and methods for fabricating and packaging MEMS
US8502340B2 (en) 2010-12-09 2013-08-06 Tessera, Inc. High density three-dimensional integrated capacitors
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8575493B1 (en) 2011-02-24 2013-11-05 Maxim Integrated Products, Inc. Integrated circuit device having extended under ball metallization
US8847337B2 (en) 2011-02-25 2014-09-30 Evigia Systems, Inc. Processes and mounting fixtures for fabricating electromechanical devices and devices formed therewith
US9018094B2 (en) 2011-03-07 2015-04-28 Invensas Corporation Substrates with through vias with conductive features for connection to integrated circuit elements, and methods for forming through vias in substrates
US8395229B2 (en) 2011-03-11 2013-03-12 Institut National D'optique MEMS-based getter microdevice
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP2514713B1 (en) 2011-04-20 2013-10-02 Tronics Microsystems S.A. A micro-electromechanical system (MEMS) device
JP2012231096A (ja) 2011-04-27 2012-11-22 Elpida Memory Inc 半導体装置及びその製造方法
JP2012256846A (ja) 2011-05-16 2012-12-27 Elpida Memory Inc 半導体装置の製造方法
JP5968068B2 (ja) 2011-05-24 2016-08-10 キヤノン株式会社 露出制御を行う撮像装置、撮像装置の制御方法、プログラム及び記録媒体
EP2717300B1 (en) 2011-05-24 2020-03-18 Sony Corporation Semiconductor device
JP5994776B2 (ja) 2011-06-06 2016-09-21 住友ベークライト株式会社 半導体パッケージ、半導体装置、半導体パッケージの製造方法
US8409923B2 (en) * 2011-06-15 2013-04-02 Stats Chippac Ltd. Integrated circuit packaging system with underfill and method of manufacture thereof
US8692365B2 (en) 2011-06-17 2014-04-08 Stats Chippac Ltd. Integrated circuit packaging system with thermal dispersal structures and method of manufacture thereof
US9540230B2 (en) 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8497558B2 (en) 2011-07-14 2013-07-30 Infineon Technologies Ag System and method for wafer level packaging
US9125333B2 (en) 2011-07-15 2015-09-01 Tessera, Inc. Electrical barrier layers
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
EP2555239A3 (en) 2011-08-04 2013-06-05 Sony Mobile Communications AB Thermal package with heat slug for die stacks
TWI492680B (zh) 2011-08-05 2015-07-11 Unimicron Technology Corp 嵌埋有中介層之封裝基板及其製法
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US8816404B2 (en) 2011-09-16 2014-08-26 Stats Chippac, Ltd. Semiconductor device and method of forming stacked semiconductor die and conductive interconnect structure through an encapsulant
US20130082383A1 (en) 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
KR101932665B1 (ko) 2011-10-10 2018-12-27 삼성전자 주식회사 반도체 패키지
US9287191B2 (en) 2011-10-12 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device package and method
KR20130042936A (ko) 2011-10-19 2013-04-29 에스케이하이닉스 주식회사 칩 캐리어, 이를 이용한 반도체 칩, 반도체 패키지, 및 그 제조방법들
TWI426572B (zh) 2011-10-20 2014-02-11 Ind Tech Res Inst 微機電感測裝置及其製造方法
WO2013062533A1 (en) 2011-10-25 2013-05-02 Intel Corporation Interposer for hermetic sealing of sensor chips and for their integration with integrated circuit chips
US9269646B2 (en) 2011-11-14 2016-02-23 Micron Technology, Inc. Semiconductor die assemblies with enhanced thermal management and semiconductor devices including same
US8518753B2 (en) 2011-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Assembly method for three dimensional integrated circuit
JP5970078B2 (ja) 2011-12-02 2016-08-17 インテル・コーポレーション デバイス相互接続の変化を可能にする積層メモリ
US8975711B2 (en) 2011-12-08 2015-03-10 Infineon Technologies Ag Device including two power semiconductor chips and manufacturing thereof
US9548251B2 (en) 2012-01-12 2017-01-17 Broadcom Corporation Semiconductor interposer having a cavity for intra-interposer die
US9139423B2 (en) 2012-01-19 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electro mechanical system structures
US8686570B2 (en) 2012-01-20 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-dimensional integrated circuit structures and methods of forming the same
JP2013183120A (ja) 2012-03-05 2013-09-12 Elpida Memory Inc 半導体装置
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
DE102012206732A1 (de) 2012-04-24 2013-10-24 Robert Bosch Gmbh Verfahren zum Herstellen eines hybrid integrierten Bauteils
FR2990314B1 (fr) * 2012-05-03 2014-06-06 Commissariat Energie Atomique Dispositif microelectronique de transmission sans fil
TR201908104T4 (tr) 2012-05-18 2019-06-21 Panasonic Ip Man Co Ltd Çoklu pencere camlarının üretim yöntemi.
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8530997B1 (en) 2012-07-31 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Double seal ring
US9502390B2 (en) 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US8872349B2 (en) 2012-09-11 2014-10-28 Intel Corporation Bridge interconnect with air gap in package assembly
US20140091461A1 (en) 2012-09-30 2014-04-03 Yuci Shen Die cap for use with flip chip package
US20140130595A1 (en) 2012-11-12 2014-05-15 Memsic, Inc. Monolithic sensor package
US9136159B2 (en) 2012-11-15 2015-09-15 Amkor Technology, Inc. Method and system for a semiconductor for device package with a die-to-packaging substrate first bond
US9040349B2 (en) 2012-11-15 2015-05-26 Amkor Technology, Inc. Method and system for a semiconductor device package with a die to interposer wafer first bond
US8796072B2 (en) * 2012-11-15 2014-08-05 Amkor Technology, Inc. Method and system for a semiconductor device package with a die-to-die first bond
US9511994B2 (en) 2012-11-28 2016-12-06 Invensense, Inc. Aluminum nitride (AlN) devices with infrared absorption structural layer
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8716351B1 (en) 2012-12-23 2014-05-06 Liveleaf, Inc. Methods of treating gastrointestinal spasms
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US8564076B1 (en) 2013-01-30 2013-10-22 Invensense, Inc. Internal electrical contact for enclosed MEMS devices
US9452920B2 (en) 2013-01-30 2016-09-27 Invensense, Inc. Microelectromechanical system device with internal direct electric coupling
US9287188B2 (en) 2013-02-05 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a seal ring structure
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US9257355B2 (en) 2013-02-11 2016-02-09 The Charles Stark Draper Laboratory, Inc. Method for embedding a chipset having an intermediary interposer in high density electronic modules
US20140225206A1 (en) 2013-02-11 2014-08-14 Yizhen Lin Pressure level adjustment in a cavity of a semiconductor die
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US20140246227A1 (en) 2013-03-01 2014-09-04 Bridge Semiconductor Corporation Method of making cavity substrate with built-in stiffener and cavity substrate manufactured thereby
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US9111930B2 (en) 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package on-package with cavity in interposer
US9469527B2 (en) 2013-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS pressure sensor and microphone devices having through-vias and methods of forming same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
KR102041265B1 (ko) * 2013-05-02 2019-11-27 삼성전자주식회사 Emi 차폐기능과 방열 기능을 가지는 반도체 패키지
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9136233B2 (en) * 2013-06-06 2015-09-15 STMicroelctronis (Crolles 2) SAS Process for fabricating a three-dimensional integrated structure with improved heat dissipation, and corresponding three-dimensional integrated structure
JP6110734B2 (ja) 2013-06-06 2017-04-05 ルネサスエレクトロニクス株式会社 半導体装置
EP2813465B1 (en) 2013-06-12 2020-01-15 Tronic's Microsystems MEMS device with getter layer
CN104249991B (zh) 2013-06-26 2016-08-10 中芯国际集成电路制造(上海)有限公司 Mems器件及其制作方法
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US10273147B2 (en) 2013-07-08 2019-04-30 Motion Engine Inc. MEMS components and method of wafer-level manufacturing thereof
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9035451B2 (en) 2013-09-30 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer level sealing methods with different vacuum levels for MEMS sensors
US9617150B2 (en) 2013-10-09 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Micro-electro mechanical system (MEMS) device having a blocking layer formed between closed chamber and a dielectric layer of a CMOS substrate
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR20150058940A (ko) * 2013-11-21 2015-05-29 삼성전자주식회사 히트 스프레더를 갖는 반도체 패키지
US9379074B2 (en) 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9368479B2 (en) 2014-03-07 2016-06-14 Invensas Corporation Thermal vias disposed in a substrate proximate to a well thereof
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9165793B1 (en) 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
US9524883B2 (en) 2014-05-13 2016-12-20 Invensas Corporation Holding of interposers and other microelectronic workpieces in position during assembly and other processing
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9741649B2 (en) 2014-06-04 2017-08-22 Invensas Corporation Integrated interposer solutions for 2D and 3D IC packaging
US9252127B1 (en) 2014-07-10 2016-02-02 Invensas Corporation Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
FR3023974B1 (fr) 2014-07-18 2016-07-22 Ulis Procede de fabrication d'un dispositif comprenant un boitier hermetique sous vide et un getter
US9620464B2 (en) 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9331043B1 (en) 2015-01-30 2016-05-03 Invensas Corporation Localized sealing of interconnect structures in small gaps
US9738516B2 (en) 2015-04-29 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure to reduce backside silicon damage
US9478504B1 (en) 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9650241B2 (en) 2015-09-17 2017-05-16 Invensense, Inc. Method for providing a MEMS device with a plurality of sealed enclosures having uneven standoff structures and MEMS device thereof
TW201737362A (zh) 2015-12-08 2017-10-16 天工方案公司 暫態液相材料接合及密封結構及形成其之方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10062656B2 (en) 2016-08-15 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Composite bond structure in stacked semiconductor structure
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US9834435B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11235969B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS-MEMS integration with through-chip via process
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070029654A1 (en) * 2005-08-01 2007-02-08 Shinko Electric Industries Co., Ltd. Electronic parts packaging structure and method of manufacturing the same
US20080128897A1 (en) * 2006-12-05 2008-06-05 Tong Wa Chao Heat spreader for a multi-chip package
US20110080713A1 (en) * 2009-10-06 2011-04-07 Shinko Electric Industries Co., Ltd. Interposer mounted wiring board and electronic component device
US20120101540A1 (en) * 2010-10-26 2012-04-26 Medtronic, Inc. Wafer-scale package including power source
US20130082399A1 (en) * 2011-10-04 2013-04-04 Won-keun Kim Semiconductor package and method of manufacturing the same
CN102610758A (zh) * 2012-03-19 2012-07-25 中国科学院上海技术物理研究所 一种铁电隧道结室温红外探测器及制备方法

Also Published As

Publication number Publication date
US20150262928A1 (en) 2015-09-17
TW201535603A (zh) 2015-09-16
US20170040237A1 (en) 2017-02-09
TWI685079B (zh) 2020-02-11
US20180130717A1 (en) 2018-05-10
WO2015138393A1 (en) 2015-09-17
US20160155695A1 (en) 2016-06-02
US20200043817A1 (en) 2020-02-06
TW201630147A (zh) 2016-08-16
US11205600B2 (en) 2021-12-21
KR20160132093A (ko) 2016-11-16
US9899281B2 (en) 2018-02-20
US10446456B2 (en) 2019-10-15
US9691696B2 (en) 2017-06-27
US20150262902A1 (en) 2015-09-17
US9324626B2 (en) 2016-04-26

Similar Documents

Publication Publication Date Title
TWI573223B (zh) 空腔基板保護之積體電路
TWI588966B (zh) 具有加強框的積體電路組件及製造方法
TWI744411B (zh) 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法
US10879140B2 (en) System and method for bonding package lid
US10636678B2 (en) Semiconductor die assemblies with heat sink and associated systems and methods
US9536862B2 (en) Microelectronic assemblies with integrated circuits and interposers with cavities, and methods of manufacture
TWI546915B (zh) 多重中介層基板電路組件以及其製造方法
US9136154B2 (en) Substrateless power device packages
TW201906025A (zh) 散熱方法
TW201803039A (zh) 半導體結構及其製造方法
TW202203404A (zh) 半導體元件及製造方法
TWI830360B (zh) 半導體封裝及其製造方法與封裝裝置
CN112582389A (zh) 半导体封装件、封装件及其形成方法
TWI842343B (zh) 裝置封裝、半導體封裝及封裝方法
CN220400576U (zh) 器件封装及半导体封装
KR20230043271A (ko) 반도체 칩 및 반도체 패키지

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees