TWI744411B - 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法 - Google Patents

半導體裝置、晶粒堆疊結構、封裝結構及其製造方法 Download PDF

Info

Publication number
TWI744411B
TWI744411B TW106138857A TW106138857A TWI744411B TW I744411 B TWI744411 B TW I744411B TW 106138857 A TW106138857 A TW 106138857A TW 106138857 A TW106138857 A TW 106138857A TW I744411 B TWI744411 B TW I744411B
Authority
TW
Taiwan
Prior art keywords
die
filling material
gap filling
wafer
substrate
Prior art date
Application number
TW106138857A
Other languages
English (en)
Other versions
TW201822311A (zh
Inventor
余振華
陳明發
葉松峯
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201822311A publication Critical patent/TW201822311A/zh
Application granted granted Critical
Publication of TWI744411B publication Critical patent/TWI744411B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3675Cooling facilitated by shape of device characterised by the shape of the housing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3736Metallic materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08137Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/80805Soldering or alloying involving forming a eutectic alloy at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1203Rectifying Diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15172Fan-out arrangement of the internal vias
    • H01L2924/15174Fan-out arrangement of the internal vias in different layers of the multilayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)

Abstract

提供一種封裝結構及其製造方法,其中提供用於散熱的 散熱特徵。散熱特徵包括形成在晶粒堆疊中的導電通孔、熱晶片及熱金屬主體,所述形成在晶粒堆疊中的導電通孔、所述熱晶片及所述熱金屬主體可結合到晶圓級裝置。包括晶片至晶片、晶片至晶圓,及晶圓至晶圓的混合結合在不必穿越例如共晶材料等結合材料的情況下提供導熱性。對封裝結構進行等離子體切割可提供用於與熱介面材料進行界接的平滑側壁輪廓。

Description

半導體裝置、晶粒堆疊結構、封裝結構及其製 造方法
本發明實施例是有關於一種封裝結構,且特別是有關於一種用於散熱的封裝結構及其製造方法。
半導體裝置被用於例如個人電腦、手機、數碼相機、及其他電子裝備等各種電子應用中。半導體裝置通常是通過以下方式來製作:在半導體基底之上依序沉積絕緣層或介電層、導電層、及半導體材料層;以及利用微影(lithography)對所述各種材料層進行圖案化以在其上形成電路元件及元件。通常在單個半導體晶圓上製造數十或數百個積體電路。通過沿切割道(scribe line)鋸切積體電路來單一化各別的晶粒。接著將所述各別的晶粒單獨地封裝,例如在多晶片模組中封裝、或以其他類型的封裝方式進行封裝。
半導體行業已因各種電子元件(例如,電晶體、二極體、 電阻器、電容器等)的集成密度持續提高而經歷快速的發展。很大程度上,集成密度的提高源自於最小特徵大小(minimum feature size)的持續縮小(例如,將半導體製程朝子20納米節點(sub-20nm node)縮減),此使得更多元件能夠集成到給定區域中。隨著近來對微型化、更高速度及更大頻寬、以及更低功耗及延遲的需求的增長,已產生對更小且更具創造性的半導體晶粒封裝技術的需要。
隨著半導體技術的進一步發展,出現了作為用於進一步減小半導體裝置的實體大小的另一有效替代方式的堆疊半導體裝置(例如,三維積體電路(three dimensional integrated circuit,3DIC))。在堆疊半導體裝置中,將例如邏輯電路、記憶體電路、處理器電路等主動電路製作在不同的半導體晶圓上。可將兩個或更多個半導體晶圓裝設在或堆疊在彼此頂上以進一步減小半導體裝置的形狀因數(form factor)。三維積體電路中的一種為疊層封裝(package-on-package,POP)裝置,其中晶粒被封裝且接著與另一或另一些經封裝晶粒封裝在一起。
根據本發明的某些實施例,提供一種封裝結構的製造方法包括以下步驟。將多個第一晶粒的第一表面結合到晶圓,所述多個第一晶粒中的每一者分別處於所述晶圓的各自封裝區域中。將第一間隙填充材料沉積到所述多個第一晶粒之上。薄化所述多 個第一晶粒及所述第一間隙填充材料,從而在所述多個第一晶粒的第二表面處暴露出導電穿孔。將多個第二晶粒中的第二晶粒結合到所述多個第一晶粒中的每一者。將第二間隙填充材料沉積到所述多個第二晶粒之上。薄化所述多個第二晶粒及所述第二間隙填充材料,從而在所述多個第二晶粒的第二表面處暴露出導電穿孔。將所述第一間隙填充材料及所述第二間隙填充材料單一化,所述單一化形成包括所述多個第一晶粒中的第一晶粒及所述多個第二晶粒中的第二晶粒的晶粒堆疊。
100:半導體裝置/晶粒堆疊
101a、101b:積體電路封裝/晶粒
102a、102b:封裝/積體電路封裝/等離子體切割封裝/晶粒堆疊
110、111、511:經加工晶圓
111’:晶圓層
115:基底/基底材料
120、535、541、546:通孔/導熱通孔
125、440、441:接觸接墊
130:金屬化層/導電材料/內連線/通孔
135:密封環
137、530:內連線
140、321、341、494:絕緣材料
150:晶粒區域
160:非晶粒區域/非封裝區域/非封裝區
205、206、206b、605:載體
206a:載體/背面載體
210:釋放層/介電釋放層/釋放膜
211:釋放層
212、612、622、624、628:晶粒
213:晶粒/薄化晶粒
216、226、626、754:間隙填充材料
217:間隙填充材料/薄化間隙填充材料
221:層/晶片層
221’、231’、241’、251’:堆疊晶片層
222:晶粒/第二晶粒
223、233、243:薄化晶粒
227、237:間隙填充材料/間隙填充材料層
231、251、261、621、627、631、637、641、647、651、721:層
241:層/第四層
247:間隙填充材料層
253:薄化晶粒堆疊
257:間隙填充材料/組合間隙填充材料
261’:堆疊晶片
270:單一化
275、675:罩幕
280:記憶體立方體
310、330、405、410:結構
311、312、313、331、332、333、415、430、435、693:通孔
420:金屬層/金屬化層/通孔
425:鋁接墊/接觸接墊
445、450、540:絕緣層/絕緣材料
490、492:保護層
495:連接件層
496:晶粒貼合膜
498:絕緣層
500:應用封裝
501:封裝/應用封裝/經單一化應用封裝/應用晶粒
510:晶圓/經加工晶圓
515:基底
520:處理單元
525:通孔/虛擬通孔/導熱通孔
545:接墊/接觸接墊
550:邏輯區域/非封裝區
551、552:周圍材料
560:記憶體區域/非封裝區
570:散熱區域/非封裝區
610:釋放層/介電釋放層/介電結合層
613:晶粒/薄化晶粒/記憶體晶粒
614、618:晶粒/熱晶片
615、619:晶粒/薄化晶粒/熱晶片/金屬件
616:間隙填充材料
617:間隙填充材料/層/薄化間隙填充材料
621’、631’、641’、721’、731’、741’、751’:改性層
623、633:晶粒/記憶體晶粒
625、629:熱晶片/金屬件
635、639、745、749:熱晶片
643:晶粒/記憶體晶粒/頂部層
645、649:熱晶片/頂部層
651’:改性層/頂部層/頂部晶粒
653:記憶體立方體/堆疊/晶粒堆疊
655、659:堆疊/熱晶片堆疊/晶粒堆疊/熱金屬主體/熱晶片
657:經加工間隙填充材料/間隙填充材料層
665:金屬罩幕/金屬硬罩幕
667:圖案化金屬硬罩幕
667e、667o、680:開口
670:切削技術/間隙填充切削
671:單一化/單一化技術
672:蝕刻
679、779:層結構
681:溝槽
682:側壁
685、985:連接件
685L、985L:下部部分/導電柱
685U、985U:上部部分/焊料頂蓋
690:熱介面材料
690A:頂部部分
690B:側壁部分/熱介面材料側壁部分
690C:間隙填充部分
690D:溝槽填充部分
691:封裝組件
692:焊料球
694:黏合劑
695:散熱器
695L:下部部分
695U:上部部分
699:封裝
710、711:金屬接墊
715、719、725:金屬件/熱金屬件
729、739:熱金屬件
735:金屬件
755、759:熱金屬主體/晶粒堆疊
圖1至圖11說明根據一些實施例的形成晶粒堆疊的製程中的各中間步驟。
圖12至圖16說明根據一些實施例的形成晶粒堆疊的製程中的各中間步驟。
圖17至圖18說明根據一些實施例的混合結合製程。
圖19說明根據一些實施例的晶粒堆疊的放大部分。
圖20至圖24說明根據一些實施例的形成晶粒堆疊的製程中的各中間步驟,其中在所述晶粒堆疊的前側上形成連接件。
圖25至圖27說明根據一些實施例的形成晶粒堆疊的製程中的各中間步驟,其中在所述晶粒堆疊的前側上形成連接件。
圖28至圖44說明根據一些實施例的形成經封裝裝置的製程 中的各中間步驟。
圖45至圖58說明根據一些實施例的形成經封裝裝置的製程中的各中間步驟。
圖59至圖61說明根據各種實施例的示例性散熱路徑。
圖62A至圖63D說明根據各種實施例的示例性散熱結構。
以下公開內容提供用於實作本發明實施例的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本公開內容。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本公開內容可能在各種實例中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或構造之間的關係。
此外,為易於說明,本文中可能使用例如“之下(beneath)”、“下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所說明的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使 用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向)且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
各實施例提供一種多晶粒堆疊結構(晶粒堆疊或晶片堆疊),所述多晶粒堆疊結構包括使用虛擬熱晶片(dummy thermal chip)、矽穿孔(through silicon via,TSV)、熱銅(Cu)或Cu主體等進行散熱。各晶粒是使用混合結合技術來進行堆疊及結合。所述結構可提供具有大小相同或不同的晶片的多晶片堆疊。各晶片可使用等離子體或鐳射切割(laser dicing)來單一化以提供平滑側面。封裝的背面中可形成有溝槽且所述溝槽被熱介面材料(thermal interface material,TIM)填充以提供散熱。各實施例可使用混合結合來提供晶粒至晶粒(晶片上晶片)結合、晶粒至晶圓(晶圓上晶片)結合、或晶圓至晶圓(晶圓上晶圓)結合而不在經結合結構之間使用材料。堆疊晶片可被薄化以提供散熱。
在三維積體電路封裝中堆疊封裝或晶片時面臨的一個問題是散熱。因高性能裝置的運作而生成的熱量可能抑制性能。傳統上使用的封裝膠體(molding compound)及底部填充膠材料(underfill material)可能抑制散熱且負面地影響所得封裝。通過使用所公開實施例來進行多晶粒堆疊可為高性能裝置(例如,系統級封裝(system-in-package,SiP)裝置或解決方案)提供高性能散熱。
圖1至圖11說明根據一些實施例的形成晶粒堆疊的製程 中的各中間步驟。參照圖1,說明半導體裝置100的一部分。在所說明實施例中,半導體裝置100包括上面形成有接觸接墊125的經加工晶圓110。在一些實施例中,半導體裝置100包括功能性已得到測試的一個或多個已知良好晶粒(known good die,KGD)。經加工晶圓110可包括封裝區域或晶粒區域150以及非封裝或非晶粒區域160。大體來說,在晶粒區域150中形成主動元件及被動元件,且非晶粒區域160中不形成有任何主動元件或被動元件。非封裝區域160可包括用於將晶粒區域150單一化成單獨的積體電路封裝101a及101b的切割路(dicing street)。
在一些實施例中,經加工晶圓110包括基底115、位於所述基底上的各種主動元件及被動元件(圖中未具體示出)、位於所述基底之上的各種金屬化層130(例如,內連線137的金屬化層130)、形成在所述基底中的通孔120、及形成在晶粒的周邊區域中的密封環135。通孔120可包括虛擬通孔及導電通孔,所述虛擬通孔不電耦合到經加工晶圓110中的裝置,所述導電通孔電耦合到經加工晶圓110中的至少一個裝置或導電特徵。可形成例如用於對基底進行散熱的虛擬通孔。導電通孔也可用於散熱,此可為所述導電通孔的第二用途。
通孔120為傳導性的且可被形成為主要為了從基底115中的產熱裝置傳導出熱量。導熱通孔120可穿越基底115的實質部分,所述實質部分例如為所述基底的整個深度或基底115的其中形成有主動元件及被動元件的一部分的整個深度。各實施例還 可在基底115中含有用於其他用途的其他通孔(圖中未示出)。
可通過任何適合的方式在基底中形成通孔120。舉例來說,可通過以下方式形成通孔:將罩幕沉積在晶圓之上,將所述罩幕圖案化以在其中形成與通孔位置對應的開口,使用圖案化罩幕在所述基底中蝕刻凹陷部,將可選晶種層沉積在所述開口中,例如通過電鍍而在所述開口中沉積導電材料,以及例如通過灰化製程(ashing process)移除所述罩幕。可使用其他方法來形成通孔120。
儘管基底還可由其他III族元素、IV族元素及/或V族元素(例如,矽、鍺、鎵、砷及其組合)形成,然而所述基底可由矽形成。基底還可呈絕緣體上矽(silicon-on-insulator,SOI)形式。絕緣體上矽基底可包括形成在絕緣體層(例如,隱埋氧化物(buried oxide)及/或類似物)之上的半導體材料(例如,矽、鍺及/或類似物)的層,所述絕緣體層形成在矽基底上。另外,可使用的其他基底包括多層式基底,梯度基底(gradient substrate)、混合取向基底(hybrid orientation substrate)、其任意組合及/或類似物。
在一些實施例中,各種主動元件及被動元件可包括例如電晶體、電容器、電阻器、二極體、光電二極體、熔絲及/或類似物等各種n型金屬氧化物半導體(n-type metal-oxide semiconductor,NMOS)及/或p型金屬氧化物半導體(p-type metal-oxide semiconductor,PMOS)裝置。
在一些實施例中,可在主動元件及被動元件之上形成內 連線137的金屬化層130並將金屬化層130設計成連接各種裝置以形成功能性電路系統。內連線137可由交替的絕緣材料140(例如,介電質(例如,低介電常數介電材料(low-k dielectric material)))的層與導電材料(例如,銅)的層形成且可通過任意適合的製程(例如,沉積、鑲嵌、雙重鑲嵌(dual damascene)等)來形成,通孔(例如,通孔120或其他通孔)對導電材料130的各個層進行內連。絕緣材料140可通過例如旋轉(spinning)、化學氣相沉積(CVD)及等離子體增強型化學氣相沉積(plasma enhanced CVD,PECVD)等所屬領域中已知的任何適合的方法以例如以下材料形成:磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、SiOxCy、旋塗玻璃(Spin-On-Glass)、旋塗聚合物(Spin-On-Polymer)、矽碳材料、其化合物、其複合物、其組合等。
在一些實施例中,經加工晶圓110可為邏輯晶圓、記憶體晶圓、感測器晶圓、模擬晶圓等。可使用互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)製程、微機電系統(micro-electro-mechanical system,MEMS)製程、納米機電系統(nano-electro-mechanical system,NEMS)製程、類似製程或其組合來形成經加工晶圓110。如以下所更詳細闡述,將對經加工晶圓114進行堆疊及單一化以形成堆疊晶粒結構。
進一步參照圖1,在經加工晶圓110上形成接觸接墊 125。接觸接墊125實體地耦合到且電耦合到經加工晶圓110中的電路系統(例如,通孔120(主動通孔或虛擬通孔)或內連線130)。可最終將接觸接墊125耦合到如以下所更詳細闡述的外部電路系統。接觸接墊125可包含例如銅、鎢、鋁、銀、金、類似物或其組合等導電材料,且可通過電化學鍍覆製程(electro-chemical plating process)、無電鍍覆製程(electroless plating process)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、類似製程或其組合來形成。在一些實施例中,接觸接墊125還可包括薄的晶種層(圖中未示出),其中將接觸接墊125的導電材料沉積在所述薄的晶種層之上。晶種層可包含銅、鈦、鎳、金、錳、類似物或其組合,且可通過ALD、PVD、濺鍍(sputtering)、類似製程或其組合來形成。
如圖1中所說明,將接觸接墊125的導電材料(例如,鋁)沉積在經加工晶圓110之上,並將所述導電材料圖案化以形成接觸接墊125。可使用微影技術(photolithography technique)將接觸接墊125圖案化。一般來說,微影技術涉及沉積光阻材料,隨後對所述光阻材料進行照射(曝光)並進行顯影以移除所述光阻材料的一部分。其餘光阻材料保護下方的材料(例如,接觸接墊125的導電材料)免受後續加工步驟(例如,蝕刻)影響。可對接觸接墊125的導電材料應用適合的蝕刻製程(例如反應性離子蝕刻(reactive ion etch,RIE)或其他乾蝕刻(dry etch)、等向性濕蝕刻(isotropic wet etch)或非等向性濕蝕刻(anisotropic wet etch)、或任何其他適合的蝕刻或圖案化製程)來移除所述導電材料的暴露部分並形成接觸接墊125。舉例來說,可使用80%的磷酸、5%的硝酸、5%的醋酸及10%的去離子(de-ionized,DI)水的混合物蝕刻導電材料(例如,鋁)的暴露部分。
在其他實施例中,可使用替代性方法形成接觸接墊125。舉例來說,可將光阻材料沉積在經加工晶圓110之上,且隨後對所述光阻材料進行照射(曝光)並進行顯影以移除所述光阻材料的一部分從而形成開口。接著使用導電材料填充光阻材料中的開口以形成接觸接墊125。隨後,移除光阻材料,且可以局部地或完全地環繞接觸接墊125的垂直延伸部的方式來可選地添加如以上參照內連線130所述的絕緣材料140。作為另外一種選擇,接觸接墊125可留在經加工晶圓110的表面上且在經加工晶圓110的所述表面上方延伸。
在一些實施例中,可將經加工晶圓110的頂表面圖案化以使接觸接墊125的頂部與絕緣材料40的頂表面(例如,如上所述的內連線130的頂部層)在製程變動(process variation)內實質上共面。在一些實施例中,由於形成接觸接墊125,因此接觸接墊125可在周圍絕緣材料140上方延伸。在一些實施例中,在平面化之後通過使用適合的蝕刻技術進行回蝕以使周圍絕緣材料140凹陷,接觸接墊125可在周圍絕緣材料140上方延伸。
在一些實施例中,可在經加工晶圓110的頂表面處暴露出通孔120中的一者或多者而不添加接觸接墊(例如,接觸接墊 125)。舉例來說,可使用例如化學機械研磨(chemical mechanical polish,CMP)或蝕刻等平面化技術來暴露出通孔120。在平面化之後或與平面化相結合地通過使用適合的蝕刻技術回蝕絕緣材料140以使周圍絕緣材料140凹陷,暴露通孔120的頂部可在周圍絕緣材料140上方延伸。
參照圖2,可將經加工晶圓110翻轉並貼合到載體205。總體來說,在後續加工步驟期間,載體205為各種特徵(例如,經加工晶圓110)提供臨時機械及結構支撐。通過此種方式,裝置晶粒的損壞得到減少或防止。載體205可包括例如玻璃、陶瓷、塊狀矽(bulk silicon)等。在實施例中,使用釋放層210將經加工晶圓110貼合到載體205。在一些實施例中,載體205可實質上不含有任何主動元件及/或功能性電路系統。在一些實施例中,載體205可包括塊狀矽,且可通過介電釋放層210將經加工晶圓110貼合到載體205。在一些實施例中,載體205可包括支撐膠帶(support tape)。
釋放層210可為任何晶粒貼合膜(die attach film)或任何適合的黏合劑、環氧樹脂(epoxy)、紫外光(ultraviolet,UV)膠(其會在暴露至紫外光輻射時失去其黏合性質)等。可使用沉積製程、旋轉塗布(spin coating)、印刷製程、疊層製程(lamination process)等在載體205的表面之上或在經加工晶圓110的表面之上形成釋放層210。釋放層210的與絕緣材料140相對的表面可為實質上平的(例如,被平面化的)以提供適合於黏合載體205的 表面。在一些實施例中,釋放層210可具有多層結構。在其他實施例中,釋放層210可為熱型(thermal type),其中在將釋放層210暴露至適合的熱源時所述釋放層的黏合強度會實質上降低。
在一些實施例中,將經加工晶圓110貼合到載體205會使用熔融結合製程,在所述熔融結合製程中,直接將經加工晶圓110的絕緣層(例如,絕緣材料140或隨後沉積的介電層)結合到介電釋放層210以形成絕緣體至絕緣體結合部(insulator-to-insulator bond)。以下針對圖17結合混合結合來論述關於熔融結合的進一步細節。
在一些實施例中,例如通過鋸切、鐳射燒蝕(laser ablation)等將經加工晶圓110單一化成各別的積體電路封裝101a及101b。隨後,可從積體電路封裝101a及101b中的每一者移除載體205。移除載體205可包括對釋放層210施加紫外光輻射、機械研磨製程(mechanical grinding process)、回蝕製程、加熱製程、其組合等。在一些實施例中,可對所得積體電路封裝101a及101b進行測試以識別已知良好晶粒(known good die,KGD)從而進行進一步加工。在一些實施例中,可在將載體205單一化之前或在移除載體205之前對已知良好晶粒進行測試。可將所得積體電路封裝101a及101b稱作封裝、晶粒或晶片。
參照圖3,在積體電路封裝被留下來作為經加工晶圓110的實施例中,薄化經加工晶圓110以形成經加工晶圓111。可通過CMP製程、蝕刻或其他適合的製程進行薄化。薄化會暴露出通孔 120且還會使厚度減小從而提供更好的散熱且佔用更小的空間。在薄化之後,經加工晶圓111可為約10微米(μm)到50μm厚,例如為約20μm厚。
參照圖4,將晶粒212結合到經加工晶圓111。在一些實施例中,使用例如取放設備(pick and place apparatus)將晶粒212貼合到經加工晶圓111。在其他實施例中,可手動地或使用任何其他適合的方法將晶粒212貼合到經加工晶圓111。
晶粒212可為與經加工晶圓111中的裝置相同類型的裝置或可為不同類型的裝置。舉例來說,如果製作記憶體立方體(memory cube),則晶粒212可與存在於經加工晶圓111中的裝置相同。具體來說,晶粒212可對應于例如積體電路封裝101a或101b。也就是說,如上所述,將與經加工晶圓110相一致的另一經加工晶圓單一化會得到晶粒212。在一些實施例中,晶粒212為已知良好晶粒。
在一些實施例中,將晶粒212的接觸接墊125混合結合到經加工晶圓111的通孔120。混合結合具有無需在所述兩個經結合連接件之間使用焊料材料的好處。混合結合會在兩個裝置之間形成結合介面,此包括對第一裝置中的金屬特徵與第二裝置中的金屬特徵進行直接金屬至金屬結合(direct metal-to-metal bonding)以及對所述第一裝置中的絕緣材料與所述第二裝置中的絕緣材料進行熔融結合(或介電質至介電質結合(dielectric-to-dielectric bonding))。可使用任何適合的混合結合製程;然而,以下針對圖 17及圖18來詳細闡述混合結合製程。
在一些實施例中,在進行混合結合之前,可在經加工晶圓111的通孔120之上形成接觸接墊(圖中未示出)。以上針對圖1闡述了形成接觸接墊的製程且不再對其予以贅述。
在一些實施例中,將晶粒212混合結合到經加工晶圓111。在混合結合中,將晶粒212的接觸接墊125直接結合到經加工晶圓111的通孔120。此外,將晶粒212的絕緣材料140與經加工晶圓111的基底115(參見圖1)熔融結合在一起。因此,無需在晶粒212與經加工晶圓111之間使用底部填充膠。以下將針對圖17及圖18來更詳細地闡述所述混合結合製程。
在其他實施例中,可通過以下方式將晶粒212結合到通孔120:在經加工晶圓111的通孔120之上形成共晶型連接件或接觸接墊,在對準對應的觸點之後使晶粒212與經加工晶圓111合攏,以及對共晶材料進行回焊以形成實體耦合及電耦合。作為另外一種選擇,可在晶粒212上或在晶粒212與經加工晶圓111二者上形成共晶型連接件。在此種實施例中,可在晶粒212與經加工晶圓111之間使用底部填充膠材料,或者作為另外一種選擇,例如以下所述的間隙填充材料(gap-fill material)可提供底部填充能力。
參照圖5,在工件之上形成間隙填充材料216以實質上填充晶粒212之間的空間。在一些實施例中,間隙填充材料216可在晶粒212之上延伸。在一些實施例中,間隙填充材料216可僅 局部地填充所述空間。在一些實施例中,間隙填充材料216可包括例如環氧樹脂、樹脂、可模塑聚合物、聚醯亞胺等封裝膠體。可在封裝膠體實質上為液體時塗覆所述封裝膠體,且接著可通過化學反應(例如在環氧樹脂或樹脂的情形中)將所述封裝膠體固化。在其他實施例中,封裝膠體可為作為凝膠或可鍛固體(malleable solid)而塗覆的紫外光(UV)固化聚合物或熱固化聚合物。在一些實施例中,間隙填充材料216可包括使用任何適合的製程而沉積的非聚合物,如二氧化矽、氮化矽、或類似物(例如,另一氧化物或氮化物)。舉例來說,可通過CVD、PECVD或ALD沉積製程、可流動化學氣相沉積(flowable CVD,FCVD)、或旋塗玻璃製程形成間隙填充材料。
參照圖6,可薄化間隙填充材料216及晶粒212以形成間隙填充材料217及薄化晶粒213。可通過CMP製程、研磨、蝕刻或其他適合的製程進行薄化。薄化會暴露出晶粒213中的通孔120且還會使晶粒213的厚度減小從而提供更好的散熱且佔用更小的空間。在薄化之後,晶粒213可為約10μm至50μm厚,例如為約20μm厚。在一些實施例中,間隙填充材料217的頂表面與晶粒213的頂表面在製程變動內實質上共面。層221包括經組合的間隙填充材料217與晶粒213。
參照圖7,在一些實施例中,將第二晶粒222結合到晶粒213。在一些實施例中,晶粒222可為與晶粒212相同類型的裝置或晶片。在一些實施例中,晶粒222可為不同類型的裝置或晶片。 可以與將晶粒212結合到經加工晶圓111相同的方式(例如以上針對圖4所述)將晶粒222結合到213,且此處不再對其予以贅述。具體來說,可通過晶粒222的接觸接墊125與通孔120或晶粒213的接觸接墊的直接結合及晶粒222的絕緣材料140與晶粒213的基底115(參見圖1)的熔融結合而將晶粒222混合結合到晶粒213。
參照圖8,在工件之上形成間隙填充材料226以實質上填充晶粒222之間的空間。所述製程及材料與以上關於圖5所論述的用於形成間隙填充材料216的製程及材料相同,且不再對其予以贅述。
參照圖9,間隙填充材料226及晶粒222已被薄化從而形成間隙填充材料227及薄化晶粒223。可以與如以上針對圖6所述的方式相似的方式進行薄化,從而得到包括間隙填充材料227及薄化晶粒223的層231,層231可為約10μm至50μm厚,例如為約20μm厚。
仍然參照圖9,可重複進行圖7至圖9所示製程以將其他晶粒結合到晶粒堆疊。舉例來說,圖9說明包括薄化晶粒233及間隙填充材料237的第四層241。所屬領域中的技術人員應理解,可包括比所說明層數少的層或額外的層。儘管在不同的層中示出,然而在一些實施例中,組合間隙填充材料257可作為單一材料層而出現。在其他實施例中,組合間隙填充材料257將維持為各別的層。薄化晶粒堆疊253包括薄化晶粒213、223及233的組合。
參照圖10,可從工件將構成晶粒堆疊的積體電路晶粒單一化,從而得到積體電路封裝102a及102b(圖11所示)。可通過任何可接受製程(包括等離子體切割、鐳射切割、機械鋸切或其組合)進行單一化270。在工件的切割道或切割路上穿過非封裝區160進行單一化。單一化會切穿經加工晶圓111並向下到達釋放層210。在一些實施例中,單一化可繼續穿過釋放層210且可繼續到達載體205中或穿過載體205。
等離子體切割封裝102a及102b的優點在於:使用間隙填充材料257使得可通過等離子體切割來實現平滑側壁輪廓。
可通過執行對間隙填充材料層的蝕刻來實現等離子體切割。可將罩幕275沉積在晶粒堆疊之上並將罩幕275圖案化以暴露出間隙填充材料257從而進行單一化。當間隙填充材料257為多晶矽時,在溫度小於200℃(例如,小於100℃)、射頻功率(RF power)小於3千瓦(kW)(例如,小於600瓦(W))、且壓力小於10托(torr)(例如,小於3托)的條件下,用於等離子體切割的製程氣體可包括Cl2/NF3/He或SF6或NF3或CF4或者其他適合的鹵素系蝕刻氣體。當間隙填充材料257為氧化矽時,在溫度小於200℃(例如,小於150℃)、射頻功率大於50W(例如,大於100W)、且壓力小於3托(例如,小於200毫托(mtorr))的條件下,用於等離子體切割的製程氣體可包括C4F6或氟系氣體。當間隙填充材料257為SiOC時,在溫度小於200℃(例如,20℃至100℃)、射頻功率大於100W(例如,大於300W)、且壓力小於 3托(例如,小於200毫托)的條件下,用於等離子體切割的製程氣體可包括N2及H2或SO2及O2
可基於間隙填充材料257的材料來調整製程氣體及環境。為繼續穿過經加工晶圓111進行等離子體切割,可依據經加工晶圓111的材料將製程氣體調整成適合於蝕刻經加工晶圓111。相似地,為繼續穿過載體205進行等離子體切割,可依據載體205的材料將製程氣體調整成適合於蝕刻載體205。
參照圖11,在進行切割之後,從封裝102a及102b移除載體205。移除載體205可包括對釋放層210施加紫外光輻射、機械研磨製程、回蝕製程、加熱製程、其組合等。
在一些實施例中,封裝102a及102b為記憶體立方體280,記憶體立方體280包括晶圓層111’及堆疊晶片261’。在進行單一化之後,可對封裝102a及102b應用另一加工或封裝過程,例如在其上形成觸點、向其安裝另一封裝、或將其安裝到另一裝置或結構中。
圖12至圖16說明根據一些實施例的形成晶粒堆疊的製程中的各中間步驟。除在圖12至圖16中所說明的實施例中將各別封裝(例如,積體電路封裝101a及101b)貼合到載體205而非在載體205上設置經加工晶圓以外,與根據圖12至圖16中所說明的實施例相一致的實施例相似於圖1至圖11中所說明的實施例。將不再對與用於形成前述實施例的製程及材料相似的用於形成例如圖12至圖16中所說明的實施例的製程及材料予以贅述。
參照圖12,使用例如取放設備將晶粒212貼合到載體205。在其他實施例中,可手動地或使用任何其他適合的方法將晶粒212貼合到載體205。可通過釋放層210將晶粒212貼合到載體205,或者在製程中使用例如以上針對圖2所示將經加工晶圓110貼合到載體205的貼合過程而闡述的材料將晶粒212熔融結合到載體205。
參照圖13,在晶粒212之上形成間隙填充材料216。所述製程及材料可與以上針對圖5所述的製程及材料相同,且不再對其予以贅述。
參照圖14,在一些實施例中,可使用例如以上針對圖6所述的製程及材料薄化圖13所示間隙填充材料216及晶粒212,且不再對其予以贅述。包括薄化間隙填充材料217及薄化晶粒213的所得層221可介於約10μm厚與約50μm厚之間,例如為約20μm。
參照圖15,可重複進行圖12至圖14所示製程以貼合其他晶粒。可通過使用與以上針對圖4所述的製程及材料相似的製程及材料而進行的結合來貼合其他晶粒,不再對此予以贅述。總共四個層261包括各別的層221、231、241及251。技術人員應理解,可包括額外的層或可包括更少的層。可提供少至兩個層。儘管在不同的間隙填充材料層217、227、237及247中示出,然而在一些實施例中,組合間隙填充材料257可作為單一材料層而出現。在其他實施例中,組合間隙填充材料257將維持為各別的層。 薄化晶粒堆疊253包括薄化晶粒213、223、233及243的組合。
參照圖16,可從工件將構成晶粒堆疊的積體電路晶粒單一化,從而得到積體電路封裝102a及102b。可使用例如以上針對圖10所述的製程及材料(例如,等離子體切割、鐳射切割、機械鋸切或其組合)進行單一化,且不再對其予以贅述。在進行切割之後,從封裝102a及102b移除載體205。移除載體205可包括例如以上針對圖11所述的製程及材料,且不再對其予以贅述。
在一些實施例中,圖16所示封裝102a及102b為記憶體立方體280,記憶體立方體280包括堆疊晶片層221’、231’、241’及251’。在進行單一化之後,可對封裝102a及102b應用另一加工或封裝過程,例如在其上形成觸點、向其安裝另一封裝、或將其安裝到另一裝置或結構中。
參照圖17,說明用於混合結合製程的結構。結構310及330為不同晶片、晶圓、晶粒、積體電路裝置、封裝等的將進行混合結合的部分。圖17說明三種不同類型的混合結合結構。結構310具有通孔311、帶有接觸接墊的通孔312、及帶有接觸接墊的通孔313。結構330具有通孔331、帶有接觸接墊的通孔332、及通孔333。混合結合包括對結構310中的金屬特徵與結構330中的金屬特徵進行直接金屬-金屬結合,以及將結構310中的絕緣材料321與結構330中的絕緣材料341熔融結合。
可在帶有接觸接墊的通孔313與通孔333之間、在帶有接觸接墊的通孔312與帶有接觸接墊的通孔332之間、及在通孔 311與通孔331之間進行直接結合。在一些實施例中,可例如從重佈線層將接觸接墊(例如,312、313及332)結合到凸塊下金屬(underbump metallization)或結合到金屬線。通孔-通孔混合結合的代表性方案為將通孔311結合到通孔331。接墊-接墊混合結合的代表性方案為將312的接觸接墊結合到332的接觸接墊。通孔-接墊混合結合或接墊-通孔混合結合的代表性方案為將313的接觸接墊結合到通孔333。結構310及330的通孔及接觸接墊是由例如銅、金、錫等或其合金等導電材料製成。結構310中的通孔或接觸接墊中的每一者的導電材料可與結構330中的導電材料相同或不同。
結構310及330還分別包含絕緣材料321及341。絕緣材料可為氧化物、氮氧化物、介電質、聚合物等。在一些實施例中,絕緣材料321可為與絕緣材料341相同的材料,而在其他實施例中,絕緣材料321可不同於絕緣材料341。
在混合結合製程中,使結構310的通孔及接墊對準並接觸結構330的通孔及接墊。還使結構310的絕緣材料321接觸結構330的絕緣材料341。隨後,可執行退火(anneal)以將導電材料直接結合在一起且將絕緣材料熔融結合在一起。退火會使310的接墊/接墊/通孔中的金屬與330的通孔/接墊/通孔中的金屬發生金屬間擴散,從而引起直接金屬至金屬結合。在一些實施例中,當絕緣材料321或341包含聚合物時,退火溫度低於約250℃以避免損壞所述聚合物。舉例來說,退火溫度(在存在聚合物的情況 下)可處於介於約150℃與約250℃之間的範圍內,例如為約200℃。退火時間可介於約1小時與3小時之間,例如為約1.5小時。在絕緣材料321或341二者均由例如氧化物或氮氧化物等無機介電材料形成的實施例中,退火溫度可更高(其低於約400℃)。舉例來說,退火溫度(在不存在聚合物的情況下)可處於介於約250℃與約400℃之間的範圍內,例如為約325℃,且退火時間可介於約1小時與約3小時之間,例如為約1.5小時。
結構310及330的經結合導電材料可具有可辨別的介面。還可將絕緣材料321熔融結合到絕緣材料341,在絕緣材料321與絕緣材料341之間形成有結合部。舉例來說,絕緣材料321及341中的一者中的原子(例如,氧原子)可與絕緣材料321及341中的另一者中的原子(例如,氫原子)形成化學鍵或共價鍵(例如,O-H鍵)。絕緣材料321與341之間的所得結合部為絕緣體-絕緣體結合部,根據各種實施例所述絕緣體-絕緣體結合部可為無機-聚合物結合部、聚合物-聚合物結合部、或無機-無機結合部。在壓力使各結構保持在一起的同時可通過退火製程來克服結合結構的表面中的微小變動。在一些實施例中,可施以約1牛頓至10牛頓的按壓力(例如約6牛頓)以將結構310與330按壓在一起。混合結合可在約1標準大氣壓(atm)至約100atm(例如,約5atm)的環境中進行。材料在退火溫度下的膨脹可完成所述結合且實質上消除空隙(void)。
在進行結合之前,可例如通過CMP或研磨製程來製備結 構310及330以暴露出觸點或薄化所述結構。在一些實施例中,混合結合可能夠使連接件具有精細節距(例如小於約5μm)。這樣一來,混合結合可使得晶粒(例如,晶粒101a及101b)能夠包括高密度連接。此外,混合結合製程使得所述兩個結構之間的結合部能夠不包含焊料材料,且因此可提高封裝結構的可靠性及良率。再者,由於在晶粒之間不使用連接件,因此混合結合製程會得到更薄的晶粒堆疊。
參照圖18,說明當所結合裝置中的一者或多者為已知良好晶粒(KGD)時的混合結合製程。在此種實施例中,已知良好晶粒測試可需要用於已知良好晶粒測試的鋁接墊。可將結構405結合到結構410。結構405及結構410可分別為晶粒、晶圓、封裝等的一部分。將通孔415電耦合到金屬層420。在所述金屬層上形成鋁接墊425。絕緣層445是用於已知良好晶粒的晶粒或晶圓的一部份。出於說明目的,提供用於結合具有鋁接墊的晶圓或晶粒的兩種可能選擇。在晶粒或晶圓之上形成又一絕緣層450。
在一些實施例中,形成向下到達金屬層420的開口。使用導電材料填充開口以形成通往金屬層420的通孔430。在一些實施例中,形成向下到達鋁接墊425的開口。在一些實施例中,使用導電材料填充開口以形成通孔435。可在通孔430/435之上形成接觸接墊441/440。在一些實施例中,可使用各種技術的組合,其中一些接觸接墊耦合到鋁接墊425且其他接觸接墊耦合到金屬層420。在一些實施例中,可使用所述兩種技術在接觸接墊441與接 觸接墊440之間實現在電學上相同的信號。在一些實施例中,所述結構可逐個連接件(connector-by-connector)地混合使用通孔430及435。
隨後,可使用本文中所述結合技術中的任一種(包括如以上針對圖17所論述的混合結合)將結構405結合到結構410。
圖19說明圖15的放大部分,所述放大部分示出來自晶粒堆疊中的一者(例如,102a)的層221。在一些實施例中,層221可為經加工晶圓111的一部分。通孔120/415被說明為耦合到金屬化層130/420。在一些實施例中,通孔120/415可與金屬化層130/420電隔離。金屬化層130/420被說明為內連線137的一部份且是由交替的導電材料的層與絕緣材料(例如,絕緣材料140/445)的層形成。通孔430可連接到內連線137或另一金屬特徵,所述另一金屬特徵的一端電耦合到鋁接墊425且另一端耦合到接觸接墊441。在一些實施例中,通孔435的一端可直接連接到鋁接墊425且另一端直接連接到接觸接墊440。
鋁接墊425可耦合到內連線137。內連線137之上可形成有保護層490。保護層490中可形成有開口以暴露出形成在保護層490中的與內連線137及鋁接墊425耦合的金屬觸點。鋁接墊425可由圖案化金屬層(圖中未示出)形成。鋁接墊425之上可形成有另一保護層492且鋁接墊425經由形成在保護層492中的開口而顯露出。舉例來說,為了進行已知良好晶粒(KGD)測試,可進行在連接件層495中形成鋁接墊425的製程。在進行已知良好 晶粒測試之後,可在鋁接墊425之上形成絕緣材料494。鋁接墊425及絕緣材料494可被形成為例如經加工晶圓110(例如以上針對圖1所論述)的一部份或薄化經加工晶圓111(例如以上針對圖3所論述)的一部份。
在一些實施例中,晶粒貼合膜496可包含絕緣材料,所述絕緣材料被選擇成使得可在晶粒貼合膜496與釋放層210之間形成熔融結合部。在一些實施例中,晶粒貼合膜496可包括適合的黏合劑層(例如以上針對圖2所示釋放膜210所論述)。相似地,層221之上可形成有絕緣層498,且絕緣層498可被選擇成使得可在層221的絕緣層498與層231的晶粒貼合膜496之間形成熔融結合部。
圖20至圖24說明根據在晶粒堆疊的前側上形成連接件的一些實施例的晶粒堆疊。圖20說明在進行圖9中所說明步驟之後的晶粒堆疊。可通過釋放層211將載體206貼合到晶粒堆疊100的背面。載體206可包含與載體205相似的材料,不再對所述材料予以贅述。釋放層211可包含與釋放層210相似的材料。舉例來說,釋放層211可為被提供用於將載體206熔融結合到晶粒堆疊100的介電層或絕緣層。
參照圖21,在貼合載體206之後,可使用適合的製程移除載體205。在一些實施例中,可將釋放層210暴露至紫外光,從而使黏合劑劣化並使得載體205能夠脫開。在一些實施例中,可通過研磨或蝕刻等移除載體205。在移除載體205之後,可暴露出 接觸接墊425(或圖1所示125)。在一些實施例中,可通過例如CMP或研磨等機械製程或通過蝕刻或者通過機械製程與蝕刻的組合來使絕緣材料450(或圖19所示494)凹陷以暴露出接觸接墊425/125。
參照圖22,在晶粒堆疊的前側上形成連接件985。在一些實施例中,在接觸接墊425/125上形成連接件985。在一些實施例中,在經加工晶圓511的前側上形成連接件985。可使用任何適合的製程形成連接件985且連接件985包括各種構造。在一些實施例中,連接件985可為受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、焊料球等。在一些實施例中,可將連接件985耦合到暴露接觸接墊425。在其他實施例中,可在經加工晶圓111的前側中製作開口(圖中未示出),所述開口暴露出經加工晶圓111的金屬特徵。在接觸接墊上或在開口中形成連接件985。在一些實施例中,在形成連接件985之前,可在接觸接墊上或在開口中形成凸塊下金屬(under bump metallurgy,UBM)層。在所說明實施例中,連接件985具有包含導電材料的下部部分985L及包含焊料材料的上部部分985U。還可將下部部分985L及上部部分985U分別稱作導電柱985L及焊料頂蓋985U。
可將連接件985耦合到經加工晶圓111的導電特徵。此種導電特徵可包括例如內連線137(參見圖19)、通孔(例如,通孔120、130、415、420、430或435(參見圖19))或其他金屬跡線或金屬線。
參照圖23,可使用例如以上參照圖10所論述的製程及材料等製程及材料將堆疊晶片單一化,且不再對其予以贅述。參照圖24,根據一些實施例說明經單一化的晶粒堆疊102a及102b。可選地,可使用適合的技術移除載體206a及206b。
圖25至圖27說明根據在晶粒堆疊的前側上形成連接件的一些實施例的晶粒堆疊。圖25說明在進行圖15中所說明步驟之後的晶粒堆疊。可通過釋放層211將載體206貼合到晶粒堆疊100的背面。載體206可包含與載體205相似的材料,不再對所述材料予以贅述。釋放層211可包含與釋放層210相似的材料。舉例來說,釋放層211可為被提供用於將載體206熔融結合到晶粒堆疊100的介電層或絕緣層。
參照圖26,在貼合載體206之後,可使用適合的製程移除載體205。在一些實施例中,可將釋放層210暴露至紫外光,從而使黏合劑劣化且使得載體205能夠脫開。在一些實施例中,可通過研磨或蝕刻等移除載體205。例如以上針對圖21所論述,在移除載體205之後,可暴露出接觸接墊425(或圖1所示125)。
使用例如以上針對圖22所論述的製程及材料等製程及材料在晶粒堆疊的前側上形成連接件985,且不再對其予以贅述。可將連接件985耦合到晶片層221的導電特徵。此種導電特徵可包括例如內連線137(參見圖19)、通孔(例如,通孔120、130、415、420、430或435(參見圖19))或其他金屬跡線或金屬線。
參照圖27,可使用例如以上參照圖10所論述的製程及材 料等製程及材料將堆疊晶片單一化,且不再對其予以贅述。根據一些實施例說明經單一化的晶粒堆疊102a及102b。可選地,可使用適合的技術移除載體206a及206b。
圖28至圖44說明根據一些實施例的形成應用封裝500的過程中的各種中間步驟。可將應用封裝500形成為包括以下裝置的組合:記憶體晶粒堆疊、邏輯晶粒堆疊、熱晶片堆疊、及其他裝置(例如,電源控制器、無線電裝置、其他記憶體、其他邏輯感測器等)。
參照圖28,應用封裝500包括經加工晶圓510,經加工晶圓510包括其中形成有裝置的基底515。儘管基底515還可由其他III族元素、IV族元素及/或V族元素(例如,矽、鍺、鎵、砷及其組合)形成,然而基底515可由矽形成。基底還可呈絕緣體上矽(SOI)形式。絕緣體上矽基底可包括形成在絕緣體層(例如,隱埋氧化物及/或類似物)之上的半導體材料(例如,矽、鍺及/或類似物)的層,所述絕緣體層形成在矽基底上。另外,可使用的其他基底包括多層式基底,梯度基底、混合取向基底、其任意組合及/或類似物。
經加工晶圓510可包括若干封裝,所述若干封裝包括根據以下說明而形成的其他應用封裝500。所述多個應用封裝500可全部同時形成。在一些實施例中,經加工晶圓510包括與所說明應用封裝500不同類型或設計的封裝。在一些實施例中,經加工晶圓510包括封裝,所述封裝包括以下所論述應用封裝500的 實施例的不同變型。
應用封裝500可包括系統晶片,所述系統晶片包括邏輯區域550、記憶體區域560及散熱區域570。在一些實施例中,省略散熱區域570。在此種實施例中,可理解,與散熱區域570相關的以下任何說明並不適用。
仍然參照圖28,處理單元520可包括邏輯裝置,例如形成在基底515中的中央處理器(central processing unit)或圖形處理單元或者其他適合的處理器。可使用任何適合的技術形成處理單元520。對於使用及理解本申請來說,形成處理單元520的具體策略並不重要。在一些實施例中,處理單元520可為嵌置在經加工晶圓510中的單獨的晶粒。處理單元520可包括電晶體(例如,NMOS電晶體、PMOS電晶體、及CMOS電晶體)、以及其他裝置及內連。
可相鄰於處理單元520在基底515中形成通孔525。在一些實施例中,可將通孔525形成為在晶圓510中形成的記憶體晶粒的一部份。在一些實施例中,可將通孔525形成為用於接納記憶體晶粒的記憶體晶粒區的一部份。通孔525可為與內連線530電耦合的導電通孔。在一些實施例中,通孔525中的一者或多者可為虛擬通孔(即,為浮動的或不與基底515中的任何裝置或金屬特徵電耦合)。可使用與以上針對圖1所示通孔120所述的製程及材料相似的製程及材料形成通孔525。
可將內連線530耦合到處理單元520、通孔525及通孔 535。內連線530可在通孔525與處理單元520之間、處理單元520與連接件或連接件接墊之間、處理單元520與形成在基底515中的其他裝置之間、通孔525與連接件或連接件接墊之間、通孔525與形成在基底515中的其他裝置等之間提供連接。
可使用例如以上針對圖1所示內連線130所述的製程及材料等製程及材料形成內連線530。可在內連線530內及在內連線530之上形成絕緣材料540以使內連線530的各導電元件電性隔開。可通過例如以上針對圖1所示絕緣材料140所述的製程及材料等製程及材料形成絕緣材料540。
仍然參照圖28,在一些實施例中,散熱區域570中的通孔535可為導電通孔(即,耦合到內連線530的通孔)或另一導電特徵。在一些實施例中,與上述虛擬通孔525相似,通孔535為虛擬通孔。在一些實施例中,通孔535可包括虛擬通孔與導電通孔的組合。可使用與以上針對圖1所示通孔120所述的製程及材料相似的製程及材料形成通孔535。
通孔525/535/546是導熱的且可被形成為主要為了從基底515中的產熱裝置傳導出熱量。導熱通孔525/535/546可穿越基底515的實質部分,所述實質部分例如為所述基底的整個深度或基底515的其中形成有主動元件及被動元件的一部分的整個深度。各實施例還可在基底515中含有用於其他用途的其他通孔(圖中未示出)。
參照圖29,可將工件/經加工晶圓510翻轉並貼合到載體 605。總體來說,在後續加工步驟期間,載體605為各種特徵(例如,經加工晶圓510)提供臨時機械及結構支撐。通過此種方式,裝置晶粒的損壞得到減輕或防止。載體605可包括例如玻璃、陶瓷、塊狀矽等。在實施例中,使用釋放層610將經加工晶圓510貼合到載體605。在一些實施例中,載體605可實質上不含有任何主動元件及/或功能性電路系統。在一些實施例中,載體605可包括塊狀矽,且可通過介電釋放層610將經加工晶圓510貼合到載體605。在一些實施例中,載體605可包括支撐膠帶。
釋放層610可包括與以上針對釋放層210所論述的材料及製程一致的材料及製程。
在一些實施例中,將經加工晶圓510貼合到載體605會使用熔融結合製程,在所述熔融結合製程中,直接將經加工晶圓510的絕緣層(例如,絕緣層540或隨後沉積的介電層)結合到介電結合層610或結合到載體605的介電表面以形成絕緣體-絕緣體結合部。
參照圖30,在一些實施例中,薄化經加工晶圓510以形成經加工晶圓511。可通過CMP製程、蝕刻或其他適合的製程進行薄化。在進行薄化之後,經加工晶圓111可為約10μm至50μm厚,例如為約20μm厚。薄化會暴露出通孔525及通孔535且還會使厚度減小從而提供更好的散熱且佔用更少的空間。在一些實施例中,薄化還可暴露出處理單元520上的金屬特徵(圖中未示出)。這些金屬特徵可電連接到或可不電連接到處理單元520內的 裝置。在一些實施例中,可在處理單元520上形成觸點或接觸接墊545。在一些實施例中,將接觸接墊545耦合到暴露金屬特徵。在一些實施例中,使用與以上在圖1中的接觸接墊125的形成過程中所述的製程及材料相似的製程及材料形成接觸接墊545。可將接觸接墊545耦合到嵌置在處理單元520內的導電特徵(例如,通孔546、跡線及金屬線(例如,530))。
參照圖31,將晶粒612、614及618結合到經加工晶圓511。在一些實施例中,使用例如取放設備將晶粒612、614及618貼合到經加工晶圓511。在其他實施例中,可手動地或使用任何其他適合的方法將晶粒612、614及618貼合到經加工晶圓511。
晶粒612可為記憶體晶粒或其他類型的晶粒。舉例來說,晶粒612可為與以上關於圖2所論述的積體電路封裝101a或101b相似的記憶體晶粒。晶粒614可為包括導熱通孔542及周圍材料552的熱晶片。在一些實施例中,晶粒618為與包括導熱通孔541及周圍材料551的晶粒614相似的熱晶片。在其他實施例中,晶粒618為另一類型的裝置晶粒,例如感測器、電源轉換器、無線電設備等。使用晶粒612、614及618僅是用於說明而不是旨在進行限制。應理解,可在經加工晶圓511的其他區域中貼合其他晶粒。可將晶粒612及614稱作散熱結構。
在一些實施例中,在單獨的製程中,可分別通過在包含周圍材料551或552的晶圓中形成通孔(例如,通孔542或541)來製造熱晶片614及618。可將晶圓單一化成熱晶片,例如熱晶片 614及618。熱晶片的晶圓可包含半導體材料或絕緣材料。在一些實施例中,熱晶片614及618的晶圓可包含半導體材料(即,以上針對基底材料115所述的材料中的一者)。在一些實施例中,熱晶片614及618的晶圓可包含絕緣材料(即,以上針對圖2所示載體205所述的材料中的一者)。
可通過以下方式在周圍材料551/552中形成通孔542/541:將罩幕沉積在所述周圍材料之上,將所述罩幕圖案化,在周圍材料551/552中蝕刻凹陷部,沉積晶種層,對所述晶種層進行電鍍以填充所述凹陷部並形成所述通孔,以及移除所述罩幕。在一些實施例中,可在晶種層之上使用第二罩幕以防止在除凹陷部以外的其他部位中對所述晶種層進行電鍍。在一些實施例中,可使用其他適合的製程及材料形成通孔542/541。在一些實施例中,可在熱晶片614及618的通孔之上形成接觸接墊(圖中未示出)。可例如使用例如以上針對圖1及圖18所述的製程及材料形成接觸接墊,且不再對其予以贅述。
仍然參照圖31,在一些實施例中,可通過使晶粒612的觸點對準且直接結合到通孔525、使通孔542對準且直接結合到接觸接墊545或通孔546、及使通孔541對準且直接結合到通孔535而將晶粒612、614及618混合結合到經加工晶圓511。應理解,圖31中所說明的通孔與觸點的結合僅為實例,且預期經混合結合元件存在其他構造。可使用如以上針對圖17所述的製程及材料施行混合結合(包括通孔與觸點的直接結合的任意組合),不再對所 述製程及材料予以贅述。此外,如以上所論述,作為混合結合的結果,還可在晶粒612、614及618上實現絕緣材料的熔融結合。因此,在晶粒612、614及618與經加工晶圓511之間不需要底部填充膠。
在一些實施例中,通過以下方式將晶粒612結合到通孔525、將晶粒614結合到接觸接墊545或通孔546、且將晶粒618結合到通孔535:在經加工晶圓511的通孔525/535之上形成共晶型連接件或導電柱,在對準對應的觸點之後使晶粒612、614及618與經加工晶圓522合攏,以及對共晶材料進行回焊以形成實體耦合及電耦合。作為另外一種選擇,可在晶粒612/614/618上或在晶粒612/614/618與經加工晶圓511二者上形成共晶型連接件或導電柱。在此種實施例中,可在晶粒612/614/618與經加工晶圓511之間使用底部填充膠材料,或者作為另外一種選擇,例如以下所述的間隙填充材料可提供底部填充能力。
參照圖32,在工件之上形成間隙填充材料616以實質上填充晶粒612、614及618之間的空間。各製程及材料可與以上針對圖5所示間隙填充材料所述的製程及材料相同,且不再對其予以贅述。
參照圖33,可薄化間隙填充材料616以及晶粒612、614及618以形成間隙填充材料617及薄化晶粒613、615及619。可通過CMP製程、研磨、蝕刻或其他適合的製程進行薄化。薄化會暴露出晶粒613中的通孔120、晶粒615中的通孔542、及晶粒619 中的通孔541,且還會使晶粒613/615/619的厚度減小從而提供更好的散熱且佔用更少的空間。在進行薄化之後,晶粒613/615/619可為約10μm至50μm厚,例如為約20μm厚。在一些實施例中,間隙填充材料617的頂表面與晶粒613/615/619的頂表面在製程變動內實質上共面。層621包含組合間隙填充材料617及薄化晶粒613/615/619。
參照圖34,在一些實施例中,將晶粒622、624及628分別結合到晶粒613、615及619以形成晶粒堆疊。在一些實施例中,晶粒622、624及628可為與其相應對等晶粒613、615及619相同類型的裝置、晶片或晶粒。在一些實施例中,晶粒622、624及628中的一者或多者可為與其相應對等晶粒613、615及619不同類型的裝置、晶片或晶粒。可以與將晶粒612、614及618結合到經加工晶圓511(如以上針對圖31所述)相同的方式將晶粒622、624及628分別結合到晶粒613、615及619,且此處不再對其予以贅述。具體來說,可通過對晶粒622的接觸接墊125與通孔120或晶粒613的接觸接墊進行直接結合而將晶粒622混合結合到晶粒613。相似地,可通過對晶粒624的通孔542與晶粒615的通孔542進行直接結合而將晶粒624結合到晶粒615。相同地,可通過對晶粒628的通孔541與晶粒619的通孔541進行直接結合而將晶粒628結合到晶粒619。在一些實施例中,還可對經結合晶粒中的每一者的絕緣材料進行熔融結合。
參照圖35,在工件之上形成間隙填充材料626以實質上 填充晶粒622/624/628之間的空間。各製程及材料與以上關於圖32所論述的用於形成間隙填充材料616的製程及材料相同,且不再對其予以贅述。
參照圖36,在一些實施例中,重複進行薄化及貼合圖33至圖35所示其他晶粒的製程,直到實現期望的晶粒堆疊構造。在一些實施例中,記憶體立方體653可由包括記憶體晶粒613、623、633及643的四層式記憶體晶粒堆疊形成。在一些實施例中,對應熱晶片堆疊655可由包括熱晶片615、625、635及645的四層式熱晶片堆疊形成。在一些實施例中,對應熱晶片堆疊659可由包括熱晶片619、629、639及649的四層式熱晶片堆疊形成。在一些實施例中,經加工間隙填充材料657可包括可辨別的層617、627、637及647。在一些實施例中,在所有晶粒層中經加工間隙填充材料657將為連續的且在剖視圖中不可辨別。可將熱晶片堆疊655及659稱作散熱結構。
在一些實施例中,晶粒堆疊655或659可包括其他類型的裝置。在一些實施例中,可以與如本文中針對堆疊653、655及659中的任一個所述的方式相同的方式包括其他層。儘管將位於經加工晶圓之上的層的數目繪示為所述四個層621、631、641及651,然而應理解,可包括更多的層或更少的層。
在一些實施例中,可通過單獨的製程形成晶粒堆疊(即,記憶體立方體653、熱晶片堆疊655及熱晶片堆疊659)並將所述晶粒堆疊貼合到經加工晶圓511。舉例來說,可使用以上針 對圖1至圖11或圖12至圖16所示封裝102a或102b所述的製程來構建記憶體立方體653。還可通過以下方式使用相似的製程形成熱晶片堆疊655及659:將上述用於形成熱晶片堆疊655及659的逐層製程及材料替換為圖1至圖11或圖12至圖16中所說明的製程。在一些實施例中,可使用具有足夠高度的單層熱晶片。
圖62A至圖62D說明單獨形成的熱晶片堆疊(例如,熱晶片堆疊655或659)的各種實施例,所述單獨形成的熱晶片堆疊被接著貼合到經加工晶圓511。由於熱晶片堆疊655及659中不形成有主動元件或被動元件,因此各別層的高度並不重要。圖62A說明根據一些實施例的單獨形成的熱晶片堆疊,所述單獨形成的熱晶片堆疊實質上相似於以上所論述的逐層形成的熱晶片堆疊655或659。圖62B說明具有比期望高度大的總高度的單獨形成的熱晶片堆疊,在進行結合之後可根據以上所述薄化製程來薄化所述單獨形成的熱晶片堆疊。圖62C說明包括具有近似相同高度的兩個層的單獨形成的熱晶片堆疊。圖62D說明包括具有變化高度的三個層的單獨形成的熱晶片堆疊。應理解,可使用任何數目的層且應理解可以任何組合形式對這些實施例加以組合。
參照圖37,在一些實施例中,可在工件之上形成金屬罩幕665。金屬罩幕665可為硬罩幕且此後可將金屬罩幕665稱作金屬硬罩幕665。可使用可選的金屬硬罩幕665來進一步傳導來自熱晶片堆疊655及659以及記憶體立方體653的熱量。可在各種層(例如,第一晶種層以及一個或多個後續材料層)中形成金屬硬 罩幕665。晶種層(圖中未示出)可由銅(Cu)、鎢(W)、金(Au)、銀(Ag)、鋁(Al)、鉛(Pb)、錫(Sn)、其合金等製成,且可使用電鍍或無電鍍覆製程、ALD、PVD、濺鍍、類似製程或其組合來形成。可使用與晶種層相似的製程及材料形成所述一個或多個後續材料層。
參照圖38,在使用金屬硬罩幕665的一些實施例中,可將金屬硬罩幕665圖案化以形成圖案化金屬硬罩幕667。可使用任何適合的技術(例如,微影技術)將金屬硬罩幕665圖案化。總體來說,微影技術涉及沉積光阻材料(圖中未示出),隨後對所述光阻材料進行照射(曝光)並進行顯影以移除所述光阻材料的一部分。其餘光阻材料可在一些實施例中用作罩幕來蝕刻暴露材料,或者在其他實施例中防止在所述暴露材料上形成其他材料。接著可移除光阻。
在一些實施例中,可通過以下方式形成金屬硬罩幕665作為圖案化金屬硬罩幕667:首先形成毯覆晶種層(blanket seed layer),在晶種層之上形成光阻材料,將所述光阻層圖案化,以及通過鍍覆(例如,電鍍或無電鍍覆)等在所述晶種層的開口中形成金屬材料。隨後,移除光阻並使用濕蝕刻或乾蝕刻剝除暴露晶種層。
在一些實施例中,例如圖38中所說明,如果使用金屬硬罩幕665,則至少將金屬硬罩幕665圖案化以形成開口667o從而暴露出間隙填充材料層657(參見圖36)。另外,可在熱晶片堆 疊655/659的頂部層645/649的周圍材料551/552之上形成開口667o。在一些實施例中,還可在基底115之上或在記憶體立方體653的頂部層643的絕緣材料140之上形成開口。
仍然參照圖38,使用切削技術670將堆疊653、655及659中的每一者之間的間隙填充材料層657向下切削到經加工晶圓511的表面以形成開口680(參見圖39)。切削技術670可包括使用適合於間隙填充材料的蝕刻劑的乾蝕刻、濕蝕刻、各向異性蝕刻、或等離子體蝕刻。切削技術670可包括鐳射製作多條通道以實現期望深度輪廓。切削技術670可包括機械製程,例如被設定成切削到期望深度的鋸切。還可使用上述切削技術670的組合。使用等離子體蝕刻技術來實現間隙填充材料的平滑壁輪廓可為期望的。
仍然參照圖38,從工件將由經加工晶圓511形成的多個封裝(例如,應用封裝500)單一化,從而得到經單一化應用封裝501(參見圖39)。可通過任何可接受製程(包括等離子體切割、鐳射切割、機械鋸切或其組合)進行單一化671。單一化在工件的切割道或切割路上穿過非封裝區(位於圖28所示550/560/570之外)。單一化切穿經加工晶圓511並向下到達釋放層610。在一些實施例中,單一化可繼續穿過釋放層610且可繼續進入載體605中或穿過載體605。
在執行等離子體切割以進行單一化671或者執行蝕刻或等離子體蝕刻以進行切削技術670的實施例中,可在形成開口 667o之前使用圖案化金屬硬罩幕667作為等離子體切割/蝕刻罩幕。可在進行等離子體切割/蝕刻以界定將被蝕刻或將被切割的區域之前形成圖案化金屬硬罩幕667中的開口667e。
在一些實施例中,可臨時在圖案化金屬硬罩幕667之上且在間隙填充材料層657的一些部分之上形成一個或多個罩幕675(例如,光阻)以保護圖案化金屬硬罩幕667及間隙填充材料層657的所述一些部分不受蝕刻或等離子體切割影響。當切削及單一化完成時,移除所述一個或多個罩幕675。
對應用晶粒501進行等離子體切割的優點是:在使用間隙填充材料657的情況下,可通過等離子體切割來實現平滑側壁輪廓。可使用例如以上針對圖10所述的製程及材料等製程及材料執行等離子體切割,不再對所述製程及材料予以贅述。
參照圖39,通過使用圖案化金屬硬罩幕667,在一些實施例中可執行蝕刻672(由箭頭說明)以在熱晶片堆疊655/659的周圍材料551/552中形成溝槽(圖40所示681)。在一些實施例中,還可同時蝕刻基底115或記憶體立方體653的頂部層643的絕緣材料140以在其中形成溝槽。在一些實施例中,可在多個步驟中使用用於保護一些區域不被蝕刻的保護性罩幕(圖中未示出)來執行蝕刻672從而形成具有不同深度的溝槽。
以上所論述的單一化671及間隙填充切削670會在晶粒堆疊之間形成開口680,且會形成將形成層結構679的改性層621’、631’、641’及651’。
參照圖40,蝕刻672會在頂部層651’中形成溝槽681。溝槽681可為約1μm至約40μm深,例如為約5μm深,且可一路穿越頂部晶粒651’的周圍材料551/552並進入到下方的層(例如,641’或631’)中。溝槽681可通過增大熱晶片堆疊655/659與熱介面材料(圖42所示690)之間的接觸表面積來幫助散熱且可縮短所述熱介面材料與導熱通孔之間的距離。相同地,記憶體立方體653的頂部層643中的溝槽681還可增大表面積且縮短熱介面材料690與導熱通孔之間的距離。
參照圖41,在進行切割之後,從封裝501移除載體605。移除載體505可包括對釋放層610施加紫外光輻射、機械研磨製程、回蝕製程、加熱製程、其組合等。
在經加工晶圓511的前側上形成連接件685。可使用任何適合的製程形成連接件685且連接件685包括各種構造。在一些實施例中,連接件685可為受控塌陷晶片連接(C4)凸塊、微凸塊、焊料球等。舉例來說,可在經加工晶圓511的前側中製作開口(圖中未示出),所述開口暴露出經加工晶圓511的金屬特徵。在開口中形成連接件685。在一些實施例中,可在形成連接件685之前在開口中形成凸塊下金屬(UBM)層。在所說明實施例中,連接件685具有包含導電材料的下部部分685L及包含焊料材料的上部部分685U。還可將下部部分685L及上部部分685U分別稱作導電柱685L及焊料頂蓋685U。
可在邏輯區域550、記憶體區域560及/或散熱區域570 中將連接件685耦合到經加工晶圓511的導電特徵。此種導電特徵可包括例如內連線530(參見圖28)、通孔(例如,通孔546、525或535(參見30))或其他金屬跡線或金屬線。
參照圖42,在應用封裝501之上形成熱介面材料(thermal interface material,TIM)690。在一些實施例中,在晶粒堆疊653/655/659之上且在晶粒堆疊653/655/659的各個側(包括所述晶粒堆疊的側壁682)上、在所述晶粒堆疊之間、在開口680中、並且在溝槽681中分配熱介面材料690。在一些實施例中,在晶粒堆疊653/655/659之上分配熱介面材料690,此包括將充足的材料擠壓到開口680及溝槽681中。熱介面材料690是具有良好導熱性(thermal conductivity)的材料,所述導熱性可大於約5瓦/米*度(W/m * K)且可等於或高於約50W/m * K或100W/m * K。
參照圖43,可將封裝501耦合到封裝元件691,封裝元件691可為封裝基底、插入體(interposer)、印刷電路板(Printed Circuit Board,PCB)等。在一些實施例中,封裝組件691包括對位於封裝元件691的相對兩側上的電連接件(例如,金屬接墊(圖中未示出)及/或焊料球692)進行內連的金屬跡線及/或通孔693(使用虛線說明)。還可將例如電阻器、電容器、變壓器等分立的被動元件(圖中未示出)結合到封裝組件691。將焊料球692貼合到封裝元件691,其中應用封裝501及連接件685位於封裝元件691的相對兩側上。應用封裝501及封裝組件691(及其他所貼合 裝置)組合起來稱作封裝699。
仍然參照圖43,安裝散熱器695。散熱器695包括上部部分695U及下部部分695L,上部部分695U與下部部分695L可為一個整件或者為兩個或更多個單獨的件。上部部分695U覆蓋在整個封裝699之上且接觸位於封裝699的相對兩側上的下部部分。在一些實施例中,下部部分695L僅在周邊處位於封裝699的兩個相對側上。在一些實施例中,下部部分695L可完全環繞封裝699的周邊。在一些實施例中,下部部分695L可在兩個或更多個側上的多個區段中局部地環繞封裝699的周邊,各所述側中的至少兩個側彼此相對。在一些實施例中,僅存在上部部分695U。在一些實施例中,下部部分695L可相對於上部部分695U的底部延伸但不會一路延伸到封裝組件691。在一些實施例中,可通過黏合劑694將下部部分695L貼合到封裝元件691。
黏合劑694可具有比熱介面材料690的導熱性低的導熱性。黏合劑694可具有比熱介面材料690好的黏合能力。
在散熱器695的安裝中,向下推動散熱器695。這樣一來,可將熱介面材料690沿著堆疊晶粒的側壁682(參見圖42)擠壓及推動到開口680及溝槽681中。所得熱介面材料690包括頂部部分690A、側壁部分690B、間隙填充部分690C、及溝槽填充部分690D。熱介面材料側壁部分690B可形成或可不形成包圍應用封裝501的環。在一些實施例中,熱介面材料側壁部分690B可延伸低過應用晶粒501且可接觸封裝元件691。
散熱器695具有高導熱性且可使用金屬、金屬合金等形成。舉例來說,散熱器695可包含例如Al、Cu、Ni、Co等金屬或其合金。散熱器695也可由選自由碳化矽、氮化鋁、石墨等組成的群組中的複合材料形成。
圖44說明根據一些實施例的封裝裝置。在圖44中,單獨地形成晶粒堆疊653、655及659,且接著將晶粒堆疊653、655及659貼合到經加工晶圓511。在將晶粒堆疊653、655及659貼合到經加工晶圓511之後,可使用例如以上針對圖36至圖43所論述的製程及材料等製程及材料形成封裝裝置。具體來說,在將晶粒堆疊653、655及659貼合到經加工晶圓511之後,例如以上針對圖32所示間隙填充材料616所論述,可例如在所貼合晶粒之上且在所述所貼合晶粒之間塗覆間隙填充材料。所述製程可接著以例如以上針對圖37至圖43所論述的方式進行。
在圖44的所說明實施例中,晶粒堆疊653是與以上針對圖24或圖27所論述的晶粒堆疊102a相似的晶粒堆疊。在一些實施例中,可移除背面載體206a,而在其他實施例中,可使背面載體206a保留原樣或薄化。使用連接件985將晶粒堆疊653貼合到經加工晶圓511。通過例如以上所論述的混合結合將晶粒堆疊655及659貼合到經加工晶圓511。
圖45至圖58說明根據一些實施例的在形成應用封裝的過程中的各種中間步驟。
參照圖45,應用封裝500包括薄化的經加工晶圓511, 薄化的經加工晶圓511可包括圖28所示經加工晶圓510的特徵且可使用圖28所示經加工晶圓510的製程及材料形成,以上已闡述了所述特徵以及所述製程及材料且因此不再對其予以贅述。相同地,可使用如上所述的製程及材料將經加工晶圓510結合到載體605(圖29)並進行薄化(圖30)。
將晶粒612以及熱金屬件715及719結合到經加工晶圓511。在一些實施例中,使用例如取放設備將晶粒612以及熱金屬件715及719貼合到經加工晶圓511。在其他實施例中,可手動地或使用任何其他適合的方法將晶粒612以及熱金屬件715及719貼合到經加工晶圓511。可將熱金屬件715/719稱作散熱結構。
晶粒612可為與如以上針對圖31所述的晶粒612一致的晶粒。
熱金屬件715及719可為具有預製尺寸的熱金屬主體材料,所述預製尺寸分別適合於經加工晶圓511的安裝區域在邏輯區域550之上的佔用面積及所述安裝區域在散熱區域570之上的佔用面積。在一些實施例中,可將熱金屬件715及719的厚度選擇成所述層(參見圖48所示721)的期望厚度。舉例來說,可將厚度選擇成約20μm。在一些實施例中,可將熱金屬件715及719的厚度選擇成比所述層(圖48所示721)的期望厚度大的厚度。熱金屬件的材料可包括例如Al、Cu、Ni、Co等金屬或其合金。
仍然參照圖45,可使用如以上針對圖31所述的製程及材料將晶粒612結合到經加工晶圓511。
可將熱金屬件715及719結合到經加工晶圓511的邏輯區域550及散熱區域570。在一些實施例中,可在經加工晶圓的邏輯區域550之上形成金屬接墊710。金屬接墊710可相對於經加工晶圓511的頂表面突出或可不相對於經加工晶圓511的頂表面突出。金屬接墊710可對應於例如以上針對圖30所述的觸點或接觸接墊545且可在經加工晶圓511的邏輯區域550中耦合到一個或多個通孔546。在一些實施例中,可在經加工晶圓511的散熱區域570之上形成金屬接墊711且將金屬接墊711耦合到通孔535。在一些實施例中,金屬接墊711可相對於經加工晶圓511的頂表面突出。可例如使用例如以上針對圖1及圖18所述的製程及材料等製程及材料形成金屬接墊711以形成觸點,且不再對其予以贅述。
在一些實施例中,可通過將熱金屬件715及719的金屬表面對準且直接結合到經加工晶圓511而將熱金屬件715及719混合結合到經加工晶圓511。應理解,圖45中所說明的通孔與觸點的結合僅為實例,且預期經混合結合元件存在其他構造。可使用如以上針對圖17所述的製程及材料等製程及材料施行混合結合(包括通孔與觸點的混合結合的任意組合),不再對所述製程及材料予以贅述。舉例來說,可將熱金屬件715及719的結合表面視作用於混合結合的大觸點(large contact),其可用於結合至金屬接墊710或711等其他觸點,或是結合至例如通孔535或546等通孔(參見圖30)。在使用晶粒612的情形中,在金屬件715/719與經加工晶圓511之間不需要底部填充膠。
在一些實施例中,通過以下方式將金屬件715結合到通孔546或金屬接墊710且將金屬件719結合到通孔535或金屬接墊711:在金屬件715/719與經加工晶圓511之間的結合點處形成共晶型連接件或導電柱,在對準對應的觸點之後使金屬件715/719與經加工晶圓511合攏,以及對共晶材料進行回焊以形成實體耦合及電耦合。可在結合點的任一側上或在結合點的兩側上(即,在金屬件715/719上及/或在經加工晶圓511上)形成共晶型連接件或導電柱。在此種實施例中,可在晶粒612與經加工晶圓511之間且在金屬件715/719與經加工晶圓511之間使用底部填充膠材料,或者作為另外一種選擇,例如以下所述的間隙填充材料可提供底部填充能力。
參照圖46,在工件之上形成間隙填充材料616以實質上填充晶粒612與熱金屬件715及719之間的空間。各製程及材料可與以上針對圖32所示間隙填充材料所述的製程及材料相同,且不再對其予以贅述。
參照圖47,可薄化間隙填充材料616及晶粒612以形成間隙填充材料617及薄化晶粒613。可通過CMP製程、研磨、蝕刻或其他適合的製程進行薄化。薄化會暴露出晶粒613中的通孔120且還會使晶粒613的厚度減小以提供更好的散熱且佔用更少的空間。在熱金屬件715/719比期望厚度厚的一些實施例中,還會薄化熱金屬件715/719。舉例來說,熱金屬件715/719可比期望厚度厚,但比晶粒612薄。在薄化之後,包括薄化晶粒613、熱金屬 件715/719、及薄化間隙填充材料617的層721可為約10μm至50μm厚,例如為約20μm厚。在一些實施例中,間隙填充材料617的頂表面與晶粒613的頂表面及熱金屬件715/719的頂表面在製程變動內實質上共面。
參照圖48,在一些實施例中,將晶粒622及熱金屬件725及729分別結合到晶粒613以及熱金屬件715及719以形成晶粒堆疊及熱金屬主體。在一些實施例中,晶粒622可為與613相同類型的裝置、晶片或晶粒。在一些實施例中,晶粒622可為與晶粒613不同類型的裝置、晶片或晶粒。如以上針對圖45所述,可以與將晶粒612、614及618結合到經加工晶圓511相同的方式將晶粒622結合到晶粒613且可將金屬件625/629分別結合到金屬件615/619,且此處不再對其予以贅述。具體來說,可通過對晶粒622的接觸接墊125與通孔120或晶粒613的觸點進行直接結合而將晶粒622混合結合到晶粒613。相似地,可通過對熱金屬件725及熱金屬件715各自的表面進行直接結合而使熱金屬件725與熱金屬件715結合。相同地,可通過對熱金屬件729及熱金屬件719各自的表面進行直接結合而使熱金屬件729與熱金屬件719結合。在一些實施例中,還可對晶粒613的絕緣材料與晶粒622的絕緣材料進行熔融結合。對各金屬件進行直接結合可得到跨越熱金屬件715及725與熱金屬件719及729之間的整個介面的經實質結合的介面。
參照圖49,在工件之上形成間隙填充材料626以實質上 填充晶粒622與熱金屬件725及729之間的空間。各製程及材料與如以上關於圖46所論述的用於形成間隙填充材料616的製程及材料相同,且不再對其予以贅述。
參照圖50,在一些實施例中,重複進行薄化及貼合圖47至圖49所示其他晶粒及熱金屬件的製程,直到實現期望的晶粒堆疊構造及熱金屬構造。在一些實施例中,記憶體立方體653可由包括記憶體晶粒613、623、633及643的四層式記憶體晶粒堆疊形成。在一些實施例中,對應熱金屬主體755可由包括金屬件715、725、735及745的四層式熱金屬件堆疊形成。在一些實施例中,對應熱金屬主體759可由包括熱金屬件719、729、739及749的四層式熱金屬件堆疊形成。在一些實施例中,經加工間隙填充材料657可包括可辨別的層617、627、637及647。在一些實施例中,在所有晶粒層中經加工間隙填充材料657將為連續的且在剖視圖中不可辨別。可將熱金屬主體755/759稱作散熱結構。
在一些實施例中,可通過單獨的製程形成晶粒堆疊(即,記憶體立方體653、熱金屬主體755及熱金屬主體759)中的每一者並將所述晶粒堆疊中的每一者貼合到經加工晶圓511。舉例來說,可使用以上針對圖1至圖11或圖12至圖16所述的製程來構建記憶體立方體653。還可通過以下方式使用相似的製程形成熱金屬主體755及759:將上述用於形成熱金屬主體的逐層製程及材料替換為圖1至圖11或圖12至圖16中所說明的製程。作為另外一種選擇,可使用單層熱金屬主體。
圖63A至圖63D說明單獨形成的熱金屬主體755及759的各種替代性實施例,單獨形成的熱金屬主體755及759被接著貼合到經加工晶圓511。由於熱金屬主體755及759中不形成有主動元件或被動元件,因此各別層的高度並不重要。圖63A說明根據一些實施例的單獨形成的熱金屬主體,所述單獨形成的熱金屬主體實質上相似於以上所論述的逐層形成的熱金屬主體755及759。圖63B說明具有比期望高度大的總高度的單獨形成的熱金屬主體,在進行結合之後可薄化所述單獨形成的熱金屬主體。圖63C說明包括具有近似相同高度的兩個層的單獨形成的熱金屬主體。圖63D說明包括具有變化高度的三個層的單獨形成的熱金屬主體。應理解,可使用任何數目的層且應理解可以任何組合形式對這些實施例加以組合。
參照圖51,在一些實施例中,可在已對所有晶粒613、623、633及643進行加工(貼合及薄化)之後且在已形成熱金屬主體755及759二者之後在工件之上形成間隙填充材料754而非逐層塗覆間隙填充材料。可在整個工件之上形成間隙填充材料754並將間隙填充材料754薄化成使得間隙填充材料的頂表面與晶粒堆疊653的頂表面及熱金屬主體755及759的頂表面在製程變動內實質上共面。在此種實施例中,間隙填充材料754將不具有可辨別的層,而是將為連續的。
參照圖52,根據一些實施例,在工件之上形成金屬罩幕665。可使用例如以上針對圖37所述的製程及材料等製程及材料 形成金屬罩幕665,不再對所述製程及材料予以贅述。
參照圖53,可使用例如以上針對圖38所述的製程及材料等製程及材料將金屬罩幕665圖案化,不再對所述製程及材料予以贅述。
仍然參照圖53,使用切削技術670將晶粒堆疊653與熱金屬主體755及759之間的間隙填充材料657/754向下切削到經加工晶圓511的表面以形成開口680(參見圖54)。切削技術670可與如以上針對圖38所述的切削技術670相同,且不再對其予以贅述。
仍然參照圖53,從工件將由經加工晶圓511形成的多個晶粒封裝(例如,應用封裝500)單一化,從而得到經單一化應用封裝501(參見圖54)。單一化671可與如以上針對圖38所述的單一化技術671相同,且不再對其予以贅述。
參照圖54,以上所論述的單一化671及間隙填充切削670會在晶粒堆疊653與熱金屬主體655及659之間形成開口680,且會形成層結構779的改性層721’、731’、741’及751’。
參照圖55,在進行單一化之後,從應用封裝501移除載體505。移除載體505可包括對釋放層610施加紫外光輻射、機械研磨製程、回蝕製程、加熱製程、其組合等。
使用例如以上針對圖41所論述的製程及材料等製程及材料在應用封裝501的經加工晶圓511的前側上形成連接件685,不再對所述製程及材料予以贅述。
參照圖56,除使用熱金屬主體755及759來取代熱晶片655及659以外,以與以上針對圖42所論述的方式相同的方式在應用封裝501之上形成熱介面材料690。
參照圖57,可使用例如以上針對圖43所論述的製程及材料等製程及材料將應用封裝501耦合到封裝組件691,不再對所述製程及材料予以贅述。
仍然參照圖57,可使用例如以上針對圖43所論述的製程及材料等製程及材料安裝散熱器695,不再對所述製程及材料予以贅述。
圖58說明根據一些實施例的封裝裝置。在圖58中,晶粒堆疊653與熱金屬主體755及759是單獨地形成,且被接著貼合到經加工晶圓511。在將晶粒堆疊653及熱金屬主體755及759貼合到經加工晶圓511之後,可使用例如以上針對圖50至圖57所論述的製程及材料等製程及材料來形成封裝裝置。具體來說,在將晶粒堆疊653、755及759貼合到經加工晶圓511之後,例如以上針對圖51所示間隙填充材料754所論述,可例如在所貼合晶粒之上且在所述所貼合晶粒之間塗覆間隙填充材料。所述製程可接著以例如以上針對圖51至圖57所論述的方式進行。
在圖58的所說明實施例中,晶粒堆疊653是與如以上針對圖24或圖27所論述的晶粒堆疊102a相似的晶粒堆疊。在一些實施例中,可移除背面載體206a,而在其他實施例中,可使背面載體206a保留原樣或薄化。使用連接件985將晶粒堆疊653貼 合到經加工晶圓511。通過例如以上所論述的混合結合將熱金屬主體755及759貼合到經加工晶圓511。
圖59至圖61說明用於耗散在經加工晶圓511及晶粒堆疊653中產生的熱量的熱量路徑。圖59對應於與圖43一致的實施例。熱量可通過導熱通孔來耗散。圖60對應於與圖57一致的實施例。熱量可通過導熱通孔及熱金屬主體來耗散。除晶粒堆疊653中不設置導熱通孔以外,圖61對應於與圖57相似的替代性實施例。這樣一來,散熱是通過相鄰的熱金屬主體755/759來執行。
各實施例耗散來自堆疊晶粒封裝的熱量。各實施例包括晶圓上晶圓混合結合、晶圓上晶片混合結合、及晶片上晶片混合結合來進行高效熱傳導而不使用中間連接材料。各實施例包括通過混合結合而使不同大小或類型的晶片彼此貼合的能力。各實施例包括對間隙填充材料進行等離子體切割及等離子體蝕刻以在各晶粒之間及在各封裝元件之間得到平滑側壁。在一些實施例中,記憶體立方體是由多個記憶體晶粒以堆疊構造、以晶片上晶片構造、晶圓上晶片構造、或晶圓上晶圓構造形成。在一些實施例中,在晶圓級裝置(例如,在系統級封裝構造中所使用的處理單元晶圓)上形成堆疊封裝。記憶體立方體可作為單一封裝沉積在堆疊封裝上或逐層地沉積在所述堆疊封裝上。熱晶片或熱金屬主體可被形成為挨靠記憶體立方體以進行散熱。散熱器可通過熱介面材料貼合到裝置。一些實施例使用以混合結合技術直接結合的熱通孔。此種技術提供結合而不使用可能抑制散熱的底部填充膠或層 間封裝膠體。一些實施例具有金屬硬罩幕,所述金屬硬罩幕可用於將熱矽穿孔連接到熱介面材料。一些實施例在散熱特徵中具有用於增大介面表面區域接觸的溝槽。
一個實施例為一種封裝結構的製造方法,所述方法包括:將多個第一晶粒的第一表面結合到晶圓,其中所述多個第一晶粒中的每一者分別處於所述晶圓的各自封裝區域中。將第一間隙填充材料沉積到所述多個第一晶粒之上。薄化所述多個第一晶粒及第一間隙填充材料,從而在所述多個第一晶粒的第二表面處暴露出導電穿孔。將多個第二晶粒中的第二晶粒結合到所述多個第一晶粒中的每一者且將第二間隙填充材料沉積到所述多個第二晶粒之上。薄化所述多個第二晶粒及第二間隙填充材料,從而在所述多個第二晶粒的第二表面處暴露出導電穿孔。所述方法包括將第一間隙填充材料及第二間隙填充材料單一化,所述單一化形成包括所述多個第一晶粒中的第一晶粒及所述多個第二晶粒中的第二晶粒的晶粒堆疊。
在一些實施例中,所述晶片包括一個或多個主動元件及一個或多個暴露金屬特徵,且其中將所述多個第一晶粒的所述第一表面結合到所述晶片包括:將所述多個第一晶粒中的第一晶粒中的金屬特徵對準所述晶片的對應的暴露金屬特徵;以及將所述第一晶粒中的所述金屬特徵結合到所述晶片的所述對應的暴露金屬特徵,其中所述第一晶粒中的所述金屬特徵在不使用共晶材料的情況下耦合到所述第一晶粒的導電穿孔。在一些實施例中,所 述晶粒堆疊還包括所述晶圓的經單一化部分。在一些實施例中,所述晶圓是其中不形成有任何主動元件的載體。在一些實施例中,所述的方法還包括:在單一化之前,將背面載體貼合到所述多個第二晶粒的所述第二表面;移除所述晶圓;以及在所述多個第一晶粒的所述第一表面上形成多個連接件。在一些實施例中,所述結合所述第二晶粒包括:將接觸接墊結合到導電穿孔;以及將所述第二晶粒的介電材料在所述第一晶粒與所述第二晶粒的介面處結合到所述第一晶粒的介電材料。在一些實施例中,所述將所述晶粒堆疊單一化包括等離子體切割。在一些實施例中,所述的方法還包括:在所述晶圓的邏輯區中形成邏輯裝置,其中所述多個第一晶粒及所述多個第二晶粒在側向上與所述邏輯區隔開;以及將導電元件結合到所述邏輯裝置的金屬特徵,其中所述晶粒堆疊包括所述邏輯裝置及所述導電元件。在一些實施例中,所述導電元件包括熱晶片堆疊,所述熱晶片堆疊具有結合到所述邏輯裝置的所述金屬特徵的導電通孔。在一些實施例中,所述導電元件包括結合到所述邏輯裝置的所述金屬特徵的熱金屬主體。
另一實施例為一種晶粒堆疊結構,所述晶粒堆疊結構包括第一裝置、第二裝置及第三裝置。第一裝置包括半導體基底,其中所述半導體基底具有設置在所述半導體基底的表面處的金屬特徵且包括主動元件。第二裝置包括基底及多個導電通孔,所述多個導電通孔穿越所述基底的整個厚度。所述多個導電通孔在基底中設置成在第二裝置的第一表面處對準第一裝置的相鄰的多個 導電通孔。所述多個導電通孔的第一導電通孔結合到第一裝置的金屬特徵。第三裝置包括設置在第三裝置的表面處的金屬特徵,其中所述第三裝置的所述金屬特徵結合到所述第一導電通孔。晶粒堆疊結構還包括間隙填充材料,所述間隙填充材料設置在第二裝置的側壁上及第三裝置的側壁上。
在一些實施例中,所述多個導電通孔中的一者或多者包括接觸接墊。在一些實施例中,所述第一裝置的接觸接墊在不使用共晶材料的情況下結合到所述第二裝置的第一導電通孔,且其中所述第一裝置的第一導電通孔在不使用共晶材料的情況下結合到所述第二裝置的第二導電通孔。在一些實施例中,所述間隙填充材料設置在所述第一裝置的側壁上。在一些實施例中,所述第一裝置、所述第二裝置、及所述第三裝置中的每一者還包括:頂部絕緣材料,位於各自的頂表面上;以及底部絕緣材料,位於各自的底表面上,其中在所述第一裝置與所述第二裝置的介面處,所述第一裝置的所述頂部絕緣材料結合到所述第二裝置的所述底部絕緣材料。
另一實施例為一種封裝結構,所述封裝結構包括:基底,其中所述基底包括邏輯區域、記憶體區域、第一表面及與所述第一表面相對的第二表面,所述第一表面上形成有連接件。所述封裝結構包括邏輯裝置及記憶體晶粒堆疊,所述邏輯裝置在邏輯區域中形成在基底中,所述記憶體晶粒堆疊在記憶體區域中貼合到所述基底的第二表面。所述封裝結構還包括第一散熱結構及 熱介面材料,所述第一散熱結構在邏輯區域中貼合到基底的第二表面,所述熱介面材料設置在記憶體晶粒堆疊及第一散熱結構之上。散熱體設置在所述熱介面材料之上且接觸所述熱介面材料。
在一些實施例中,述基底還包括散熱區域,所述封裝結構還包括:第二散熱結構,在所述散熱區域中貼合到所述基底的所述第二表面。在一些實施例中,所述第一散熱結構包括多個熱晶片,所述熱晶片中的每一者包括:多個導電通孔,形成在周圍材料中,其中所述多個導電通孔中的每一者穿越所述周圍材料的整個厚度。在一些實施例中,所述多個熱晶片中的第一熱晶片包括被嵌入到所述周圍材料的頂表面中的多個熱介面材料條帶。在一些實施例中,述第一散熱結構包括熱金屬主體。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明實施例的各個方面。所屬領域中的技術人員應知,其可容易地使用本發明實施例作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明實施例的精神及範圍,而且他們可在不背離本發明實施例的精神及範圍的條件下對其作出各種改變、替換、及變更。
511:經加工晶圓
653:記憶體立方體/堆疊/晶粒堆疊
655、659:堆疊/熱晶片堆疊/晶粒堆疊/熱金屬主體/熱晶片
685、985:連接件
690:熱介面材料
690A:頂部部分
690B:側壁部分/熱介面材料側壁部分
690C:間隙填充部分
690D:溝槽填充部分
691:封裝組件
692:焊料球
693:通孔
694:黏合劑
695:散熱器
695L:下部部分
695U:上部部分
699:封裝

Claims (10)

  1. 一種封裝結構的製造方法,包括:將多個第一晶粒的第一表面結合到晶圓,所述多個第一晶粒中的每一者分別處於所述晶圓的各自封裝區域中;將第一間隙填充材料沉積到所述多個第一晶粒之上;薄化所述多個第一晶粒及所述第一間隙填充材料,從而在所述多個第一晶粒的第二表面處暴露出導電穿孔;將多個第二晶粒中的第二晶粒結合到所述多個第一晶粒中的每一者;將第二間隙填充材料沉積到所述多個第二晶粒之上;薄化所述多個第二晶粒及所述第二間隙填充材料,從而在所述多個第二晶粒的第二表面處暴露出導電穿孔;以及將所述第一間隙填充材料及所述第二間隙填充材料單一化,所述單一化形成包括所述多個第一晶粒中的第一晶粒及所述多個第二晶粒中的第二晶粒的晶粒堆疊。
  2. 如申請專利範圍第1項所述的製造方法,其中,所述晶圓包括一個或多個主動元件及一個或多個暴露金屬特徵,且其中將所述多個第一晶粒的所述第一表面結合到所述晶圓包括:將所述多個第一晶粒中的第一晶粒中的金屬特徵對準所述晶圓的對應的暴露金屬特徵;以及將所述第一晶粒中的所述金屬特徵結合到所述晶圓的所述對應的暴露金屬特徵,其中所述第一晶 粒中的所述金屬特徵在不使用共晶材料的情況下耦合到所述第一晶粒的導電穿孔。
  3. 一種晶粒堆疊結構,所述晶粒堆疊結構包括:第一裝置,包括半導體基底,其中所述半導體基底具有設置在所述半導體基底的表面處的金屬特徵,且所述半導體基底包括主動元件;第二裝置,包括基底及多個導電通孔,所述多個導電通孔穿越所述基底的整個厚度,其中所述多個導電通孔在所述基底中設置成在所述第二裝置的第一表面處對準所述第一裝置的相鄰的多個導電通孔,其中所述多個導電通孔的第一導電通孔結合到所述第一裝置的所述金屬特徵;第三裝置,包括設置在所述第三裝置的表面處的金屬特徵,其中所述第三裝置的所述金屬特徵結合到所述第一導電通孔;以及間隙填充材料,所述間隙填充材料設置在所述第二裝置的側壁上及所述第三裝置的側壁上。
  4. 如申請專利範圍第3項所述的晶粒堆疊結構,其中所述第一裝置、所述第二裝置、及所述第三裝置中的每一者還包括:頂部絕緣材料,位於各自的頂表面上;以及底部絕緣材料,位於各自的底表面上,其中在所述第一裝置與所述第二裝置的介面處,所述第一裝置的所述頂部絕緣材料結合到所述第二裝置的所述底部絕緣材料。
  5. 一種封裝結構,所述封裝結構包括: 基底,包括邏輯區域、記憶體區域、第一表面及與所述第一表面相對的第二表面,所述第一表面上形成有連接件;邏輯裝置,在所述邏輯區域中形成在所述基底中;記憶體晶粒堆疊,在所述記憶體區域中貼合到所述基底的所述第二表面;第一散熱結構,在所述邏輯區域中貼合到所述基底的所述第二表面;間隙填充材料,所述間隙填充材料設置在所述記憶體晶粒堆疊的側壁上以及所述第一散熱結構的側壁上;熱介面材料,設置在所述記憶體晶粒堆疊及所述第一散熱結構之上,且在側向上環繞所述間隙填充材料;以及散熱體,設置在所述熱介面材料之上且接觸所述熱介面材料。
  6. 一種封裝結構的製造方法,包括:將第一晶粒結合到晶圓;將第一間隙填充材料沉積到所述第一晶粒上,所述第一間隙填充材料在側向上環繞所述第一晶粒;薄化所述第一晶粒及所述第一間隙填充材料,從而在所述第一晶粒的頂表面處暴露出導電特徵;將第二晶粒結合到所述第一晶粒的所述頂表面上;將第二間隙填充材料沉積到所述第二晶粒上,所述第二間隙填充材料在側向上環繞所述第二晶粒; 薄化所述第二晶粒及所述第二間隙填充材料,從而在所述第二晶粒的頂表面處暴露出導電特徵;以及將所述晶圓單一化以形成第一封裝,所述第一封裝包括所述第一晶粒及所述第二晶粒,其中所述第一間隙填充材料及所述第二間隙填充材料保留在所述第一晶粒及所述第二晶粒的側壁上。
  7. 一種封裝結構的製造方法,包括:將第一散熱特徵結合到基底上,所述基底中形成有主動元件;將第一間隙填充材料沉積到所述第一散熱特徵上,所述第一間隙填充材料在側向上環繞所述一散熱特徵;平面化所述第一間隙填充材料以暴露出所述第一散熱特徵的頂表面;將第二散熱特徵結合到所述第一散熱特徵;將第二間隙填充材料沉積到所述第二散熱特徵上,所述第二間隙填充材料在側向上環繞所述第二散熱特徵;平面化所述第二間隙填充材料以暴露出所述第二散熱特徵的頂表面;以及在所述第一散熱特徵與相鄰的晶粒堆疊之間的所述第一間隙填充材料中形成第一溝槽,其中所述第一間隙填充材料保留在所述第一散熱特徵的側壁上。
  8. 一種半導體裝置,包括:第一晶粒,所述第一晶粒包括完全穿越所述第一晶粒的第一組通孔以及環繞所述第一組通孔的第一介電材料; 第二晶粒,所述第二晶粒包括完全穿越所述第二晶粒的第二組通孔以及環繞所述第二組通孔的第二介電材料,所述第一組通孔與所述第二組通孔對齊並結合,所述第一介電材料與所述第二介電材料結合,並且所述第一組通孔在沒有焊料的情況下直接結合到所述第二組通孔;第一封裝膠體材料,設置在所述第一晶粒的側壁上;以及第二封裝膠體材料,設置在所述第二晶粒的側壁上。
  9. 一種晶粒堆疊結構,所述晶粒堆疊結構包括:第一裝置,包括半導體基底,其中所述半導體基底具有設置在所述半導體基底的表面處的金屬特徵,且所述半導體基底包括主動元件;第二裝置,包括基底及多個導電通孔,所述多個導電通孔穿越所述基底的整個厚度,其中所述多個導電通孔在所述基底中設置成在所述第二裝置的第一表面處對準所述第一裝置的相鄰的多個導電通孔,其中所述多個導電通孔的第一導電通孔結合到所述第一裝置的所述金屬特徵;第三裝置,包括設置在所述第三裝置的表面處的金屬特徵,其中所述第三裝置的所述金屬特徵結合到所述第一導電通孔;第一封裝膠體材料,設置在所述第二裝置的側壁上;以及第二封裝膠體材料,設置在所述第三裝置的側壁上,其中,所述第二封裝膠體材料的外側壁與所述第一裝置的所述半導體基底的外側壁對準。
  10. 一種封裝結構,所述封裝結構包括:基底,包括邏輯區域、記憶體區域,其中所述基底的第一表面上形成有連接件,所述基底的第二表面與所述第一表面相對,且所述邏輯區域包括在所述基底中形成的邏輯裝置;記憶體晶粒堆疊,在所述記憶體區域中貼合到所述基底的所述第二表面;第一散熱結構,在所述邏輯區域中貼合到所述基底的所述第二表面;間隙填充材料,所述間隙填充材料設置在所述記憶體晶粒堆疊的側壁上以及所述第一散熱結構的側壁上;以及熱介面材料,設置在所述記憶體晶粒堆疊及所述第一散熱結構之上,並且在側向上環繞所述記憶體晶粒堆疊、所述第一散熱結構及所述間隙填充材料。
TW106138857A 2016-12-05 2017-11-10 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法 TWI744411B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662430274P 2016-12-05 2016-12-05
US62/430,274 2016-12-05
US201762460580P 2017-02-17 2017-02-17
US62/460,580 2017-02-17
US15/720,565 US10163750B2 (en) 2016-12-05 2017-09-29 Package structure for heat dissipation
US15/720,565 2017-09-29

Publications (2)

Publication Number Publication Date
TW201822311A TW201822311A (zh) 2018-06-16
TWI744411B true TWI744411B (zh) 2021-11-01

Family

ID=62240622

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106138857A TWI744411B (zh) 2016-12-05 2017-11-10 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法

Country Status (3)

Country Link
US (5) US10163750B2 (zh)
CN (1) CN108155153B (zh)
TW (1) TWI744411B (zh)

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) * 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TW202414634A (zh) 2016-10-27 2024-04-01 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10199356B2 (en) * 2017-02-24 2019-02-05 Micron Technology, Inc. Semiconductor device assembles with electrically functional heat transfer structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) * 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10797020B2 (en) 2017-12-29 2020-10-06 Micron Technology, Inc. Semiconductor device assemblies including multiple stacks of different semiconductor dies
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11476168B2 (en) * 2018-04-09 2022-10-18 Intel Corporation Die stack override for die testing
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10319696B1 (en) 2018-05-10 2019-06-11 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10685937B2 (en) * 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
CN112385036A (zh) * 2018-07-06 2021-02-19 伊文萨思粘合技术公司 模制的直接键合和互连的堆叠
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) * 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
WO2020086778A1 (en) * 2018-10-23 2020-04-30 Hzo, Inc. Plasma ashing of coated substrates
KR102596758B1 (ko) * 2018-10-24 2023-11-03 삼성전자주식회사 반도체 패키지
KR102518803B1 (ko) * 2018-10-24 2023-04-07 삼성전자주식회사 반도체 패키지
KR102583127B1 (ko) * 2018-10-30 2023-09-26 삼성전자주식회사 다이스택 구조물과 이를 구비하는 반도체 패키지
KR102593085B1 (ko) * 2018-11-21 2023-10-24 삼성전자주식회사 반도체 장치, 반도체 패키지 및 이의 제조 방법
US11158607B2 (en) * 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
KR102620534B1 (ko) * 2019-02-15 2024-01-03 삼성전기주식회사 반도체 패키지
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US10861799B1 (en) * 2019-05-17 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy die placement without backside chipping
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11043480B1 (en) * 2019-06-11 2021-06-22 Xilinx, Inc. Forming and/or configuring stacked dies
US11127701B2 (en) * 2019-06-17 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing intergrated fan-out package with redistribution structure
US20200404806A1 (en) * 2019-06-19 2020-12-24 International Business Machines Corporation Cryogenic packaging for thermalization of low temperature devices
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
KR20210013429A (ko) 2019-07-25 2021-02-04 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US11215775B2 (en) 2019-08-19 2022-01-04 Cisco Technology, Inc. Connection features for electronic and optical packaging
US11282759B2 (en) 2019-09-09 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure having warpage control and method of forming the same
DE102020114141B4 (de) 2019-10-18 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes schaltungspackage und verfahren
US11211371B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
KR20210048638A (ko) * 2019-10-23 2021-05-04 삼성전자주식회사 반도체 패키지
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
KR20210066387A (ko) 2019-11-28 2021-06-07 삼성전자주식회사 반도체 패키지
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
WO2021138794A1 (en) * 2020-01-07 2021-07-15 Yangtze Memory Technologies Co., Ltd. Methods for multi-wafer stacking and dicing
EP3875913B1 (en) * 2020-03-04 2023-10-25 Melexis Technologies SA Hybrid position sensor
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11373930B2 (en) 2020-03-31 2022-06-28 Cisco Technology, Inc. Thermal packaging with fan out wafer level processing
DE102020131125A1 (de) * 2020-04-29 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterpaket und Verfahren zum Herstellen desselben
US11948930B2 (en) * 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
DE102020130996A1 (de) * 2020-05-01 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-package und verfahren zu dessen herstellung
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11721663B2 (en) * 2020-05-28 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-level stacking of wafers and chips
DE102020128415A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-level-stapelung von wafern und chips
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11355508B2 (en) 2020-08-13 2022-06-07 Micron Technology, Inc. Devices including floating vias and related systems and methods
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11289440B1 (en) * 2020-09-28 2022-03-29 Micron Technology, Inc. Combination-bonded die pair packaging and associated systems and methods
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11728327B2 (en) * 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11715723B2 (en) * 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
JP2022141179A (ja) 2021-03-15 2022-09-29 キオクシア株式会社 半導体装置の製造方法、及び半導体装置
US11848246B2 (en) * 2021-03-24 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US20230197563A1 (en) * 2021-12-17 2023-06-22 Advanced Micro Devices, Inc. Semiconductor chip device integrating thermal pipes in three-dimensional packaging
WO2023235163A1 (en) * 2022-05-30 2023-12-07 Psemi Corporation Multi‑die-to-wafer hybrid bonding
KR20240030745A (ko) * 2022-08-31 2024-03-07 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080142990A1 (en) * 2006-12-19 2008-06-19 Chen-Hua Yu Three-dimensional integrated circuits with protection layers
US8941233B1 (en) * 2012-02-22 2015-01-27 Altera Corporation Integrated circuit package with inter-die thermal spreader layers
US20160013115A1 (en) * 2014-07-14 2016-01-14 Micron Technology, Inc. Stacked semiconductor die assemblies with high efficiency thermal paths and associated methods
US20160260687A1 (en) * 2015-03-05 2016-09-08 Invensas Corporation Embedded graphite heat spreader for 3dic

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE518242T1 (de) * 2002-03-12 2011-08-15 Hamamatsu Photonics Kk Methode zur trennung von substraten
US6917090B2 (en) * 2003-04-07 2005-07-12 Micron Technology, Inc. Chip scale image sensor package
US7268012B2 (en) * 2004-08-31 2007-09-11 Micron Technology, Inc. Methods for fabrication of thin semiconductor assemblies including redistribution layers and packages and assemblies formed thereby
US20070284758A1 (en) * 2006-05-22 2007-12-13 General Electric Company Electronics package and associated method
US7605019B2 (en) * 2006-07-07 2009-10-20 Qimonda Ag Semiconductor device with stacked chips and method for manufacturing thereof
US7834453B2 (en) * 2006-07-28 2010-11-16 Taiwan Tft Lcd Association Contact structure having a compliant bump and a test pad
KR100777926B1 (ko) * 2006-08-29 2007-11-21 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US8367471B2 (en) 2007-06-15 2013-02-05 Micron Technology, Inc. Semiconductor assemblies, stacked semiconductor devices, and methods of manufacturing semiconductor assemblies and stacked semiconductor devices
US7741156B2 (en) * 2008-05-27 2010-06-22 Stats Chippac, Ltd. Semiconductor device and method of forming through vias with reflowed conductive material
US7704796B2 (en) * 2008-06-04 2010-04-27 Stats Chippac, Ltd. Semiconductor device and method of forming recessed conductive vias in saw streets
US8004072B2 (en) * 2008-10-15 2011-08-23 Qimonda Ag Packaging systems and methods
US9136144B2 (en) * 2009-11-13 2015-09-15 Stats Chippac, Ltd. Method of forming protective material between semiconductor die stacked on semiconductor wafer to reduce defects during singulation
KR101692955B1 (ko) * 2010-10-06 2017-01-05 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
TW201225249A (en) * 2010-12-08 2012-06-16 Ind Tech Res Inst Stacked structure and stacked method for three-dimensional integrated circuit
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US8841765B2 (en) * 2011-04-22 2014-09-23 Tessera, Inc. Multi-chip module with stacked face-down connected dies
US20130075892A1 (en) * 2011-09-27 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Three Dimensional Integrated Circuit Fabrication
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9040349B2 (en) * 2012-11-15 2015-05-26 Amkor Technology, Inc. Method and system for a semiconductor device package with a die to interposer wafer first bond
US8803306B1 (en) * 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9735082B2 (en) * 2013-12-04 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC packaging with hot spot thermal management features
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US20160240457A1 (en) * 2015-02-18 2016-08-18 Altera Corporation Integrated circuit packages with dual-sided stacking structure
US10617010B2 (en) * 2016-08-29 2020-04-07 Brewer Science, Inc. Polymer film stencil process for fan-out wafer-level packaging of semiconductor devices
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080142990A1 (en) * 2006-12-19 2008-06-19 Chen-Hua Yu Three-dimensional integrated circuits with protection layers
US8941233B1 (en) * 2012-02-22 2015-01-27 Altera Corporation Integrated circuit package with inter-die thermal spreader layers
US20160013115A1 (en) * 2014-07-14 2016-01-14 Micron Technology, Inc. Stacked semiconductor die assemblies with high efficiency thermal paths and associated methods
US20160260687A1 (en) * 2015-03-05 2016-09-08 Invensas Corporation Embedded graphite heat spreader for 3dic

Also Published As

Publication number Publication date
CN108155153A (zh) 2018-06-12
US11854785B2 (en) 2023-12-26
US20220301973A1 (en) 2022-09-22
US20230326825A1 (en) 2023-10-12
TW201822311A (zh) 2018-06-16
US10872836B2 (en) 2020-12-22
US10163750B2 (en) 2018-12-25
US20180158749A1 (en) 2018-06-07
US11362013B2 (en) 2022-06-14
US20210175143A1 (en) 2021-06-10
CN108155153B (zh) 2022-11-11
US20190115277A1 (en) 2019-04-18

Similar Documents

Publication Publication Date Title
TWI744411B (zh) 半導體裝置、晶粒堆疊結構、封裝結構及其製造方法
US10854567B2 (en) 3D packages and methods for forming the same
KR102114454B1 (ko) 반도체 디바이스 패키지 및 방법
US20230170320A1 (en) Packaged Semiconductor Device and Method of Forming Thereof
CN108695166B (zh) 封装件及其形成方法
TWI783269B (zh) 封裝、半導體封裝及其形成方法
US20220293568A1 (en) Die stack structure and manufacturing method thereof
CN110610907B (zh) 半导体结构和形成半导体结构的方法
US12015013B2 (en) Die stack structure, semiconductor structure and method of fabricating the same
TWI764681B (zh) 半導體封裝及封裝組件及製造方法
TWI773354B (zh) 半導體晶粒封裝與製造方法
CN114464576A (zh) 半导体封装件和形成半导体封装件的方法
TW202238864A (zh) 積體電路封裝及其製造方法
CN112582389A (zh) 半导体封装件、封装件及其形成方法
US20240234400A1 (en) Integrated circuit packages and methods of forming the same
US20230065941A1 (en) Semiconductor package and method of manufacturing the same
US20230420330A1 (en) Semiconductor Packages and Methods of Forming the Same
TW202345307A (zh) 裝置封裝、半導體封裝及封裝方法