KR102593085B1 - 반도체 장치, 반도체 패키지 및 이의 제조 방법 - Google Patents

반도체 장치, 반도체 패키지 및 이의 제조 방법 Download PDF

Info

Publication number
KR102593085B1
KR102593085B1 KR1020180144338A KR20180144338A KR102593085B1 KR 102593085 B1 KR102593085 B1 KR 102593085B1 KR 1020180144338 A KR1020180144338 A KR 1020180144338A KR 20180144338 A KR20180144338 A KR 20180144338A KR 102593085 B1 KR102593085 B1 KR 102593085B1
Authority
KR
South Korea
Prior art keywords
connection pad
substrate
insulating film
semiconductor chip
interlayer insulating
Prior art date
Application number
KR1020180144338A
Other languages
English (en)
Other versions
KR20200059516A (ko
Inventor
이학승
문광진
김태성
이대석
임동찬
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180144338A priority Critical patent/KR102593085B1/ko
Priority to US16/430,625 priority patent/US10950578B2/en
Priority to EP19178376.0A priority patent/EP3657538A1/en
Priority to TW108127770A priority patent/TWI820175B/zh
Priority to CN201910859224.0A priority patent/CN111211102A/zh
Publication of KR20200059516A publication Critical patent/KR20200059516A/ko
Priority to US17/190,113 priority patent/US11804472B2/en
Application granted granted Critical
Publication of KR102593085B1 publication Critical patent/KR102593085B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/071Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05547Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector

Abstract

반도체 패키지는, 제1 반도체 칩 및 상기 제1 반도체 칩 상에 적층된 제2 반도체 칩을 포함한다. 상기 제1 반도체 칩은 제1 비아 홀을 갖는 기판, 상기 기판 상에 구비되고 외측면에 제1 접속 패드를 가지며 상기 제1 비아 홀과 연통되며 상기 제1 접속 패드를 노출시키는 제2 비아 홀을 갖는 층간 절연막, 및 상기 제1 및 제2 비아 홀들 내에 상기 제1 접속 패드와 접속되는 플러그 구조물을 포함한다. 상기 제2 반도체 칩은 상기 제1 반도체 칩의 상기 기판 표면으로부터 노출된 상기 플러그 구조물과 접합되는 제2 접속 패드를 포함한다.

Description

반도체 장치, 반도체 패키지 및 이의 제조 방법{SEMICONDUCTOR DEVICE, SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME}
본 발명은 반도체 장치, 반도체 패키지 및 이의 제조 방법에 관한 것으로, 보다 상세하게는, 웨이퍼 대 웨이퍼 본딩에 의해 접합되는 반도체 장치들을 포함하는 반도체 패키지 및 이의 제조 방법에 관한 것이다.
멀티 칩 패키지를 제조하기 위하여, 비아 라스트 제조 방법(via last scheme)이 사용될 수 있다. 그러나, 층간 절연막을 형성한 후에 실리콘 관통 비아(TSV)를 형성할 때, 상기 관통 실리콘 비아가 상기 층간 절연막의 금속 배선(M1 금속)에 랜딩됨으로써, CMP 연마 공정에 따른 전체 두께 편차(total thickness variation, TTV)에 기인한 구리 펀치 쓰루(Cu punch-through)가 발생하는 문제점이 있다.
본 발명의 일 과제는 실리콘 관통 비아의 공정 마진을 확보할 수 있는 반도체 장치를 제공하는 데 있다.
본 발명의 다른 과제는 상술한 반도체 장치를 포함하는 반도체 패키지를 제공하는 데 있다.
본 발명의 또 다른 과제는 상술한 반도체 장치를 제조하는 방법을 제공하는 데 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 패키지는, 제1 반도체 칩 및 상기 제1 반도체 칩 상에 적층된 제2 반도체 칩을 포함한다. 상기 제1 반도체 칩은 제1 비아 홀을 갖는 기판, 상기 기판 상에 구비되고 외측면에 제1 접속 패드를 가지며 상기 제1 비아 홀과 연통되며 상기 제1 접속 패드를 노출시키는 제2 비아 홀을 갖는 층간 절연막, 및 상기 제1 및 제2 비아 홀들 내에 상기 제1 접속 패드와 접속되는 플러그 구조물을 포함한다. 상기 제2 반도체 칩은 상기 제1 반도체 칩의 상기 기판 표면으로부터 노출된 상기 플러그 구조물과 접합되는 제2 접속 패드를 포함한다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 패키지는 제1 반도체 칩 및 상기 제1 반도체 칩 상에 적층되는 제2 반도체 칩을 포함한다. 상기 제1 반도체 칩은 제1 면 및 상기 제1 면에 반대하는 제2 면을 갖는 기판, 상기 제1 면 상에 구비되고 제1 접속 패드가 형성된 최외각 절연층을 갖는 층간 절연막, 및 상기 제2 면으로부터 상기 기판 및 상기 층간 절연막을 관통하여 상기 제1 접속 패드까지 연장하는 플러그 구조물을 포함한다. 상기 제2 반도체 칩은 상기 제2 면으로부터 노출된 상기 플러그 구조물과 접합되는 제2 접속 패드를 포함한다.
상기 본 발명의 다른 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 장치는 제1 면 및 상기 제1 면에 반대하는 제2 면을 갖는 기판, 상기 기판의 상기 제1 면 상에 구비되고 접속 패드가 형성된 최외각 절연층을 갖는 층간 절연막, 및 상기 기판의 상기 제2 면으로부터 상기 기판 및 상기 층간 절연막을 관통하여 상기 접속 패드까지 연장하는 플러그 구조물을 포함한다.
상기 본 발명의 또 다른 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 장치의 제조 방법에 있어서, 기판의 제1 면 상에, 제1 접속 패드가 형성된 최외각 절연층을 갖는 층간 절연막을 형성한다. 상기 기판의 상기 제1 면에 반대하는 제2 면으로부터 상기 기판 및 상기 층간 절연막을 관통하여 상기 제1 접속 패드를 노출시키는 비아 홀을 형성한다. 상기 비아 홀 내에 상기 제1 접속 패드와 전기적으로 연결되는 플러그 구조물을 형성한다.
예시적인 실시예들에 따르면, 반도체 패키지는 적어도 2개의 적층된 제1 및 제2 반도체 칩들을 포함할 수 있다. 상기 제1 반도체 칩의 상부면에 노출된 관통 실리콘 비아는 상기 제2 반도체 칩의 하부면의 접속 패드와 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding)에 의해 서로 접합될 수 있다. 또한, 상기 제1 반도체 칩의 기판을 관통하는 상기 관통 실리콘 비아는 상기 제1 반도체 칩의 하부면의 접속 패드와 접촉할 수 있다.
따라서, 적층된 제1 및 제2 반도체 칩들은 구리-구리 하이브리드 본딩 구조를 가질 수 있다. 또한, 상기 제1 반도체 칩의 형성 과정에 있어서, 상기 층간 절연막을 형성한 후에 상기 실리콘 관통 비아 콘택을 형성할 때(비아 라스트(via last) 형성 방법)에 있어서, 상기 관통 실리콘 비아가 상기 층간 절연막의 금속 배선(M1 금속)이 아닌 상기 접속 패드 상으로 랜딩됨으로써, CMP 연마 공정에 따른 전체 두께 편차(total thickness variation, TTV)에 기인한 구리 펀치 쓰루(Cu punch-through)가 발생하는 것을 방지할 수 있다.
다만, 본 발명의 효과는 상기 언급한 효과에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
도 1은 예시적인 실시예들에 따른 반도체 패키지를 나타내는 단면도이다.
도 2는 도 1의 A 부분을 나타내는 확대 단면도이다.
도 3 내지 도 14는 예시적인 실시예들에 따른 반도체 패키지의 제조 방법을 나타내는 단면도들이다.
도 15는 예시적인 실시예들에 따른 반도체 패키지를 나타내는 단면도이다.
도 16은 도 15의 B 부분을 나타내는 확대 단면도이다.
도 17은 예시적인 실시예들에 따른 반도체 패키지를 나타내는 단면도이다.
도 18은 도 17의 C 부분을 나타내는 확대 단면도이다.
도 19 내지 도 34는 예시적인 실시예들에 따른 반도체 패키지의 제조 방법을 나타내는 단면도들이다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다.
도 1은 예시적인 실시예들에 따른 반도체 패키지를 나타내는 단면도이다. 도 2는 도 1의 A 부분을 나타내는 확대 단면도이다.
도 1 및 도 2를 참조하면, 반도체 패키지(10)는 적층된 반도체 칩들을 포함할 수 있다. 반도체 패키지(10)는 패키지 기판(500), 제1 내지 제4 반도체 칩들(100, 200, 300, 400) 및 몰딩 부재(700)를 포함할 수 있다. 또한, 반도체 패키지(10)는 도전성 범프들(600) 및 외부 접속 단자들(800)을 더 포함할 수 있다.
패키지 기판(500)은 내부에 회로 패턴을 포함하는 인쇄회로기판(PCB)일 수 있다. 패키지 기판(500)의 상부면에는 기판 패드들이 구비되고, 패키지 기판(500)의 하부면에는 솔더 볼과 같은 외부 접속 단자들(800)이 구비될 수 있다.
복수 개의 반도체 칩들이 패키지 기판(500)의 상기 상부면 상에 적층될 수 있다. 본 실시예에서, 제1 반도체 칩(100)은 도 2에 도시된 제1 반도체 칩(100)의 구조와 실질적으로 동일한 구조를 가질 수 있다. 제2 내지 제4 반도체 칩들(200, 300, 400)은 도 2에 도시된 제1 반도체 칩(100)과 실질적으로 동일하거나 유사할 수 있다. 따라서, 동일하거나 유사한 구성요소들은 동일하거나 유사한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략할 수 있다.
제1 내지 제4 반도체 칩들(100, 200, 300, 400)이 패키지 기판(500) 상에 적층될 수 있다. 본 실시예에서는, 멀티-칩 패키지로서의 반도체 패키지가 4개의 적층된 반도체 칩들(100, 200, 300, 400)들을 포함하는 것으로 예시하였다. 하지만, 이에 제한되지 않음을 이해할 수 있을 것이다.
도전성 범프들(600)는 패키지 기판(500)과 제1 반도체 칩(100) 사이에 개재될 수 있다. 도전성 범프(600)는 패키지 기판(500)의 기판 패드와 제1 반도체 칩(100)의 접속 패드(136)를 전기적으로 연결시킬 수 있다.
제1 반도체 칩(100)은 기판(110), 층간 절연막(130), 제1 접속 패드(136), 제2 접속 패드(182), 및 관통 실리콘 비아(162)를 포함할 수 있다.
기판(110)은 서로 마주하는 제1 면(112) 및 제2 면(114)을 가질 수 있다. 제1 면(112)은 활성면이고, 제2 면(114)은 비활성면일 수 있다. 기판(110)의 제1 면(112) 상에는 회로 패턴(116)들이 구비될 수 있다. 예를 들면, 기판(110)은 단결정 실리콘 기판일 수 있다. 회로 패턴(116)들은 트랜지스터, 다이오드 등을 포함할 수 있다. 상기 회로 패턴들은 회로 소자들을 구성할 수 있다. 따라서, 제1 반도체 칩(100)는 내부에 다수개의 회로 소자들을 형성된 반도체 장치일 수 있다.
층간 절연막(130)은 기판(110)의 제1 면(112) 상에 형성될 수 있다. 층간 절연막(130)은 복수 개의 절연층들 및 상기 절연층들 내에 하부 배선들을 포함할 수 있다. 또한, 층간 절연막(130)의 최외각 절연층에는 제1 접속 패드(136)가 구비될 수 있다.
예를 들면, 층간 절연막(130)은 제1 층간 절연막(120) 및 제2 층간 절연막(121)을 포함할 수 있다.
제1 층간 절연막(120)은 기판(110)의 제1 면(112) 상에 회로 패턴(116)들을 커버할 수 있다. 제1 층간 절연막(120)은 예를 들어, 실리콘 산화물 또는 저유전 물질을 포함하도록 형성될 수 있다. 제1 층간 절연막(120)은 내부에 하부 배선들(118)을 포함할 수 있다.
제2 층간 절연막(121)은 복수 개의 서로 교대로 형성된 버퍼막들(122a, 122b, 122c, 122d, 122e) 및 절연막들(124a, 124b, 124c, 124d, 124e)을 포함할 수 있다. 예를 들면, 제1 내지 제5 버퍼막들(122a, 122b, 122c, 122d, 122e)은 실리콘 질화물, 실리콘 탄소 질화물, SiCON 등으로 형성할 수 있다. 제1 내지 제5 절연막들(124a, 124b, 124c, 124d, 124e)은 실리콘 산화물 또는 탄소 도핑된 실리콘 산화물로 형성할 수 있다.
제2 층간 절연막(121)은 내부에 복수 개의 금속 배선들을 포함할 수 있다. 예를 들면, 제2 층간 절연막(121)는 제1 및 제2 금속 배선들(132a, 132b)을 포함할 수 있다. 층간 절연막(130)의 최외곽 절연층에는 패드 배리어 패턴(136a) 및 패드 도전 패턴(136b)으로 이루어지는 제1 접속 패드(136)가 구비될 수 있다. 제1 접속 패드(136)는 층간 절연막(130)의 하부면을 통해 노출될 수 있다.
따라서, 회로 패턴(116)은 상기 하부 배선들 및 상기 금속 배선들에 의해 제1 접속 패드(136)와 전기적으로 연결될 수 있다.
제2 층간 절연막(121)은 2개의 금속 배선층들을 포함하도록 도시되어 있지만, 이에 제한되지 않음을 이해할 수 있을 것이다. BEOL(Back End Of Line) 금속 배선층으로서의 제2 층간 절연막(121)은 3개 이상의 금속 배선층들을 포함할 수 있다.
관통 실리콘 비아(162), 즉, 플러그 구조물은 제1 반도체 칩(100)의 비아 홀(152) 내에 구비될 수 있다. 플러그 구조물(162)은 기판(110)의 제2 면(114)으로부터 기판(110) 및 층간 절연막(130)을 수직 관통하여 제1 접속 패드(136)와 접촉할 수 있다.
비아 홀(152)은 서로 연통된 제1 비아 홀(152a) 및 제2 비아 홀(152b)을 포함할 수 있다. 기판(110)은 기판(110)의 제2 면(114)으로부터 제1 면(112)까지 수직하게 연장하는 제1 비아 홀(152a)을 가질 수 있다. 층간 절연막(130)은 기판(110)의 제1 면(112)으로부터 수직 관통하여 제1 접속 패드(136)의 패드 도전 패턴(136b)를 노출시키는 제2 비아 홀(152b)을 가질 수 있다.
플러그 구조물(162)은 배리어 패턴(156a) 및 도전 패턴(160a)을 포함할 수 있다. 배리어 패턴(156a)은 비아 홀(152)의 내면에 형성될 수 있다. 도전 패턴(160a)은 배리어 패턴(156a) 상에 비아 홀(152)을 채우도록 형성될 수 있다. 배리어 패턴(156a)은 제2 비아 홀(152b)을 통해 노출된 패드 도전 패턴(136b)와 접촉할 수 있다. 배리어 패턴(156a)은 예를 들어, 티타늄 질화물, 탄탈륨 질화물 등의 금속 질화물 및/또는 티타늄, 탄탈륨 등의 금속을 포함할 수 있다. 도전 패턴(160a)은 구리를 포함할 수 있다.
따라서, 플러그 구조물(162)의 하부면은 제1 접속 패드(136)의 패드 도전 패턴(136b)과 접촉할 수 있다. 플러그 구조물(162)의 상부면은 기판(110)의 제2 면(114)으로부터 노출될 수 있다. 플러그 구조물(162)의 상부면은 기판(110)의 제2 면(114)과 동일한 평면에 위치할 수 있다.
예시적인 실시예들에 있어서, 제1 반도체 칩(100)은 기판(110)의 제2 면(114) 상에 연마 정지막(140)을 더 포함할 수 있다. 이 경우에 있어서, 상기 플러그 구조물의 상부면은 연마 정지막(140)의 상부면과 동일한 평면에 위치할 수 있다.
기판(110)의 제2 면(114) 상에는 제2 접속 패드(182)를 갖는 절연막(180)이 배치될 수 있다. 제2 접속 패드(182)는 플러그 구조물(162)의 노출된 상부면 상에 배치될 수 있다. 제2 접속 패드(182)는 패드 배리어 패턴(182a) 및 패드 도전 패턴(182b)을 포함할 수 있다. 절연막(180)은 연마 정지막(140) 상에 구비될 수 있다. 유사하게, 제2 반도체 칩(200)은 기판(210), 층간 절연막(230), 제1 접속 패드(236), 제2 접속 패드(282) 및 플러그 구조물(262)을 포함할 수 있다.
제2 반도체 칩(200)의 제1 접속 패드(236)는 제1 반도체 칩(100)의 제2 접속 패드(182)를 향하도록 제2 반도체 칩(200)이 제1 반도체 칩(100) 상에 배치될 수 있다.
제1 반도체 칩(100)의 제2 접속 패드(182)와 제2 반도체 칩(200)의 제1 접속 패드(236)는 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding)에 의해 서로 접합될 수 있다.
이와 유사하게, 제2 반도체 칩(200)의 제2 접속 패드(282)와 제3 반도체 칩(300)의 제1 접속 패드(336)은 구리-구리 하이브리드 본딩에 의해 서로 접합될 수 있다. 제3 반도체 칩(300)의 제2 접속 패드(382)와 제4 반도체 칩(400)의 제1 접속 패드(436)은 구리-구리 하이브리드 본딩에 의해 서로 접합될 수 있다.
따라서, 적층된 반도체 패키지는 구리-대-구리 하이브리드 연결 구조(C2C hybrid bonding)를 가질 수 있다.
몰딩 부재(700)은 패키지 기판(500) 상에 제1 내지 제4 반도체 칩들(100, 200, 300, 400)을 덮도록 제공될 수 있다. 몰딩 부재(700)은 에폭시 몰딩 컴파운드(Epoxy Molding Compound: EMC) 물질을 포함할 수 있다.
상술한 바와 같이, 멀티-칩 패키지는 적어도 2개의 적층된 제1 및 제2 반도체 칩들(100, 200)을 포함할 수 있다. 제1 반도체 칩(100)의 제2 접속 패드(182)의 패드 도전 패턴(182b)는 제2 반도체 칩(200)의 제1 접속 패드(236)의 패드 도전 패턴(236b)와 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding)에 의해 서로 접합될 수 있다. 또한, 제1 반도체 칩(100)의 기판(110)을 관통하는 플러그 구조물(162)은 제1 반도체 칩(100)의 하부면의 제1 접속 패드(136)와 접촉할 수 있다.
따라서, 적층된 제1 및 제2 반도체 칩들(100, 200)은 구리-구리 하이브리드 본딩 구조를 가질 수 있다. 또한, 제1 반도체 칩(100)이 비아 라스트(via last) 구조를 가질 때, 플러그 구조물(162)이 층간 절연막(130)의 금속 배선(M1 금속)이 아닌 제1 접속 패드(136) 상으로 랜딩됨으로써, CMP 연마 공정에 따른 전체 두께 편차(total thickness variation, TTV)에 기인한 구리 펀치 쓰루(Cu punch-through)가 발생하는 것을 방지할 수 있다.
이하에서는, 도 1 및 도 2의 반도체 패키지를 제조하는 방법에 대하여 설명하기로 한다.
도 3 내지 도 14는 예시적인 실시예들에 따른 반도체 패키지의 제조 방법을 나타내는 단면도들이다.
도 3 및 도 4를 참조하면, 제1 웨이퍼의 기판(110)의 제1 면(112) 상에 제1 접속 패드(136)를 갖는 층간 절연막(130)을 형성할 수 있다.
먼저, 도 3에 도시된 바와 같이, 기판(110)의 제1 면(112) 상에 회로 패턴(116)을 형성한 후, 기판(110)의 제1 면(112) 상에 회로 패턴(116)들을 덮는 제1 층간 절연막(120)을 형성할 수 있다. 제1 층간 절연막(120) 내에 콘택들을 포함하는 하부 배선들(118)을 형성할 수 있다. 하부 배선들(118) 중 일부는 상부면이 제1 층간 절연막(120) 표면에 노출될 수 있다. 기판(110)은 서로 마주하는 제1 면(112) 및 제2 면(114)을 가질 수 있다. 제1 면(112)은 활성면이고, 제2 면(114)은 비활성면일 수 있다.
예를 들면, 기판(110)은 실리콘, 게르마늄, 실리콘-게르마늄 등과 같은 반도체 물질, 혹은 갈륨 인화물(GaP), 갈륨 비소(GaAs), 갈륨 안티모나이드(GaSb) 등과 같은 Ⅲ-Ⅴ족 화합물 반도체를 포함할 수 있다. 일부 실시예들에 따르면, 기판(110)은 실리콘-온-인슐레이터(Silicon-On-Insulator: SOI) 기판 또는 게르마늄-온-인슐레이터(Germanium-On-Insulator: GOI) 기판일 수 있다. 제1 층간 절연막(120)은 예를 들어, 실리콘 산화물 또는 저유전 물질을 포함하도록 형성될 수 있다.
도 4에 도시된 바와 같이, 제1 층간 절연막(120) 상에 제2 층간 절연막(121)을 형성할 수 있다.
제1 층간 절연막(120) 상에 제1 버퍼막(122a) 및 제1 절연막(124a)을 형성한 후, 제1 절연막(124a)의 일부분을 식각하여 하부 배선(116)을 노출시키는 제1 트렌치를 형성하고 상기 제1 트렌치 내에 제1 금속 배선(132a)을 형성할 수 있다. 제1 버퍼막(122a)은 식각 저지막으로 사용될 수 있다.
제1 절연막(124a) 상에 제2 버퍼막(122b) 및 제2 절연막(124b)를 형성한 후, 제2 절연막(124b)의 일부분을 식각하여 제1 금속 배선(132a)의 일부분을 노출시키는 제1 콘택 홀을 형성하고 상기 제1 콘택 홀 내에 제1 콘택(134a)을 형성할 수 있다. 제2 버퍼막(122b)은 식각 저지막으로 사용될 수 있다.
제2 절연막(124b) 상에 제3 버퍼막(122c) 및 제3 절연막(124c)을 형성한 후, 제3 절연막(124c)의 일부분을 식각하여 제1 콘택(134a)을 노출시키는 제2 트렌치를 형성하고 상기 제2 트렌치 내에 제2 금속 배선(132b)을 형성할 수 있다.
제3 절연막(124c) 상에 제4 버퍼막(122d) 및 제4 절연막(124d)를 형성한 후, 제4 절연막(124d)의 일부분을 식각하여 제2 금속 배선(132b)의 일부분을 노출시키는 제2 콘택 홀을 형성하고 상기 제2 콘택 홀 내에 제2 콘택(134b)을 형성할 수 있다.
제4 절연막(124d) 상에 제5 버퍼막(122e) 및 제5 절연막(124e)을 형성한 후, 제5 절연막(124e)의 일부분을 식각하여 제2 콘택(134b)을 노출시키는 제3 트렌치를 형성하고 상기 제3 트렌치 내에 제1 접속 패드(136)를 형성할 수 있다. 상기 제3 트렌치 내에는 패드 배리어 패턴(136a) 및 패드 도전 패턴(136b)를 형성할 수 있다. 패드 도전 패턴(136b)은 패드 배리어 패턴(136a) 상에 상기 제3 트렌치를 채우도록 형성될 수 있다.
패드 배리어 패턴(136a)은 예를 들어, 티타늄 질화물, 탄탈륨 질화물 등의 금속 질화물 및/또는 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있다. 패드 도전 패턴(136b)은 구리, 알루미늄, 금, 인듐, 니켈 등으로 이루어질 수 있으며, 이들은 단독으로 형성되는 것이 바람직하지만 2 이상을 포함할 수 있다. 이들 중, 반도체 소자의 제조 공정에서 가장 적합한 재료로는 구리이며, 본 실시예에서, 패드 도전 패턴(136b)은 구리로 이루어지는 것으로 설명한다. 즉, 층간 절연막(130)의 최외곽 절연층에는 패드 배리어 패턴(136a) 및 패드 도전 패턴(136b)으로 이루어지는 제1 접속 패드(136)가 구비될 수 있다. 제1 접속 패드(136)는 층간 절연막(130)의 외측면을 통해 노출될 수 있다. 여기서, 층간 절연막(130)의 상기 최외각 절연층은 재배선층일 수 있다.
예를 들면, 제1 내지 제5 버퍼막들(122a, 122b, 122c, 122d, 122e)은 실리콘 질화물, 실리콘 탄소 질화물(SiCN), SiCON 등으로 형성할 수 있다. 제1 내지 제5 절연막들(124a, 124b, 124c, 124d, 124e)은 실리콘 산화물 또는 탄소 도핑된 실리콘 산화물로 형성할 수 있다.
제2 층간 절연막(121)은 2개의 금속 배선층들을 포함하지만, 이에 제한되지 않음을 이해할 수 있을 것이다. BEOL(Back End Of Line) 금속 배선층으로서의 제2 층간 절연막(121)은 3개 이상의 금속 배선층들을 포함할 수 있다.
상기 최외각 절연층의 제1 접속 패드(136)의 두께는 상기 금속 배선층의 제1 금속 배선(132)의 두께보다 더 클 수 있다.
도 5를 참조하면, 기판(110)의 제2 면(114)을 연마한 후, 기판(110)의 연마된 제2 면(114) 상에 식각 공정을 위한 제1 포토레지스트 패턴(142)을 형성할 수 있다.
기판(110)의 제2 면(114)을 연마하여 기판(110)의 두께를 조절할 수 있다. 예를 들면, 기판(110)의 제2 면(114)을 그라인딩 공정을 통해 제거할 수 있다. 기판(110)의 제거되는 두께는 이후에 형성될 관통 실리콘 비아의 두께, 즉, 관통 전극의 두께, 적층 패키지의 두께 등을 고려하여 선택될 수 있다.
예시적인 실시예들에 있어서, 기판(110)의 연마된 제2 면(114) 상에 연마 정지막(140)을 형성할 수 있다. 연마 정지막(140)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄소 질화물, SiCON 등으로 형성할 수 있다.
연마 정지막(140) 상에 포토레지스트 막(도시되지 않음)을 형성한 후, 상기 포토레지스트 막을 패터닝하여 제1 포토레지스트 패턴(142)을 형성할 수 있다.
도 6을 참조하면, 기판(110)에 대하여 제1 식각 공정을 수행하여 제1 개구부(150)를 형성할 수 있다.
제1 포토레지스트 패턴(142)을 식각 마스크로 사용하여 연마 정지막(140) 및 기판(110)의 일부를 식각하여 층간 절연막(130)을 노출시킬 수 있다. 즉, 상기 제1 식각 공정은 층간 절연막(130)이 노출될 때까지 수행될 수 있다. 따라서, 제1 개구부(150)는 기판(110)의 제2 면(114)으로부터 제1 면(112)까지 연장할 수 있다.
상기 제1 식각 공정은 제1 식각 장치의 챔버 내에서 수행될 수 있다. 제1 공정 가스가 상기 제1 식각 장치의 상기 챔버 내로 공급될 수 있다. 예를 들면, 상기 제1 공정 가스는 플루오르 가스를 포함할 수 있다.
도 7 내지 도 9를 참조하면, 층간 절연막(130)의 일부를 식각하여 제1 접속 패드(136)를 노출시키는 비아 홀을 형성할 수 있다.
예시적인 실시예들에 있어서, 도 7에 도시된 바와 같이, 먼저, 층간 절연막(130)에 대하여 제2 식각 공정을 수행하여 제2 개구부(151)를 형성할 수 있다. 제1 포토레지스트 패턴(142)을 식각 마스크로 사용하여 제1 층간 절연막(120) 및 제2 층간 절연막(121)의 일부를 식각하여 제2 개구부(151)를 형성할 수 있다.
제2 개구부(151)는 제1 접속 패드(136)가 형성된 최외각 절연층을 제외한 상기 복수 개의 버퍼막들 및 절연막들을 관통하도록 형성될 수 있다. 예를 들면, 제2 개구부(151)는 제2 층간 절연막(121)의 상기 최외각 절연층 아래의 제5 버퍼막(122e)을 노출시킬 수 있다. 이와 다르게, 제2 개구부(151)는 제2 층간 절연막(121)의 제4 절연막(124d)의 일부분을 노출시킬 수 있다.
상기 제2 식각 공정은 제2 식각 장치의 챔버 내에서 수행될 수 있다. 상기 제1 공정 가스와 다른 제2 공정 가스가 상기 제2 식각 장치의 상기 챔버 내로 공급될 수 있다. 예를 들면, 상기 제2 공정 가스는 CF 계열의 가스를 포함할 수 있다.
상기 제2 식각 공정 수행한 후에, 제1 포토레지스트 패턴(142)을 기판(110)으로부터 제거할 수 있다.
이어서, 도 8에 도시된 바와 같이, 제2 개구부(151)의 측벽, 저면 및 연마 정지막(140) 상부면의 프로파일을 따라 라이너 막(154)을 형성할 수 있다. 제2 개구부(151) 내에 형성된 라이너 막(154)은 상기 비아 홀 내의 도전 물질을 절연시킬 수 있다. 라이너 막(154)은 실리콘 산화물 또는 탄소 도핑된 실리콘 산화물로 형성할 수 있다.
도 9를 참조하면, 라이너 막(154)에 대하여 제3 식각 공정을 수행하여 비아 홀(152)을 형성할 수 있다. 비아 홀(152)은 기판(110) 및 층간 절연막(130)을 수직하게 관통하여 제1 접속 패드(136)를 노출시킬 수 있다. 비아 홀(152)은 기판(110)을 관통하는 제1 비아 홀(152a) 및 층간 절연막(130)을 관통하여 제1 접속 패드(136)를 노출시키는 제2 비아 홀(152b)을 포함할 수 있다.
제2 포토레지스트 패턴(144)을 식각 마스크로 사용하여 라이너 막(154) 및 제2 층간 절연막(121)의 나머지 절연층들을 식각하여 비아 홀(152)을 형성할 수 있다. 즉, 상기 제3 식각 공정은 최외각 절연층의 제1 접속 패드(136)가 노출될 때까지 수행될 수 있다.
상기 제3 식각 공정에 의해 제1 접속 패드(136)의 패드 배리어 패턴(136a)의 일부가 제거될 수 있다. 따라서, 비아 홀(152)은 제1 접속 패드(136)의 패드 도전 패턴(136b)을 노출시킬 수 있다.
상기 제3 식각 공정 수행한 후에, 제2 포토레지스트 패턴(144)을 기판(110)으로부터 제거할 수 있다. 상기 제3 식각 공정은 제2 포토레지스트 패턴(144) 없이 수행될 수 있다.
도 10 내지 도 12를 참조하면, 비아 홀(152) 내에 제1 접속 패드(136)와 접촉하는 관통 실리콘 비아, 즉, 플러그 구조물을 형성할 수 있다.
도 10에 도시된 바와 같이, 먼저, 라이너 막(154) 상에 배리어 금속막(156)을 형성할 수 있다. 배리어 금속막(156)은 예를 들어, 티타늄 질화물, 탄탈륨 질화물 등의 금속 질화물 및/또는 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있다.
이어서, 배리어 금속막(156) 상에 시드막(도시되지 않음)을 형성할 수 있다. 상기 시드막은 후속의 도전막(156)을 형성하기 위한 도금 공정에서 전극으로 사용되는 막이다. 일 예로, 상기 시드막은 물리 기상 증착(PVD) 공정을 수행하여 구리를 증착시켜 형성할 수 있다.
도 11에 도시된 바와 같이, 상기 시드막 상에 비아 홀(152) 내부를 채우도록 도전막(160)을 형성할 수 있다. 도전막(160)은 저저항의 금속 물질로 형성된다. 본 실시예에서, 도전막(160)은 전해 도금법, 무전해 도금법, 전자 융합법(Electrografting), 물리 기상 증착법 등에 의해 구리를 증착시켜 형성할 수 있다. 도전막(160)을 형성하고 난 다음, 도전막(160)을 열처리하는 공정을 더 수행할 수도 있다.
이와는 다른 예로, 도전막(160)은 구리 이외에도 저저항을 갖는 다른 금속들을 증착시켜 형성할 수도 있다. 상기 도전막은 알루미늄, 금, 인듐, 니켈 등으로 이루어질 수도 있다. 그러나, 상기 도전막은 후속하는 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding) 공정에 적합하고 저저항을 갖는 구리로 형성하는 것이 바람직하다.
도 12에 도시된 바와 같이, 도전막(160), 배리어 금속막(156) 및 라이너 막(154)을 화학기계적 연마 공정을 통해 연마하여 상기 관통 실리콘 비아(상기 플러그 구조물)를 형성할 수 있다. 상기 플러그 구조물은 배리어 패턴(156a) 및 도전 패턴(160a)을 포함할 수 있다. 이 때, 연마 정지막(140)은 일부 남아있을 수도 있다. 상기 플러그 구조물의 배리어 패턴(156a)은 제1 접속 패드(136)의 패드 도전 패턴(136b)과 직접 접촉할 수 있다.
따라서, 상기 관통 실리콘 비아는 최외각의 제1 접속 패드(136)와 직접 접촉할 수 있다.
도 13을 참조하면, 기판(110)의 제2 면(114) 상에 제2 접속 패드(182)를 갖는 절연막(180)을 형성할 수 있다. 제2 접속 패드(182)는 상기 플러그 구조물의 상부면 상에 형성될 수 있다.
기판(110)의 제2 면(114) 상에 절연막(180)을 형성한 후, 절연막(180)의 일부분을 식각하여 상기 플러그 구조물의 상부면을 노출시키는 제4 트렌치를 형성하고 상기 제4 트렌치 내에 제2 접속 패드(182)를 형성할 수 있다. 상기 제4 트렌치 내에는 패드 배리어 패턴(182a) 및 패드 도전 패턴(182b)을 형성할 수 있다. 패드 도전 패턴(182b)은 패드 배리어 패턴(182a) 상에 상기 제4 트렌치를 채우도록 형성될 수 있다.
패드 도전 패턴(182b)은 구리, 알루미늄, 금, 인듐, 니켈 등으로 이루어질 수 있으며, 이들은 단독으로 형성되는 것이 바람직하지만 2 이상을 포함할 수 있다. 이들 중, 반도체 소자의 제조 공정에서 가장 적합한 재료로는 구리이며, 본 실시예에서, 패드 도전 패턴(182b)은 구리로 이루어지는 것으로 설명한다. 예를 들면, 절연막(180)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄소 질화물(SiCN), SiCON 등으로 형성할 수 있다.
도 14를 참조하면, 상기 제1 웨이퍼 상에 제2 웨이퍼를 본딩하여 상기 제1 웨이퍼의 제1 반도체 칩(100) 상에 상기 제2 웨이퍼의 제2 반도체 칩(200)을 적층할 수 있다. 이어서, 이와 유사하게, 상기 제2 웨이퍼의 제2 반도체 칩(200) 상에 제3 및 제4 웨이퍼들의 제3 및 제4 반도체 칩들(300, 400)을 순차적으로 적층한 후 적층된 웨이퍼들을 소잉하여 도 1의 적층형 반도체 장치로서의 반도체 패키지(10)를 완성할 수 있다.
예시적인 실시예들에 있어서, 제1 반도체 칩(100)의 최외각 절연층의 제2 접속 패드(182)와 제2 반도체 칩(200)의 최외각 절연층의 제1 접속 패드(236)를 서로 접합시킬 수 있다.
제1 반도체 칩(100)의 제2 접속 패드(182)와 제2 반도체 칩(200)의 제1 접속 패드(236)는 구리-구리 하이브리드 본딩 공정에 의해 서로 접합될 수 있다. 이 때, 열처리 공정이 수반될 수 있다. 상기 열처리 공정 수행 시, 제1 반도체 칩(100)의 제2 접속 패드(182)의 패드 도전 패턴(182b) 및 제2 반도체 칩(200)의 제1 접속 패드(236)의 패드 도전 패턴(236b)은 열적으로 팽창하여 서로 접촉할 수 있다.
예시적인 실시예들에 있어서, 제1 반도체 칩(100)을 포함하는 상기 제1 웨이퍼와 제2 반도체 칩(200)을 포함하는 상기 제2 웨이퍼가 웨이퍼-대-웨이퍼 본딩에 의해 서로 접합될 때, 제1 반도체 칩(100)의 제2 접속 패드(182)와 제2 반도체 칩(200)의 제1 접속 패드(236)는 구리-구리 하이브리드 본딩에 의해 서로 접합될 수 있다.
상기 금속 배선층을 형성한 후에 상기 관통 실리콘 비아를 형성할 때(비아 라스트(via last) 공정), 상기 관통 실리콘 비아는 층간 절연막(130)의 제1 금속 배선(132a)(M1 금속)이 아닌 최외각 절연층의 접속 패드(136)에 직접 랜딩하도록 형성될 수 있다.
접속 패드(136)는 제1 금속 배선(132)보다 더 두꺼운 두께를 가지고 있으므로, CMP 연마 공정에 따른 전체 두께 편차(total thickness variation, TTV)에 기인한 구리 펀치 쓰루(Cu punch-through)가 발생하는 것을 방지할 수 있다.
도 15는 예시적인 실시예들에 따른 반도체 패키지를 나타내는 단면도이다. 도 16은 도 15의 B 부분을 나타내는 확대 단면도이다. 상기 반도체 패키지는 반도체 장치들의 구성을 제외하고는 도 1을 참조로 설명한 반도체 패키지와 실질적으로 동일하거나 유사하다. 이에 따라, 동일하거나 유사한 구성요소들에 대해서는 동일하거나 유사한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 15 및 도 16을 참조하면, 반도체 패키지(11)는 패키지 기판(500) 상에 적층된 제1 내지 제4 반도체 칩들(100, 200, 300, 400)을 포함할 수 있다.
제1 반도체 칩(100)은 기판(110), 층간 절연막(130), 접속 패드(136) 및 플러그 구조물(162)를 포함할 수 있다. 유사하게, 제2 반도체 칩(200)은 기판(210), 층간 절연막(230), 접속 패드(236) 및 플러그 구조물(262)을 포함할 수 있다.
제2 반도체 칩(200)의 접속 패드(236)가 제1 반도체 칩(100)의 노출된 플러그 구조물(162)의 상부면을 향하도록 제2 반도체 칩(200)이 제1 반도체 칩(100) 상에 배치될 수 있다.
제1 반도체 칩(100)의 플러그 구조물(162)의 도전 패턴(160a)과 제2 반도체 칩(200)의 접속 패드(236)는 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding)에 의해 서로 접합될 수 있다.
이와 유사하게, 제2 반도체 칩(200)의 플러그 구조물(262)과 제3 반도체 칩(300)의 접속 패드(336)는 구리-구리 하이브리드 본딩에 의해 서로 접합될 수 있다. 제3 반도체 칩(300)의 플러그 구조물(360)과 제4 반도체 칩(400)의 접속 패드(436)는 구리-구리 하이브리드 본딩에 의해 서로 접합될 수 있다.
따라서, 적층된 반도체 장치들은 패드-대-TSV 연결 구조(pad to TSV interconnection)를 가질 수 있다.
이하에서는, 도 15의 반도체 패키지를 제조하는 방법에 대하여 설명하기로 한다.
먼저, 도 3 내지 도 12를 참조하여 설명한 공정들을 수행하여 제1 웨이퍼의 기판(110)의 제1 면(112) 상에 층간 절연막(130)을 형성하고, 기판(110)의 제2 면(114)으로부터 연장하여 층간 절연막(130)의 최외각 접속 패드(136)와 접촉하는 관통 실리콘 비아를 형성할 수 있다.
이어서, 상기 제1 웨이퍼 상에 제2 웨이퍼를 본딩하여 상기 제1 웨이퍼의 제1 반도체 칩(100) 상에 상기 제2 웨이퍼의 제2 반도체 칩(200)을 적층할 수 있다. 이어서, 이와 유사하게, 상기 제2 웨이퍼의 제2 반도체 칩(200) 상에 제3 및 제4 웨이퍼들의 제3 및 제4 반도체 칩들(300, 400)을 순차적으로 적층한 후 적층된 웨이퍼들을 소잉하여 도 15의 적층형 반도체 장치로서의 반도체 패키지(11)를 완성할 수 있다.
예시적인 실시예들에 있어서, 제1 반도체 칩(100)의 상기 플러그 구조물의 도전 패턴(160a)과 제2 반도체 칩(200)의 최외각 절연층의 접속 패드(236)를 서로 접합시킬 수 있다.
제1 반도체 칩(100)의 플러그 구조물(162)과 제2 반도체 칩(200)의 접속 패드(236)는 구리-구리 하이브리드 본딩 공정에 의해 서로 접합될 수 있다. 이 때, 열처리 공정이 수반될 수 있다. 상기 열처리 공정 수행 시, 제1 반도체 칩(100)의 도전 패턴(160a) 및 제2 반도체 칩(200)의 패드 도전 패턴(236a)은 열적으로 팽창하여 서로 접촉할 수 있다.
예시적인 실시예들에 있어서, 제1 반도체 칩(100)을 포함하는 제1 웨이퍼와 제2 반도체 칩(200)을 포함하는 제2 웨이퍼가 웨이퍼-대-웨이퍼 본딩에 의해 서로 접합될 때, 제1 반도체 칩(100)의 상기 관통 실리콘 비아와 제2 반도체 칩(200)의 접속 패드(236)는 구리-구리 하이브리드 본딩에 의해 서로 접합될 수 있다.
도 17은 예시적인 실시예들에 따른 반도체 패키지를 나타내는 단면도이다. 도 18은 도 17의 C 부분을 나타내는 확대 단면도이다. 상기 반도체 패키지는 반도체 장치들의 구성을 제외하고는 도 1을 참조로 설명한 반도체 패키지와 실질적으로 동일하거나 유사하다. 이에 따라, 동일하거나 유사한 구성요소들에 대해서는 동일하거나 유사한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 17 및 도 18을 참조하면, 반도체 패키지(12)는 적층된 복수 개의 반도체 칩들을 포함할 수 있다. 반도체 패키지(12)는 고대역폭 메모리(HBM, High Bandwidth Memory) 장치를 포함할 수 있다.
예시적인 실시예들에 있어서, 반도체 패키지(12)는 순차적으로 적층된 버퍼 다이(1100) 및 제1 내지 제3 메모리 다이들(1200, 1300, 1400)을 포함할 수 있다. 버퍼 다이(1100) 및 제1 내지 제3 메모리 다이들(1200, 1300, 1400)은 실리콘 관통 비아들(TSVs)에 의해 전기적으로 연결될 수 있다. 버퍼 다이(1100) 및 제1 내지 제3 메모리 다이들(1200, 1300, 1400)은 상기 실리콘 관통 비아들을 통해 데이터 신호 및 제어 신호를 통신할 수 있다. 본 실시예에서는, 고대역폭 메모리 장치로서 4개의 적층된 다이들(칩들)을 포함하는 것으로 예시하였다. 하지만, 이에 제한되지 않음을 이해할 수 있을 것이다.
버퍼 다이(1100)는 기판(1110), 층간 절연막(1130), 제1 접속 패드(1136), 제2 접속 패드(1182) 및 관통 실리콘 비아, 즉, 플러그 구조물(1152)을 포함할 수 있다. 층간 절연막(1130)은 기판(1110)의 제1 면, 즉, 활성면 상에 형성될 수 있다. 층간 절연막(1130)의 최외각 절연층에는 제1 접속 패드(1136)가 구비될 수 있다. 플러그 구조물(1152)은 기판(1110)을 관통하도록 구비될 수 있다. 플러그 구조물(1152)의 하부면은 층간 절연막(1130)의 제1 금속 배선과 접촉할 수 있다. 플러그 구조물(1152)은 층간 절연막(1130) 내의 상기 제1 금속 배선을 포함한 배선 구조물에 의해 접속 패드(1136)와 전기적으로 연결될 수 있다.
제1 메모리 다이(1200)는 기판(1210), 층간 절연막(1230), 제1 접속 패드(1236), 제2 접속 패드(1282) 및 관통 실리콘 비아, 즉, 플러그 구조물(1262)을 포함할 수 있다. 층간 절연막(1230)은 기판(1210)의 제1 면, 즉, 활성면 상에 형성될 수 있다. 층간 절연막(1230)의 최외각 절연층에는 제1 접속 패드(1236)가 구비될 수 있다. 플러그 구조물(1262)은 기판(1210)을 관통하도록 구비될 수 있다. 플러그 구조물(1262)의 상부면은 층간 절연막(1230)의 제1 접속 패드(1236)와 접촉할 수 있다. 플러그 구조물(1262)의 하부면은 제2 접속 패드(1282)와 접촉할 수 있다.
제2 메모리 다이(1300)는 기판(1310), 층간 절연막(1330), 제1 접속 패드(1336), 제2 접속 패드(1382) 및 관통 실리콘 비아, 즉, 플러그 구조물(1352)을 포함할 수 있다. 층간 절연막(1330)은 기판(1310)의 제1 면, 즉, 활성면 상에 형성될 수 있다. 층간 절연막(1330)의 최외각 절연층에는 제1 접속 패드(1336)가 구비될 수 있다. 플러그 구조물(1352)은 기판(1310)을 관통하도록 구비될 수 있다. 플러그 구조물(1352)의 상부면은 층간 절연막(1330)의 제1 금속 배선과 접촉할 수 있다. 플러그 구조물(1352)의 하부면은 제2 접속 패드(1382)와 접촉할 수 있다. 플러그 구조물(1352)은 층간 절연막(1330) 내의 상기 제1 금속 배선을 포함한 배선 구조물에 의해 제1 접속 패드(1336)와 전기적으로 연결될 수 있다.
제3 메모리 다이(1400)는 기판(1410), 층간 절연막(1430) 및 접속 패드(1436)을 포함할 수 있다. 층간 절연막(1430)은 기판(1410)의 제1 면, 즉, 활성면 상에 형성될 수 있다. 층간 절연막(1430)의 최외각 절연층에는 접속 패드(1436)가 구비될 수 있다.
도전성 범프들(1600)는 패키지 기판(1500)과 버퍼 다이(1100) 사이에 개재될 수 있다. 도전성 범프(1600)는 패키지 기판(1500)의 기판 패드와 버퍼 다이(1100)의 제1 접속 패드(1136) 사이에 개재되어 이들을 전기적으로 연결시킬 수 있다.
도전성 범프들(1190)은 버퍼 다이(1100)와 제1 메모리 다이(1200) 사이에 개재될 수 있다. 도전성 범프(1190)는 버퍼 다이(1100)의 제2 접속 패드(1182)와 제1 메모리 다이(1200)의 제2 접속 패드(1282) 사이에 개재되어 이들을 전기적으로 연결시킬 수 있다.
제1 메모리 다이(1200)의 제1 접속 패드(1236)와 제2 메모리 다이(1300)의 제2 접속 패드(1382)는 서로 접촉할 수 있다. 제1 메모리 다이(1200)의 제1 접속 패드(1236)와 제2 메모리 다이(1300)의 제2 접속 패드(1382)는 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding)에 의해 서로 접합될 수 있다.
도전성 범프들(1390)은 제2 메모리 다이(1300)와 제3 메모리 다이(1400) 사이에 개재될 수 있다. 도전성 범프(1390)는 제2 메모리 다이(1300)의 제1 접속 패드(1336)와 제3 메모리 다이(1400)의 제1 접속 패드(1436) 사이에 개재되어 이들을 전기적으로 연결시킬 수 있다.
몰딩 부재(1700)은 패키지 기판(1500) 상에 버퍼 다이(1100) 및 제1 내지 제3 메모리 다이들(1100, 1200, 1300, 1400)을 덮도록 제공될 수 있다. 몰딩 부재(1700)은 에폭시 몰딩 컴파운드(Epoxy Molding Compound: EMC) 물질을 포함할 수 있다.
상술한 바와 같이, HBM 메모리 장치는 적어도 2개의 적층된 다이들(1100, 1200, 1300, 1400)을 포함할 수 있다. 제1 메모리 다이(1200)의 제2 접속 패드(1282)은 제2 메모리 다이(1300)의 제2 접속 패드(1382)와 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding)에 의해 서로 접합될 수 있다. 또한, 제1 메모리 다이(1200)의 기판(1210)을 관통하는 플러그 구조물(1260)은 제1 메모리 다이(1200)의 하부면의 제1 접속 패드(1236)와 접촉할 수 있다.
이하에서는, 도 17의 반도체 패키지를 제조하는 방법에 대하여 설명하기로 한다.
도 19 내지 도 34는 예시적인 실시예들에 따른 반도체 패키지의 제조 방법을 나타내는 단면도들이다. 도 23은 도 22의 D 부분을 나타내는 확대 단면도이다. 도 25는 도 24의 E 부분을 나타내는 확대 단면도이다. 도 27은 도 26의 F 부분을 나타내는 확대 단면도이다. 도 29는 도 28의 G 부분을 나타내는 확대 단면도이다. 도 31은 도 30의 H 부분을 나타내는 확대 단면도이다.
도 19 및 도 20을 참조하면, 제1 웨이퍼(W1) 상에 제2 웨이퍼(W2)를 적층시킬 수 있다.
예시적인 실시예들에 있어서, 제1 캐리어 기판(C1) 상에 제1 웨이퍼(W)를 배치시킨 후, 제1 웨이퍼(W1) 상에 제2 웨이퍼(W2)를 적층시킬 수 있다.
제1 웨이퍼(W1)는 기판(1410), 층간 절연막(1430) 및 접속 패드(1436)를 포함할 수 있다. 층간 절연막(1430)은 기판(1410)의 제1 면 상에 구비될 수 있다. 접속 패드(1436)는 층간 절연막(1430)의 최외각 절연층에 구비될 수 있다. 기판(1410)은 회로 패턴들 및 셀들이 형성된 다이 영역(DA) 및 다이 영역(DA)을 둘러싸는 스크라이브 영역(SA)을 포함할 수 있다. 및 기판(1410)은 제1 웨이퍼(W1)의 복수 개의 다이 영역들(DA)을 구분하는 스크라이브 영역(SA)을 따라 절단되어 개별화될 수 있다.
제2 웨이퍼(W2)는 기판(1310), 층간 절연막(1330), 제1 접속 패드(1346), 제2 접속 패드(1382) 및 플러그 구조물(1352)을 포함할 수 있다. 층간 절연막(1330)은 기판(1310)의 제1 면 상에 구비될 수 있다. 제1 접속 패드(1346)는 층간 절연막(1330)의 최외각 절연층에 구비될 수 있다. 제2 접속 패드(1382)는 기판(1310)의 상기 제1 면에 반대하는 제2 면 상에 형성된 절연막(1380)에 구비될 수 있다.
제2 웨이퍼(W2)의 기판(1310)의 상기 제1 면, 즉, 활성면이 제1 웨이퍼(W1)의 기판(1410)의 상기 제1 면, 즉, 활성면을 향하도록 적층될 수 있다. 제2 웨이퍼(W2)는 비도전성 필름(non conductive film)과 같은 접착 필름(1392)을 이용하여 제1 웨이퍼(W1)에 부착될 수 있다. 도전성 범프들(1390)을 제1 웨이퍼(W1)와 제2 웨이퍼(W2) 사이에 개재하여 제1 웨이퍼(W1) 상에 제2 웨이퍼(W2)를 적층시킬 수 있다. 제1 웨이퍼(W1))의 접속 패드(1436)와 제2 웨이퍼(W2)의 제1 접속 패드(1336)는 도전성 범프(1390)에 의해 전기적으로 연결될 수 있다.
제1 웨이퍼(W1)는 관통 실리콘 비아를 포함하지 않을 수 있다. 제1 웨이퍼(W1)의 기판(1410)의 후면은 그라인딩되지 않을 수 있다. 제1 웨이퍼(W1)의 기판(1410)의 두께는 제2 웨이퍼(W2)의 기판(1310)의 두께보다 클 수 있다.
도 21을 참조하면, 제2 웨이퍼(W2) 상에 제3 웨이퍼(W3)를 적층시킬 수 있다.
예시적인 실시예들에 있어서, 제3 웨이퍼(W3)는 기판(1210), 층간 절연막(1230) 및 제1 접속 패드(1236)을 포함할 수 있다. 층간 절연막(1230)은 기판(1210)의 제1 면 상에 구비될 수 있다. 제1 접속 패드(1236)는 층간 절연막(1230)의 최외각 절연층에 구비될 수 있다.
제3 웨이퍼(W3)의 기판(1210)의 상기 제1 면, 즉, 활성면이 제2 웨이퍼(W2)를 향하도록 적층될 수 있다. 제2 웨이퍼(W2))의 제2 접속 패드(1382)와 제3 웨이퍼(W3)의 제1 접속 패드(1236)는 서로 접촉할 수 있다. 제2 웨이퍼(W2)와 제3 웨이퍼(W3)가 웨이퍼-대-웨이퍼 본딩에 의해 서로 접합될 때, 제2 웨이퍼(W2)의 제2 접속 패드(1382)와 제3 웨이퍼(W3)의 제1 접속 패드(1236)는 구리-구리 하이브리드 본딩(Cu-Cu Hybrid Bonding)에 의해 서로 접합될 수 있다.
도 22 및 도 23을 참조하면, 제3 웨이퍼(W3)의 기판(1210)의 제2 면(1214) 상에 식각 공정을 위한 제1 포토레지스트 패턴(1242)을 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 포토레지스트 패턴(1242)을 형성하기 전에, 기판(1210)의 제2 면(1214)을 연마하여 기판(1210)의 두께를 조절할 수 있다. 예를 들면, 기판(1210)의 제2 면(1214)을 그라인딩 공정을 통해 제거할 수 있다. 기판(1210)의 제거되는 두께는 이후에 형성될 관통 실리콘 비아의 두께, 즉, 관통 전극의 두께, 적층 패키지의 두께 등을 고려하여 선택될 수 있다.
또한, 기판(1210)의 연마된 제2 면(1214) 상에 연마 정지막(1240)을 형성할 수 있다. 연마 정지막(1240)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄소 질화물, SiCON 등으로 형성할 수 있다.
연마 정지막(1240) 상에 포토레지스트 막(도시되지 않음)을 형성한 후, 상기 포토레지스트 막을 패터닝하여 제1 포토레지스트 패턴(1242)을 형성할 수 있다.
도 24 및 도 25를 참조하면, 제3 웨이퍼(W3)의 기판(1210)에 대하여 제1 식각 공정을 수행하여 제1 개구부(1250)을 형성할 수 있다.
제1 포토레지스트 패턴(1242)을 식각 마스크로 사용하여 연마 정지막(1240) 및 기판(1210)의 일부를 식각하여 층간 절연막(1230)을 노출시킬 수 있다. 즉, 상기 제1 식각 공정은 층간 절연막(1230)이 노출될 때까지 수행될 수 있다. 따라서, 제1 개구부(1250)는 기판(1210)의 제2 면(1214)으로부터 제1 면(1212)까지 연장할 수 있다.
도 26 및 도 27을 참조하면, 층간 절연막(1230)의 일부를 식각하여 제1 접속 패드(1236)를 노출시키는 비아 홀(1252)을 형성할 수 있다.
예시적인 실시예들에 있어서, 먼저, 층간 절연막(1230)에 대하여 제2 식각 공정을 수행하여 제1 접속 패드(1236)가 형성된 최외각 절연층을 제외한 복수 개의 버퍼막들 및 절연막들을 관통하도록 제2 개구부를 형성할 수 있다. 이어서, 제1 포토레지스트 패턴(1242)을 기판(1310)으로부터 제거한 후, 상기 제2 개구부의 측벽, 저면 및 연마 정지막(1240) 상부면의 프로파일을 따라 라이너 막(1254)을 형성할 수 있다. 라이너 막(1254)은 실리콘 산화물 또는 탄소 도핑된 실리콘 산화물로 형성할 수 있다.
이후, 제2 포토레지스트 패턴(1244)을 식각 마스크로 사용하여 라이너 막(1254) 및 제2 층간 절연막(1221)의 나머지 절연층들을 식각하여 비아 홀(1252)을 형성할 수 있다. 즉, 상기 식각 공정은 최외각 절연층의 접속 패드(1236)가 노출될 때까지 수행될 수 있다. 상기 식각 공정 수행한 후에, 제2 포토레지스트 패턴(1244)을 기판(1210)으로부터 제거할 수 있다. 이와 다르게, 상기 식각 공정은 제2 포토레지스트 패턴(1244) 없이 수행될 수 있다.
도 28 및 도 29는 비아 홀(1252) 내에 제1 접속 패드(1236)와 접촉하는 관통 실리콘 비아, 즉, 플러그 구조물(1260)을 형성할 수 있다.
예시적인 실시예들에 있어서, 먼저, 라이너 막(1254) 상에 배리어 금속막을 형성할 수 있다. 상기 배리어 금속막은 예를 들어, 티타늄 질화물, 탄탈륨 질화물 등의 금속 질화물 및/또는 티타늄, 탄탈륨 등의 금속을 포함하도록 형성될 수 있다.
이어서, 상기 배리어 금속막 상에 시드막(도시되지 않음)을 형성하고, 상기 시드막 상에 비아 홀(1252) 내부를 채우도록 도전막을 형성할 수 있다. 일 예로, 상기 시드막은 물리 기상 증착(PVD) 공정을 수행하여 구리를 증착시켜 형성할 수 있다. 상기 도전막은 저저항의 금속 물질로 형성된다. 본 실시예에서, 상기 도전막은 전해 도금법, 무전해 도금법, 전자 융합법(Electrografting), 물리 기상 증착법 등에 의해 구리를 증착시켜 형성할 수 있다.
이 후, 상기 배리어 금속막 및 상기 라이너 막을 화학기계적 연마 공정을 통해 연마하여 관통 실리콘 비아, 즉 플러그 구조물(1260)을 형성할 수 있다. 플러그 구조물(1260)은 배리어 패턴(1256a) 및 도전 패턴(1260a)을 포함할 수 있다. 이 때, 연마 정지막(1240)은 일부 남아있을 수도 있다. 상기 플러그 구조물의 배리어 패턴(1256a)은 제1 접속 패드(1236)의 패드 도전 패턴(1236b)과 직접 접촉할 수 있다.
따라서, 상기 관통 실리콘 비아는 최외각의 제1 접속 패드(1236)와 직접 접촉할 수 있다.
도 30 및 도 31을 참조하면, 제3 웨이퍼(W3)의 기판(1210)의 제2 면(1214) 상에 제2 접속 패드(1282)를 갖는 절연막(1280)을 형성할 수 있다. 제2 접속 패드(1282)는 플러그 구조물(1262)의 상부면 상에 형성될 수 있다.
기판(1210)의 제2 면(1214) 상에 절연막(1280)을 형성한 후, 절연막(1280)의 일부분을 식각하여 플러그 구조물(1262)의 상부면을 노출시키는 제4 트렌치를 형성하고 상기 제4 트렌치 내에 제2 접속 패드(1282)를 형성할 수 있다. 상기 제4 트렌치 내에는 패드 배리어 패턴(1282a) 및 패드 도전 패턴(1282b)을 형성할 수 있다. 패드 도전 패턴(1282b)은 패드 배리어 패턴(1282a) 상에 상기 제4 트렌치를 채우도록 형성될 수 있다.
도 32 및 도 33을 참조하면, 적층된 제1 내지 제3 웨이퍼들(W1, W2, W3)을 소잉하여 적층된 제1 내지 3 메모리 다이들(1200, 1300, 1400)을 형성한 후, 적층된 제1 내지 제3 메모리 다이들(1200, 1300, 1400)을 제4 웨이퍼(W4) 상에 적층시킬 수 있다.
예시적인 실시예들에 있어서, 제2 캐리어 기판(C1) 상에 제4 웨이퍼(W4)를 배치시킨 후, 제4 웨이퍼(W4) 상에 적층된 제1 내지 3 메모리 다이들(1200, 1300, 1400)을 적층시킬 수 있다.
제4 웨이퍼(W4)는 기판(1110), 층간 절연막(1130), 제1 접속 패드(1136), 제2 접속 패드(1182) 및 플러그 구조물(1152)을 포함할 수 있다. 층간 절연막(1130)은 기판(1110)의 제1 면 상에 구비될 수 있다. 제1 접속 패드(1136)는 층간 절연막(1130)의 최외각 절연층에 구비될 수 있다. 제2 접속 패드(1182)는 기판(1110)의 상기 제1 면에 반대하는 제2 면 상에 형성된 절연막(1180)에 구비될 수 있다.
제1 메모리 다이(1200)의 상기 제2 면이 제4 웨이퍼(W2)의 기판(1110)의 상기 제2 면을 향하도록 적층될 수 있다. 제1 메모리 다이(1200)는 비도전성 필름(non conductive film)과 같은 접착 필름(1192)을 이용하여 제1 웨이퍼(W1)에 부착될 수 있다. 도전성 범프들(1190)을 제4 웨이퍼(W4)와 제1 메모리 다이(1200) 사이에 개재할 수 있다. 제4 웨이퍼(W4)의 제2 접속 패드(1182)와 제1 메모리 다이(1200)의 제2 접속 패드(1282)는 도전성 범프(1190)에 의해 전기적으로 연결될 수 있다.
도 34를 참조하면, 제4 웨이퍼(W4)를 소잉하여 적층 구조물(버퍼 다이(1100) 및 제1 내지 제3 메모리 다이들(1200, 1300, 1400))을 형성하고, 상기 적층된 구조물을 패키지 기판(1500) 상에 실장시킬 수 있다.
도전성 범프들(1600)을 패키지 기판(1500)과 버퍼 다이(1100) 사이에 개재하여 상기 적층 구조물을 적층시킬 수 있다. 도전성 범프(1600)는 패키지 기판(1500)의 기판 패드와 버퍼 다이(1100)의 제1 접속 패드(1136) 사이에 개재되어 이들을 전기적으로 연결시킬 수 있다.
이어서, 패키지 기판(1500)의 상부면 상에 버퍼 다이(1100) 및 제1 내지 제3 메모리 다이들(1200, 1300, 1400)을 커버하는 몰딩 부재를 형성한 후, 패키지 기판(1500)의 하부면 상의 외부 접속 패드들 상에 외부 접속 부재들을 형성하여 도 17의 반도체 패키지(12)를 완성할 수 있다.
상기 반도체 장치 및 상기 반도체 패키지는 컴퓨팅 시스템과 같은 다양한 형태의 시스템들에 사용될 수 있다. 상기 반도체 장치는 fin FET, DRAM, VNAND 등을 포함할 수 있다. 상기 반도체 패키지는, 예를 들어 중앙처리장치(CPU, MPU), 애플리케이션 프로세서(AP) 등과 같은 로직 소자, 예를 들어 에스램(SRAM) 장치, 디램(DRAM) 장치, 고대역폭 메모리(HBM) 장치 등과 같은 휘발성 메모리 장치, 예를 들어 플래시 메모리 장치, 피램(PRAM) 장치, 엠램(MRAM) 장치, 알램(RRAM) 장치 등과 같은 불휘발성 메모리 장치, 및 씨모스 이미지 센서(CMOS Image Sensor: CIS)를 포함할 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
10, 11, 12: 반도체 패키지 100, 200, 300, 400: 반도체 칩
110, 210, 1110, 1210, 1310, 1410: 기판
112, 212, 1312, 1412: 제1 면
114, 1314: 제2 면 116, 216, 1316, 1416: 회로 패턴
118, 218, 1318: 하부 배선 120, 220, 1320, 1420: 제1 층간 절연막
121, 221, 1321, 1421: 제2 층간 절연막
122a, 122b, 122c, 122d, 122e: 버퍼막
124a, 124b, 124c, 124d, 124e: 절연막
130, 230, 1130, 1230, 1330, 1430: 층간 절연막
132a, 232a, 1232a: 제1 금속 배선
134a, 234a, 1234a: 제1 콘택
132b, 232b, 1232b: 제2 금속 배선
134b, 234b, 1234b: 제2 콘택
136, 182, 236, 282, 3812, 1136, 1182, 1236, 1282, 1336, 1436: 접속 패드
136a, 1236a: 패드 배리어 패턴
136b, 1236b: 패드 도전 패턴 140, 1240: 연마 정지막
142, 1242: 제1 포토레지스트 패턴 144, 1244: 제2 포토레지스트 패턴
150: 제1 개구부 151: 제1 개구부
152, 1352: 비아 홀 152a, 1352a: 제1 비아 홀
152b, 1352b: 제2 비아 홀 154, 1354: 라이너 막
156: 배리어 금속막 156a, 256a, 1356a: 배리어 패턴
160: 도전막 160a, 260a, 1260a: 도전 패턴
162, 262, 362, 462, 1152, 1262, 1352: 플러그 구조물
180, 280, 380: 절연막
500, 1500: 패키지 기판 600, 1190, 1390, 1600: 도전성 범프
700, 1700: 몰딩 부재 800, 1800: 외부 접속 부재
1110: 버퍼 다이 1210, 1310, 1410: 메모리 다이

Claims (20)

  1. 제1 비아 홀을 갖는 제1 기판, 상기 제1 기판의 제1 면 상에 구비되고 외측면에 제1 접속 패드를 가지며 상기 제1 비아 홀과 연통되며 상기 제1 접속 패드를 노출시키는 제2 비아 홀을 갖는 제1 층간 절연막, 상기 제1 및 제2 비아 홀들 내에 상기 제1 접속 패드와 접속되는 제1 플러그 구조물, 및 상기 제1 기판의 상기 제1 면과 반대하는 제2 면 상에 구비되고 상기 제1 플러그 구조물과 접속하는 제2 접속 패드가 구비되는 절연막을 포함하는 제1 반도체 칩; 및
    상기 제1 반도체 칩 상에 적층되며, 제3 비아 홀을 갖는 제2 기판, 상기 제2 기판 상에 구비되고 외측면에 제3 접속 패드를 가지며 상기 제3 비아 홀과 연통되며 상기 제3 접속 패드를 노출시키는 제4 비아 홀을 갖는 제2 층간 절연막 및 상기 제3 및 제4 비아 홀들 내에 상기 제3 접속 패드와 접속되는 제2 플러그 구조물을 포함하는 제2 반도체 칩을 포함하고,
    상기 제1 반도체 칩의 상기 제2 접속 패드는 상기 제2 반도체 칩의 상기 제3 접속 패드와 접촉하고,
    상기 제1 반도체 칩의 상기 절연막은 상기 제2 반도체 칩의 상기 제2 층간 절연막과 접촉하는 반도체 패키지.
  2. 제 1 항에 있어서, 상기 제1 층간 절연막은 복수 개의 적층된 절연층들을 포함하고, 상기 절연층들 중 적어도 하나의 절연층에는 상기 제1 접속 패드와 전기적으로 연결된 금속 배선이 구비되는 반도체 패키지.
  3. 제 2 항에 있어서, 상기 제1 접속 패드의 두께는 상기 금속 배선의 두께보다 큰 반도체 패키지.
  4. 제 2 항에 있어서, 상기 제1 접속 패드는 상기 제1 층간 절연막의 최외각 절연층에 구비되는 반도체 패키지.
  5. 제 4 항에 있어서, 상기 최외각 절연층은 재배선층인 반도체 패키지.
  6. 제 1 항에 있어서, 상기 제1 플러그 구조물은
    상기 제1 및 제2 비아 홀들의 내면에 구비된 배리어 패턴; 및
    상기 배리어 패턴 상에 상기 제1 및 제2 비아 홀들을 채우는 도전 패턴을 포함하는 반도체 패키지.
  7. 제 6 항에 있어서, 상기 제1 플러그 구조물의 상기 도전 패턴과 상기 제1 접속 패드 사이에 상기 배리어 패턴이 개재되는 반도체 패키지.
  8. 제 1 항에 있어서, 상기 제1 반도체 칩의 상기 제2 접속 패드는 구리를 포함하고, 상기 제2 접속 패드와 접합되는 상기 제3 접속 패드는 구리를 포함하는 반도체 패키지.
  9. 제 1 항에 있어서, 상기 제1 플러그 구조물은 상기 제1 접속 패드와 접촉하는 반도체 패키지.
  10. 삭제
  11. 제1 면 및 상기 제1 면에 반대하는 제2 면을 갖는 제1 기판, 상기 제1 면 상에 구비되고 제1 접속 패드가 형성된 최외각 절연층을 갖는 제1 층간 절연막, 상기 제2 면으로부터 상기 제1 기판 및 상기 제1 층간 절연막을 관통하여 상기 제1 접속 패드까지 연장하는 제1 플러그 구조물, 및 상기 제2 면 상에 구비되고 상기 제1 플러그 구조물과 접속하는 제2 접속 패드가 구비되는 절연막을 구비하는 제1 반도체 칩; 및
    상기 제1 반도체 칩 상에 적층되며, 제3 면 및 상기 제3 면에 반대하는 제4 면을 갖는 제2 기판, 상기 제3 면 상에 구비되고 외측면에 제3 접속 패드가 형성된 최외각 절연층을 갖는 제2 층간 절연막, 및 상기 제4 면으로부터 상기 제2 기판 및 상기 제2 층간 절연막을 관통하여 상기 제3 접속 패드까지 연장하는 제2 플러그 구조물을 구비하는 제2 반도체 칩을 포함하고,
    상기 제1 반도체 칩의 상기 제2 접속 패드는 상기 제2 반도체 칩의 상기 제3 접속 패드와 접촉하고,
    상기 제1 반도체 칩의 상기 절연막은 상기 제2 반도체 칩의 상기 제2 층간 절연막과 접촉하는 반도체 패키지.
  12. 제 11 항에 있어서, 상기 제1 기판은 제1 비아 홀을 가지며 상기 제1 층간 절연막은 상기 제1 비아 홀에 연통되며 상기 제1 접속 패드를 노출시키는 제2 비아 홀을 갖고, 상기 제1 플러그 구조물은 상기 제1 및 제2 비아 홀들 내에 구비되는 반도체 패키지.
  13. 제 12 항에 있어서, 상기 제1 플러그 구조물은
    상기 제1 및 제2 비아 홀들의 내면에 구비된 배리어 패턴; 및
    상기 배리어 패턴 상에 상기 제1 및 제2 비아 홀들을 채우는 도전 패턴을 포함하는 반도체 패키지.
  14. 제 13 항에 있어서, 상기 제1 플러그 구조물의 상기 도전 패턴과 상기 제1 접속 패드 사이에 상기 배리어 패턴이 개재되는 반도체 패키지.
  15. 제 11 항에 있어서, 상기 제1 층간 절연막은 복수 개의 적층된 절연층들을 포함하고, 상기 절연층들 중 적어도 하나의 절연층에는 상기 제1 접속 패드와 전기적으로 연결된 금속 배선이 구비되는 반도체 패키지.
  16. 제 15 항에 있어서, 상기 제1 접속 패드의 두께는 상기 금속 배선의 두께보다 큰 반도체 패키지.
  17. 제 11 항에 있어서, 상기 최외각 절연층은 재배선층인 반도체 패키지.
  18. 제 11 항에 있어서, 상기 제1 반도체 칩의 상기 제2 접속 패드는 구리를 포함하고, 상기 제2 접속 패드와 접합되는 상기 제2 반도체 칩의 상기 제3 접속 패드는 구리를 포함하는 반도체 패키지.
  19. 제 11 항에 있어서, 상기 제1 플러그 구조물은 상기 제1 접속 패드와 접촉하는 반도체 패키지.


  20. 삭제
KR1020180144338A 2018-11-21 2018-11-21 반도체 장치, 반도체 패키지 및 이의 제조 방법 KR102593085B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020180144338A KR102593085B1 (ko) 2018-11-21 2018-11-21 반도체 장치, 반도체 패키지 및 이의 제조 방법
US16/430,625 US10950578B2 (en) 2018-11-21 2019-06-04 Semiconductor device, semiconductor package and method of manufacturing the same
EP19178376.0A EP3657538A1 (en) 2018-11-21 2019-06-05 Semiconductor device, semiconductor package and method of manufacturing the same
TW108127770A TWI820175B (zh) 2018-11-21 2019-08-05 半導體裝置及半導體封裝
CN201910859224.0A CN111211102A (zh) 2018-11-21 2019-09-11 半导体装置及半导体封装
US17/190,113 US11804472B2 (en) 2018-11-21 2021-03-02 Semiconductor device, semiconductor package and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180144338A KR102593085B1 (ko) 2018-11-21 2018-11-21 반도체 장치, 반도체 패키지 및 이의 제조 방법

Publications (2)

Publication Number Publication Date
KR20200059516A KR20200059516A (ko) 2020-05-29
KR102593085B1 true KR102593085B1 (ko) 2023-10-24

Family

ID=66776101

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180144338A KR102593085B1 (ko) 2018-11-21 2018-11-21 반도체 장치, 반도체 패키지 및 이의 제조 방법

Country Status (5)

Country Link
US (2) US10950578B2 (ko)
EP (1) EP3657538A1 (ko)
KR (1) KR102593085B1 (ko)
CN (1) CN111211102A (ko)
TW (1) TWI820175B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11295818B2 (en) * 2018-02-09 2022-04-05 Samsung Electronics Co., Ltd. Non-volatile memory device, operating method thereof, and storage device having the same
KR102419895B1 (ko) 2018-02-09 2022-07-12 삼성전자주식회사 비휘발성 메모리 장치 및 이의 읽기 방법
KR102593085B1 (ko) * 2018-11-21 2023-10-24 삼성전자주식회사 반도체 장치, 반도체 패키지 및 이의 제조 방법
US10892250B2 (en) * 2018-12-21 2021-01-12 Powertech Technology Inc. Stacked package structure with encapsulation and redistribution layer and fabricating method thereof
US11264343B2 (en) * 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
KR20210075662A (ko) * 2019-12-13 2021-06-23 삼성전자주식회사 반도체 패키지
US11456353B2 (en) * 2020-07-16 2022-09-27 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
US20220084948A1 (en) * 2020-09-17 2022-03-17 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
KR20220040537A (ko) * 2020-09-23 2022-03-31 삼성전자주식회사 반도체 패키지
US11735544B2 (en) * 2021-01-13 2023-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages with stacked dies and methods of forming the same
KR20220126135A (ko) * 2021-03-08 2022-09-15 삼성전자주식회사 반도체 칩 구조물
US11876077B2 (en) * 2021-03-12 2024-01-16 Nanya Technology Corporation Semiconductor device and method of manufacturing the same
US11621248B2 (en) * 2021-03-31 2023-04-04 Taiwan Semiconductor Manufacturing Company Limited Bonded wafer device structure and methods for making the same
US20220384357A1 (en) * 2021-05-26 2022-12-01 Changxin Memory Technologies, Inc. Semiconductor structure and method for fabricating a semiconductor structure
CN115411005A (zh) 2021-05-26 2022-11-29 长鑫存储技术有限公司 半导体结构和半导体结构的制备方法
TWI794085B (zh) * 2021-07-21 2023-02-21 日商鎧俠股份有限公司 半導體記憶裝置
CN114400213A (zh) * 2022-01-20 2022-04-26 长鑫存储技术有限公司 一种半导体封装结构及其形成方法
DE102022126328A1 (de) 2022-10-11 2024-04-11 Albert-Ludwigs-Universität Freiburg, Körperschaft des öffentlichen Rechts Verfahren zur Herstellung eines hermetisch abgedichteten Kontaktes und hermetisch abgedichteter Kontakt
CN116613157B (zh) * 2023-07-21 2024-03-19 荣耀终端有限公司 芯片堆叠结构及其制作方法、电子设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285253A1 (en) * 2012-04-25 2013-10-31 Hitachi, Ltd. Semiconductor device and method of manufacturing the same
US20170110388A1 (en) * 2015-10-19 2017-04-20 Samsung Electronics Co., Ltd. Semiconductor device
US20180158749A1 (en) * 2016-12-05 2018-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package Structure for Heat Dissipation

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI324800B (en) 2005-12-28 2010-05-11 Sanyo Electric Co Method for manufacturing semiconductor device
KR100844997B1 (ko) * 2006-12-29 2008-07-09 삼성전자주식회사 반도체 패키지, 반도체 스택 패키지, 패키지들을 제조하는방법
US7598523B2 (en) 2007-03-19 2009-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Test structures for stacking dies having through-silicon vias
KR100888335B1 (ko) 2007-08-14 2009-03-12 앰코 테크놀로지 코리아 주식회사 반도체 패키지 및 그 제조 방법
JP5259197B2 (ja) * 2008-01-09 2013-08-07 ソニー株式会社 半導体装置及びその製造方法
US7683459B2 (en) 2008-06-02 2010-03-23 Hong Kong Applied Science and Technology Research Institute Company, Ltd. Bonding method for through-silicon-via based 3D wafer stacking
US7851346B2 (en) 2008-07-21 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding metallurgy for three-dimensional interconnect
JP2010080897A (ja) 2008-09-29 2010-04-08 Panasonic Corp 半導体装置及びその製造方法
US8053900B2 (en) 2008-10-21 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias (TSVs) electrically connected to a bond pad design with reduced dishing effect
US8546188B2 (en) 2010-04-09 2013-10-01 International Business Machines Corporation Bow-balanced 3D chip stacking
KR20120133057A (ko) 2011-05-30 2012-12-10 삼성전자주식회사 반도체 패키지 및 그 제조방법
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
KR102258739B1 (ko) 2014-03-26 2021-06-02 삼성전자주식회사 하이브리드 적층 구조를 갖는 반도체 소자 및 그 제조방법
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
EP3531445B1 (en) 2016-09-07 2020-06-24 IMEC vzw A method for bonding and interconnecting integrated circuit devices
KR102593085B1 (ko) * 2018-11-21 2023-10-24 삼성전자주식회사 반도체 장치, 반도체 패키지 및 이의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130285253A1 (en) * 2012-04-25 2013-10-31 Hitachi, Ltd. Semiconductor device and method of manufacturing the same
US20170110388A1 (en) * 2015-10-19 2017-04-20 Samsung Electronics Co., Ltd. Semiconductor device
US20180158749A1 (en) * 2016-12-05 2018-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package Structure for Heat Dissipation

Also Published As

Publication number Publication date
US20210183822A1 (en) 2021-06-17
US20200161277A1 (en) 2020-05-21
TW202020999A (zh) 2020-06-01
EP3657538A1 (en) 2020-05-27
US10950578B2 (en) 2021-03-16
US11804472B2 (en) 2023-10-31
CN111211102A (zh) 2020-05-29
TWI820175B (zh) 2023-11-01
KR20200059516A (ko) 2020-05-29

Similar Documents

Publication Publication Date Title
KR102593085B1 (ko) 반도체 장치, 반도체 패키지 및 이의 제조 방법
TWI664685B (zh) 具有無矽基底的中介層的封裝及其形成方法
US11854990B2 (en) Method for forming a semiconductor device having TSV formed through a silicon interposer and a second silicon substrate with cavity covering a second die
CN109427702B (zh) 散热器件和方法
TWI752339B (zh) 半導體結構及其製造方法
TWI653695B (zh) 封裝體及其形成方法
TW201906025A (zh) 散熱方法
KR102564124B1 (ko) 집적 회로 패키지 및 그 형성 방법
TW202002188A (zh) 三維積體電路結構
TWI727523B (zh) 封裝結構及其製造方法
KR20210065353A (ko) 반도체 패키지
TW202310365A (zh) 三維元件結構及其形成方法
KR20220008093A (ko) 반도체 패키지 및 반도체 패키지의 제조 방법
KR102531322B1 (ko) 집적 회로 패키지 및 방법
KR20240005646A (ko) 집적 회로 패키지 및 방법
TWI783449B (zh) 半導體封裝及其形成方法
TWI735353B (zh) 積體電路封裝及其製作方法
CN113990855A (zh) 封装件及制造方法
US20230061418A1 (en) Semiconductor package and method of manufacturing same
KR20230043271A (ko) 반도체 칩 및 반도체 패키지

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant