KR101496644B1 - 증착으로부터 반응성 부위의 비활성화 - Google Patents

증착으로부터 반응성 부위의 비활성화 Download PDF

Info

Publication number
KR101496644B1
KR101496644B1 KR1020127019653A KR20127019653A KR101496644B1 KR 101496644 B1 KR101496644 B1 KR 101496644B1 KR 1020127019653 A KR1020127019653 A KR 1020127019653A KR 20127019653 A KR20127019653 A KR 20127019653A KR 101496644 B1 KR101496644 B1 KR 101496644B1
Authority
KR
South Korea
Prior art keywords
reactor
sam
organic precursor
film
exposed surface
Prior art date
Application number
KR1020127019653A
Other languages
English (en)
Other versions
KR20130005262A (ko
Inventor
에릭 쉐로
모히쓰 버기스
앤쏘니 머스캣
숀 밀러
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20130005262A publication Critical patent/KR20130005262A/ko
Application granted granted Critical
Publication of KR101496644B1 publication Critical patent/KR101496644B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

반응기 내의 노출된 표면에 바람직하지 않은 성장 또는 핵화를 방지하기 위한 혼합된 SAM의 형성에 관한 방법 및 구조가 기술된다. 혼합된 SAM(322)은 첫 번째 길이의 분자를 가지는 첫 번째 SAM 전구물질(334) 및 첫 번째 보다 더 짧은 두 번째 길이의 분자를 가지는 두 번째 SAM 전구물질(338)을 도입하여 핵화가 바람직하지 않은 표면(308)에 형성될 수 있다. 혼합된 SAM(322)이 제공될 수 있는 노출된 표면의 예는 반응기 표면 및 부도체 및 유전체 층과 같은 집적 회로 구조(800)의 선택 표면을 포함한다.

Description

증착으로부터 반응성 부위의 비활성화{Reactive site deactivation against vapor deposition}
본 출원은 일반적으로 선택된 표면, 가령 반응기 표면 또는 기판 상의 선택 표면에서 증착을 억제하는 것과 관련된다.
원자층 증착(Atomic layer deposition, ALD)은 실리콘 웨이퍼와 같은 기판 상에 물질의 박막을 형성하기 위한, 반도체 산업에서 공지인 공정이다. ALD는 증착의 한 유형으로, 여기서 순환(cycle)으로 수행되는 자기-포화(self-saturating) 표면 반응을 통해 막이 축적(built-up)된다. ALD 공정에서, 기체 전구물질이 기판에 교대로 그리고 반복적으로 공급되어 상기 기판 상에 물질의 박막을 형성한다. 한 반응물질이 웨이퍼 상에 자기-제한적(self-limiting) 공정으로 흡착된다. 이후의 반응물질 펄스(pulse)가 상기 흡착된 물질과 반응하여 바람직한 물질의 분자층을 형성한다. 상기 후속 펄스는 흡착된 층으로부터 리간드를 감소시키거나 게터링(getter)할 수 있고, 그러한 리간드를 치환하거나 다른 경우 원자를 부가(예컨대, 산화, 질화, 등)할 수 있다. 전형적인 ALD 반응에서, 순환당 하나의 분자 단일층만이 형성된다. 순환은 더 복잡할 수 있고 셋 이상의 반응물질을 순서대로 포함할 수 있다. ALD의 일부 알려진 장점은 저온 공정 및 거의 완벽한 정합성(conformality)이며, 이는 반도체 공정을 위해 ALD에 큰 흥미를 유발한다.
ALD 이외에도 기판 상에 물질의 박막을 형성하기 위한 다른 공정이 존재한다. 한 그러한 공정은 화학 증착(chemical vapor deposition, CVD)이며, 여기서 기판은 상기 기판에서 반응 및/또는 분해되어 박막을 형성하는 하나 이상의 휘발성 전구물질에 노출된다. ALD 및 CVD는 모두 노출된 표면에 민감할 수 있고; 전구물질 및 증착 조건에 따라, 증착 공정은 상이한 표면 상에 우수하거나 불량하게 핵을 형성할 수 있다.
변함없이, 공정 도중에, 증착은 증착이 바람직할 수 있는 표면 외의 노출된 표면 상에 일어난다. 예를 들어, 막 축적이 복수의 기판이 순서대로 가공되는 동안 반응기의 노출된 표면에 일어날 수 있다. 상기 막 축적은 반응기 표면으로부터 벗겨지거나 떨어져 나올 수 있고 기판 표면을 오염시킬 수 있다. 반응기 표면에 느슨하게 부착된 다량의 막 축적은 또한 반응물질 펄스에 노출되는 총 표면적을 증가시키고, 이를 통해 기판 표면을 포화하기 위해 요구되는 펄스 및 퍼징(purging) 시간을 증가시킨다. 또한, 막은 유전체(dielectric) 표면과 같은 반도체 소자 구조의 원치않는 면에 증착될 수 있고, 이는 추가적인 패터닝(patterning) 및 식각(etch) 단계를 필요로 한다.
최근에는, 반응기 표면 및 소자 구조 상의 원치 않는 막 증착의 양을 방지하거나 감소시키는 선택적 공정을 사용할 수 있다. 한 그러한 공정은 보호용 자기-조립 단층막(self-assembled monolayer, SAM)을 반응기 표면 상에 만드는 처리 공정을 이용하며, 2006년 11월 30일자로 출원된 미국 특허 출원 제2007/0098894호에 기술되어 있다. 또다른 그러한 공정은 기판 표면에 선택성 증착을 야기하는 처리 공정을 이용하며, 2000년 8월 23일자로 출원된 미국 특허 제6,391,785호에 기술되어 있다.
반응기 표면 및 소자 구조의 선택 표면 상에, 증착 공정으로 인한 막 축적을 방지 또는 최소화하는 개선된 공정이 따라서 바람직하다.
막 증착이 바람직하지 않은 표면 위에 혼합된 자기-조립 단층막을 사용하는 방법 및 장치가 제공된다. 일부 양태에서, 한 방법은 노출된 표면을 제공하는 단계를 포함한다. 첫 번째 사슬 길이를 가지는 첫 번째 유기 전구물질이 공급되고 노출된 표면 위에 자기-조립 단층막을 흡착시킨다. 첫 번째 분자 사슬 길이보다 더 짧은 두 번째 분자 사슬 길이를 가지는 두 번째 유기 전구물질이 공급된다. 두 번째 유기 전구물질은 자기-조립 단층막이 흡착되지 않은 노출된 표면의 반응성 부위에 흡착되었다.
다른 양태에서, 증착 반응기를 이용한 가공 방법이 제공된다. 상기 방법은 첫 번째 유기 전구물질을 공급하여 반응기 표면의 부분 위에 자기-조립 단층막을 증착하는 단계 및 두 번째 유기 전구물질을 공급하여 자기-조립 단층막이 증착되지 않은 반응기 표면의 부분과 반응시키는 단계를 포함한다. 상기 두 번째 유기 전구물질은 첫 번째 유기 전구물질의 분자의 사슬보다 더 짧은 분자의 사슬을 포함한다.
다른 양태에서, 반도체 소자를 형성하는 방법이 제공된다. 상기 방법은 집적 회로 구조(integrated circuit structure)를 반응 챔버에 제공하는 단계를 포함한다. 상기 집적 회로 구조는 첫 번째 표면 및 첫 번째 표면과 상이한 두 번째 표면을 갖는다. 첫 번째 유기 전구물질이 반응 챔버 내로 도입되어, 두 번째 표면은 코팅하지 않으면서 첫 번째 표면의 부분을 자기-조립 단층막으로 코팅한다. 임의의 미반응된 첫 번째 유기 전구물질이 반응 챔버로부터 퍼징될 수 있다. 두 번째 유기 전구물질이 반응 챔버로 도입되어 첫 번째 유기 전구물질로 코팅되지 않은 첫 번째 표면의 반응성 부위와 반응한다. 임의의 미반응된 두 번째 유기 전구물질이 반응 챔버로부터 퍼징될 수 있다.
다른 양태에서, 집적된 막 구조가 제공된다. 상기 집적된 막 구조는 첫 번째 표면 및 첫 번째 노출된 표면과 상이한 인접한 두 번째 표면 및, 두 번째 표면에는 형성되지 않고 첫 번째 표면에 흡착된 혼합된 소수성 막을 포함하며, 상기 막은 다량의 두 번째 사슬 유기 분자가 산재되어 있는 다량의 첫 번째 사슬 유기 분자를 포함하고, 상기 두 번째 사슬 유기 분자는 첫 번째 사슬 유기 분자보다 더 짧다.
도 1A 및 1B는 ALD 전구물질에 노출된, 위에 덮인 이상적이고, 조밀하게-밀집된 자기-조립 단층막(SAM)을 가지는 층을 예시한다.
도 2A 및 2B는 ALD 전구물질에 노출된, 일부 점유되지 않는 반응성 부위가 있는 위에 덮인 자기-조립 단층막을 가지는 층을 예시한다.
도 3A은 일부 구체예에 따른 긴-사슬 분자 및 짧은-사슬 분자를 가지는 혼합된 자기-조립 단층막을 형성하고, 이후 증착하는 공정의 순서도이다.
도 3B는 일부 구체예에 따른 위에 덮인 혼합된 자기-조립 단층막을 가지는 층을 예시한다.
도 4A는 일부 구체예에 따른 반응기의 표면에 혼합된 자기-조립 단층막을 이용하여 순서대로 복수의 기판을 가공하기 위한 방법의 순서도이다.
도 4B는 일부 구체예에 따른 반응기의 표면에 혼합된 자기-조립 단층막을 이용하여 순서대로 복수의 기판을 가공하기 위한 대안적인 방법의 순서도이다.
도 5A는 일부 구체예에 따른 반응기의 표면에 혼합된 자기-조립 단층막을 형성하기 위한 방법의 순서도이다.
도 5B는 일부 구체예에 따른 반응기의 노출된 표면 상의 혼합된 자기-조립 단층막을 보수하기 위한 방법의 순서도이다.
도 6A는 일부 구체예에 따른 반응기를 예시한다.
도 6B는 일부 구체예에 따른 시즈닝(seasoning) 공정 및 수산화(hydroxylation) 공정에 노출된 후의 도6A의 반응기를 예시한다.
도 6C는 일부 구체예에 따른 자기-조립 단층막 전구물질에 노출된 후의 도 6B의 반응기를 예시한다.
도 6D는 일부 구체예에 따른 웨이퍼가 내부에 도입된 후의 도 6C의 반응기를 예시한다.
도 6E는 일부 구체예에 따른 웨이퍼 상에 증착 공정을 수행한 후의 도 6D의 반응기를 예시한다.
도 7은 일부 구체예에 따른 기판의 부분 상에 선택적으로 층을 증착하기 위한 방법의 순서도이다.
도 8A는 일부 구체예에 따른 노출된 부도체 및 반도체/금속 표면을 가지는 패터닝된 기판을 도식적으로 예시한다.
도 8B는 노출된 부도체 상에 선택적으로 비활성화 혼합된 자기-조립 단층막(SAM)을 형성한 후의 도 8A의 패터닝된 기판을 예시한다.
도 8C는 일부 구체예에 따른 SAM의 코팅 없이 노출된 반도체/금속 표면 상에 선택적 증착 공정을 수행한 후의 도 8B의 패터닝된 기판을 예시한다.
발명의 배경 단락에 언급된 바와 같이, 공정은 ALD와 같은 증착으로부터 특정한 표면(예컨대, 반응기 표면 및 부분적으로 제작된 집적 회로 구조의 표면)을 비활성화하여 처리되지 않은 표면 상에의 선택적인 증착을 가능하게 하기 위해 존재한다. 한 그러한 공정은 막 증착이 바람직하지 않은 노출된 표면 위에 조밀하게-밀집된, 자기-조립 단층막(SAM)을 형성하는 단계를 포함한다. SAM은 증기 또는 액체상 전구물질로부터 표면 상에 자발적으로 흡착되는(화학 흡착으로도 지칭됨) 분자의 비교적 규칙적인 집결체이다. 전형적인 SAM 분자는 말단 관능기, 탄화수소 사슬 및 헤드 기(head group)를 포함한다. 선택된 표면 위에 SAM을 형성하는 것을 통해, SAM은 특정 유형의 증기 전구물질을 사용하여, 다른 경우 이들 증착 전구물질과 반응할 수 있는 노출된 표면 상의 반응성 부위를 차단하는 것을 통해 노출된 표면 위의 막 성장을 방지할 수 있다. 그러한 선택적 비활성화는 ALD과 같은 흡착-유도 공정에 대하여 특히 효과적일 수 있지만, 또한 특정 유형의 CVD를 단념시킬 수 있다.
도 1A 및 1B는 ALD 전구물질(138)에 노출되는 위에 덮인 이상적인, 조밀하게-밀집된 SAM(122)을 가지는 표면(106)을 예시한다. 형성된 SAM(122)은 일반적으로 "순수한"데, 즉, 이것은 옥타데실트리클로로실란(ODTS)과 같은 단일 분자 물질로부터의 분자로 이루어진다. SAM을 형성하는 단량체는 액체 또는 증기 형태로 수송될 수 있다. 도 1A에 나타난 바와 같이, SAM 분자(134)는 이론적으로 이들이 표면(106) 위의 모든 반응성 부위를 점유하도록 조밀하게-밀집된다. ALD 공정 도중에, HfCl4, ZrCl4, TiCl4 또는 트리메틸 알루미늄(TMA)과 같은 ALD 전구물질이 반응기에 도입될 수 있다. 이들 전구물질은 반응기 벽 및 노출된 기판 표면을 비롯한 반응기 내 노출된 표면과 반응하려고 할 것이다. 그러나, 위에 덮인, 조밀하게-밀집된 SAM(122)을 가지는 이들 표면(106)에 있어서, 도 1A에 나타난 바와 같이 ALD 전구물질이 접근할 때, SAM(122)은 도 1B에 나타난 바와 같이 밑에 깔린 표면(106)의 반응성 부위를 차단함으로써 밑에 깔린 표면(106)이 ALD 전구물질과 반응하는 것을 방지할 수 있다. 반응성 부위를 차단하기 위해 SAM(122)을 이용함으로써, 비활성화된 SAM으로 덮인 다른 표면에의 막 증착은 방지 또는 최소화하면서, 특정한 노출된 표면에 막을 증착하여 증착 공정이 선택적이 되도록 하는 것이 가능하다. 한 예에서, 증착이 동일한 기판의 인접한 도체 표면에서 일어나는 동안, 기판 상의 부도체는 차단될 수 있다. 또다른 예에서, 증착이 반응기 내 처리되지 않은 기판에서 일어나는 동안 반응기 벽은 차단될 수 있다.
큰 유기 분자는 매우 안정하고 표면으로부터 쉽게 떨어지지 않는 자기-조립 단층막(SAM)을 반응기 표면에 형성할 수 있다. 또한, 큰 유기 꼬리(tail)는 바람직한 입체 장해(steric hindrance) 효과를 제공하고 ALD 반응물질이 반응기 표면에 도달하게 하지 않는다.
불행하게도, 단일 분자 물질로 구성된 순수한 차단 SAM의 분자는 표면(106) 상의 모든 반응성 부위를 거의 점유하지 않아서, SAM 밑에 깔린 표면(106)이 흔히 바람직하지 않게 증착 전구물질과 반응할 수 있는 노출된 반응성 부위를 가지도록, 예컨대, -OH 말단 부위(도 2A에 나타남)를 가지도록 한다. 이론에 구속되지 않고, 입체 장해가 다음 부작용을 가질 수 있는 것이 가능하다: 반응성 부위에 흡착된 SAM 분자는 자체적으로 완벽하게 배향된, 조밀하게-밀집된 분자를 형성하기보다, 흡착된 SAM 분자가 적절하게 배향될 수 없도록 서로 상호작용할 수 있고, 따라서 이들은 자체적으로 다른 SAM 분자가 이용가능한 반응성 부위로 흡착되는 것을 제한한다. 따라서, 증기 또는 액체상 SAM 화학물질에 노출된지 수 일 후 라도, 밑에 깔린 표면의 반응성 부위는 점유되지 않은 채 잔여하고, 이어지는 증착 도중에, 특히 불완전한 SAM 내 입체 장해에 의해 차단되지 않는 더 작은 증착 전구물질에 있어서 성장을 위한 핵형성 부위로서 작용할 수 있다.
도 2A 및 2B는 ALD 전구물질(138)에 노출되는 자기-조립 단층막(122)을 가지는 표면(106)을 예시한다. 도 2A에 나타난 바와 같이, 표면(106) 상에 SAM(122)을 증착한 이후라도, 표면(106)은 증착 도중에 ALD 전구물질(138)이 접근가능한 반응성 부위(144)로서 기능하는 노출된 자연 산화 히드록실 기를 갖는다. 도 2B에 나타난 바와 같이, HfCl4과 같은 ALD 전구물질(138)이 증착 공정 도중에 도입될 때, ALD 전구물질(138)은 노출된 반응성 부위(144)와 반응할 수 있고, 이는 바람직하지 않은 성장 또는 핵형성을 야기한다.
상기 문제를 해결하기 위해, 비활성화되어야 하는 표면의 반응성 부위를 차단하기 위해 균일한 분자 물질로부터의 분자로 이루어진 "순수한" SAM을 형성하는 것보다, 적어도 두 가지, 가령 하나는 긴-사슬 분자를 가지고 또다른 하나는 짧은-사슬 분자를 가지는 상이한 분자 물질로 이루어진 "혼합된" SAM을 가지는 것이 더욱 나음이 발견되었다. 혼합된 SAM의 사용은 다른 경우 증착 전구물질과 반응할 수 있는 이용가능한 반응성 부위의 더 많은 감소를 야기할 수 있다. 반응기 벽 또는 다른 부품을 비활성화하기 위한 특정한 적용에 있어서, 혼합된 SAM이 반응기 표면 상에 형성되면, 이는 핵형성을 늦추는 효과를 가지며 따라서, 막 축적의 벗겨짐 또는 떨어짐으로 인한 반응기 오염을 감소시키고 반응기 표면에 대한 제자리(in situ) 또는 제자리외(ex situ) 세정 단계의 빈도를 감소시킨다. 더욱이, 웨이퍼 처리량(throughput)이 증가될 수 있는데, 이는 반응기 벽에 축적된 증착을 제거하기 위한 세정 단계 사이에 더 많은 횟수의 런(run)이 수행될 수 있기 때문이다. 유리하게는, 혼합된 SAM이 선택된 표면(예컨대, 반응기 벽 또는 부분적으로 제작된 집적 회로 상의 부도체)에 형성되면, 이는 더 긴 ALD 반응 시간에 걸쳐 증착 선택성 증가의 효과를 가지며, 여기서 물질은 다른 표면에는(만약 존재한다면) 최소로 증착되면서 처리되지 않은 표면에 증착된다.
선택된 표면에 바람직하지 않은 성장 또는 핵형성을 방지하기 위해 혼합된 SAM의 형성에 관한 방법 및 구조가 기술된다. 일부 구체예에서, 혼합된 SAM은 바람직하지 않은 성장 또는 핵화로부터 밑에 깔린 표면을 보호하기 위해 밑에 깔린 표면 위에 형성된다. 상기 혼합된 SAM은 첫 번째 유기 사슬 분자 및 첫 번째보다 더 짧은 두 번째 유기 사슬 분자를 포함한다. 첫 번째 사슬 분자는 먼저 밑에 깔린 표면의 반응성 부위, 예컨대, M-OH 또는 -OH 말단 부위에 흡착되어, 바람직하지 않은 성장 또는 핵화로부터 보호를 위한 SAM을 형성할 수 있다. 일단 첫 번째 사슬 분자가 흡착되면, 두 번째 사슬 분자가 첫 번째 사슬 분자 중에 확산될 수 있고, 첫 번째 사슬 분자가 흡착되지 않은, 밑에 깔린 표면의 임의의 점유되지 않은 반응성 부위에 흡착되고 퀀칭(quench)될 수 있어서, 증착 전구물질에 접근가능한 밑에 깔린 표면의 반응성 부위의 수를 더욱 감소시키거나 제거한다. 첫 번째 사슬 분자 및 두 번째 사슬 분자는 함께 증착 전구물질이 밑에 깔린 표면과 반응하는 것을 차단하는 혼합된 SAM을 형성한다.
일부 구체예에서, 혼합된 SAM의 첫 번째-사슬 분자가 긴-사슬 분자를 포함하는 반면, 혼합된 SAM의 두 번째-사슬 분자는 짧은-사슬 분자를 포함한다. 본 출원의 목적을 위해, 용어 "긴-사슬 분자"는 8개 이상의 탄소 원자를 가지는 분자를 포함하는 반면, 용어 "짧은-사슬 분자"는 8개 미만의 탄소 원자를 가지는 분자를 포함한다. SAM 전구물질 또는 분자는 선형 또는 분지형 사슬일 수 있다.
도 3A은 일부 구체예에 따른 긴-사슬 분자 및 짧은-사슬 분자를 가지는 혼합된 자기-조립 단층막을 형성하기 위한 방법의 순서도이다. 상기 방법은 비활성화될 표면 위에 긴-사슬 분자를 가지는 자기-조립 단층막을 흡착시키는 단계(310), 그 이후 긴-사슬 분자가 흡착되지 않은 표면의 부분 위에 짧은-사슬 분자를 흡착시켜 혼합된 자기-조립 단층막을 형성하는 단계(320)를 포함한다. 일부 구체예에서, 상기 표면은 반응기 벽을 포함하지만, 다른 구체예에서, 상기 표면은 부분적으로 제작된 집적 회로와 같은 기판 상의 표면을 포함한다. 분해된 반응 부품 또는 기판은 혼성 SAM을 위한 액체 전구물질로 침지되거나 분사될 수 있고; 대안적으로, 증기상 단량체가 ALD가 일어나는 반응기 내 제자리에서(in situ) 또는 분리된 설비에서 반응기 표면 또는 기판에 수송될 수 있다. 일부 구체예에서, 비활성화될 표면의 부분 위에 짧은-사슬 분자를 흡착하는 단계(320)는 반복될 수 있다. 짧은-사슬 분자의 흡착 이후에, 혼성 SAM이 처리된 표면(들)을 비활성화하는 증착 공정(예컨대, ALD 공정)이 수행될 수 있다(330).
도 3B은 일부 구체예에 따른 첫 번째-사슬 분자(334) 및 첫 번째 사슬 분자보다 더 짧은 두 번째-사슬 분자(338)로 형성된 위에 덮인 혼합된 자기-조립 단층막(322)을 가지는 표면(308)을 예시한다. 시즈닝 및 수산화와 같은 중간 처리와 함께 또는 없이, 혼합된 SAM(322)에 의해 바람직하지 않은 성장 또는 핵화로부터 보호되어야 하는 것으로 이해되는 표면(308)은 SiO2 표면과 같은 유전체 표면, 또는 금속 또는 반도체 표면과 같은 도체 표면을 포함할 수 있다. 그러나 전형적으로, SAM 전구물질은 유전체 또는 수산화된 표면에 가장 잘 흡착된다.
표면(308)의 반응성 부위의 대부분에 흡착되는 첫 번째-사슬 분자(334)는 옥타데실트리클로로실란((CH3)(CH2)17SiCl3 또는 ODTS), 트리데카플루오로-1,1,2,2-테트라히드로옥틸트리클로로실란(FOTS), 트리콘틸트리클로로실란(TTS), FOMB(DMA), 옥틸트리클로로실란(CH3(CH2)7SiCl3), 운데실 트리클로로실란((CH3)(CH2)10-SiCl3)과 같은 유기 화합물, 및 다양한 다른 단량체 및 중합가능한 분자, 실릴화제, 알킬아미노실란 및 알킬클로로실란 물질을 포함할 수 있지만 이에 제한되지 않는다. 첫 번째-사슬 분자(334) 중에 확산되고 첫 번째-사슬 분자(338)가 흡착되지 않은 표면(308)의 잔여 반응성 부위에 흡착되는 두 번째-사슬 분자(338)는 트리클로로메틸실란(TCMS), 트리메틸클로로실란(TMCS), 트리메틸실란올(TMS)과 같은 더 짧은 사슬 유기 화합물 및 다른 할로알킬실란 및 할로알킬실란올을 포함할 수 있지만 이에 제한되지 않는다.
일부 구체예에서, SAM 전구물질은 X-R1-Sh 또는 X-R1-S-S-R2-Y, R1-S-R2, 및 이들의 조합으로 이루어진 군에서 선택된 화학식을 가지는 다수의 분자를 포함할 수 있고, 여기서 R1 및 R2는 n개 탄소 원자의 사슬이고 X 및 Y는 화학적 기이다. 탄소 사슬은 알킬, 알케닐, 알키닐, 시클릭 알킬, 아릴, 또는 이들의 임의의 조합으로 이루어진 군에서 선택된 부분을 포함할 수 있다. 일부 구체예에서, R1 알킬 기 또는 아릴 기를 포함할 수 있는 반면, 다른 구체예에서, R1 알킬 기 및 아릴 기를 포함할 수 있다. 탄소 원자의 수 n은 1 내지 30일 수 있다. 일부 구체예에서, 긴-사슬 분자에 대한 탄소 원자의 수 n은 8 개 이상이지만, 짧은-사슬 분자에 대한 n은 8개 미만이다. 한 구체예에서, 긴-사슬 분자에 대한 n은 12 개 이상이다. 한 구체예에서, 짧은-사슬 분자에 대한 n은 6 개 이하이다.
상기 물질 외에도 다른 SAM 전구물질이 2006년 11월 30일자로 출원되고, 본 명세서에 그 전체가 참고 문헌으로 포함되는 미국 특허 출원 제11/565,478호(Attorney Docket No. ASMEX.418CP1)에서 확인되며, 하기 화학식(1)에 나타난 바와 같은 유기실란 가령 알킬디실라잔, 아릴디실라잔, 및 알킬아릴디실라잔, 뿐만 아니라 하기 화학식(2)에 나타난 바와 같은 알킬할로실란, 아릴할로실란, 및 알킬아릴할로실란을 포함한다.
(1)
Figure 112012059393477-pct00001
화학식(1)에서, R1 내지 R6는 각각 개별적으로 C1 내지 C6 알킬 또는 C6 내지 C10 아릴이다. 화학식(1)은 R1 내지 R6가 알킬인 알킬디실라잔, R1 내지 R6가 아릴인 아릴디실라잔, 및 R1 내지 R6중 적어도 하나가 알킬이고 R1 내지 R6중 적어도 하나가 아릴인 알킬아릴디실라잔을 포함한다.
(2)
Figure 112012059393477-pct00002
화학식(2)에서, R7 내지 R9은 각각 개별적으로 C1 내지 C20 알킬 및 C6 내지 C10 아릴로 이루어진 군에서 선택되고; 여기서 p, q, 및 r은 각각 0, 1, 2, 또는 3이고, 단, 1 ≤ p+q+r ≤ 3이며; 여기서 각각의 X는 할로겐 원자이다. 화학식(2)는 R7 내지 R9이 알킬인 알킬할로실란, R7 내지 R9이 아릴인 아릴할로실란, 및 R7 내지 R9 중 적어도 하나가 알킬이고 R7 내지 R9 중 적어도 하나가 아릴인 알킬아릴할로실란을 포함한다. 다른 SAM 전구물질은 또한 RSiOR'과 같은 알콕시실란을 포함할 수 있고, 여기서 R'=CH3, CH2CH3, 등이다.
첫 번째-사슬 분자 및 두 번째-사슬 분자의 조합은, 바람직하지 않게 증착 전구물질과 반응할 수 있는, 표면(308) 내 이용가능한 반응성 부위의 수를 효과적으로 차단 및 감소시키는 혼합 또는 혼성 SAM(322)를 형성하여, 비활성화된 표면(308)에서 증착의 핵화를 크게 늦춘다. 특정한 경우에, 표면-결합된 SAM 분자 꼬리의 이동이 발생할 수 있고, 이는 조립된 단층막의 간헐적인 "개방"을 야기하여 ALD 전구물질이 점유되지 않은 표면 부위로(예컨대 HfCl4가 -OH로) 더 쉽게 접근할 수 있게 한다.
특정 SAM 전구물질의 선택이 SAM이 이에 대해 비활성화될 증착을 위한 작동 조건을 기초로 할 수 있음을 당해 분야의 숙련가는 이해할 것이다. 예를 들어, 증착 공정이 400℃에서 일어나는 경우, 선택된 전구물질은 분해되거나 반응함 없이 그러한 조건을 견딜 수 있어야 한다. 또한, 특정 SAM 전구물질의 선택은 사용될 ALD 전구물질을 기초로 할 수 있고; SAM 전구물질은 SAM 상에 어떠한 증착도 존재하지 않도록 선택되어야 한다.
일부 구체예에서, 긴-사슬 및 짧은-사슬 분자를 가지는 혼합된 SAM은 고도로 소수성이다. "자기-조립"은 비활성화될 표면에 끌리는 친수성 말단 및 바깥을 향하는 소수성 말단을 가지는 전구물질 분자로부터 유발될 수 있다. 수득된 소수성 SAM은 밑에 깔린 층 위에 증착되는 경우 전형적인 ALD 전구물질 및 밑에 깔린 층 사이의 반응을 견디며, 높은 수 접촉각(water contact angle)을 특징으로 한다. 높은 수 접촉각을 얻기 위해서는, SAM의 밀집 밀도가 매우 높아야 한다. 수 접촉각이 긴 탄소 사슬을 가지는 SAM 전구물질의 사용에 의해 높아짐이 발견되었다. 또한 선택 표면 상의 ALD 막 증착을 방지하기 위해 SAM을 이용하는 경우, SAM 내 탄소 원자의 수가 증가할 때 처리된 표면에 증착된 ALD 막 두께의 동반 감소가 존재함이 발견되었고, 이를 통해 탄소 원자의 수가 더 많을 경우 더욱 조밀하게 밀집된 SAM이 제안된다.
따라서, 상대적으로 긴 사슬 분자가 ALD에 대한 비활성화에 효과적임이 연구를 통해 제안되었다. 따라서, 일부 구체예에서, 밑에 깔린 층에 흡착된 긴-사슬 분자를 가지는 첫 번째 SAM 전구물질은 8개 이상의 탄소 원자, 더욱 바람직하게는 12개 이상의 탄소 원자를 가진다. 상기 첫 번째 SAM 전구물질은 이후의 증착에 대하여 비활성화될 표면의 대부분에 걸쳐 흡착되고 상기 언급된 바와 같이 긴 사슬 SAM의 이익을 얻는다. 그 반면에, 긴-사슬 분자 중으로 확산되는 짧은-사슬 분자를 가지는 두 번째 SAM 전구물질은 8개 미만의 탄소 원자, 더욱 바람직하게는 6개 이하의 탄소 원자를 가진다. 그러한 SAM 전구물질을 이용하여, 고도로 소수성이고 100 도 이상, 일부 구체예에서는, 108 도를 넘는 수 접촉각을 갖는 혼합 또는 혼성된 SAM을 형성하는 것이 가능하다.
바람직한 구체예에서, 혼합된 SAM은 12개 이상의 탄소 원자를 가지는 분자 사슬 길이를 가지는 첫 번째 SAM 전구물질(가령 ODTS) 및 6개 이하의 탄소 원자를 가지는 분자 사슬 길이를 가지는 두 번째 SAM 전구물질(가령 TCMS)로 형성된다. 두 번째 SAM 전구물질이 오로지 첫 번째 SAM 전구물질의 틈새를 채우기 때문에, 대부분의 구체예에서 상기 두 번째 SAM 전구물질은 흡착된 혼성 또는 혼합된 단층막의 표면적 또는 몰비로 30% 미만, 바람직하게는 10 % 미만, 또는 전형적으로 5 % 미만을 나타낸다. 이들 백분율을 결정하는 목적을 위해, 표면적은 원자 규모의 반응기 표면을 가리키지 않는데, 왜냐하면 반응기 표면의 일부가 전구물질에 접근할 수 없을 수 있기 때문이다. 오히려 상기 백분율은 각각의 길고 짧은 전구물질로 표현되는 SAM 코팅의 백분율을 나타낸다. 대안적으로, 두 번째 전구물질은 비활성화될 반응 표면에 이용가능한 히드록실 부위의 10% 미만, 전형적으로는 5% 미만으로 나타날 수 있다.
혼합된 SAM을 이용하여, 다른 표면에의 물질 증착은 방지 또는 최소화하면서 특정한 노출된 표면에 물질을 증착하는 것이 가능하다. 일부 구체예에서, 혼합된 SAM은 증착 공정을 수행하기 전에 반응기 표면에 형성될 수 있고, 이를 통해 반응기 내로 로딩된 기판에 전면적인 또는 선택적인 증착을 발생시키면서도 증착 공정 도중의 바람직하지 않은 막 축적을 방지하고 반응기 오염을 감소시킨다. 다른 구체예에서, SAM은 패터닝된 기판(예컨대, 부분적으로 제작된 집적 회로)의 특정 표면에 형성될 수 있고, 이를 통해 선택적 증착 공정의 일부로서 다른 표면에의 증착은 방지하거나 최소화하면서 패터닝된 기판의 일부 표면에 증착을 가능하게 한다. SAM은 제자리 외(ex situ)에서, 예컨대, 부품 또는 기판을 액체를 이용하여 침지하거나 분사하여, 제자리 외(ex situ)에서 분리된 챔버에서 증착을 통해; 또는 제자리(in situ)에서 증착하여 반응기 또는 목적되는 기판 표면에 형성될 수 있다.
A. 반응기 표면에서 반응성 부위의 비활성화를 위한 혼합된 SAM
집적 회로 제작을 위해 반도체 웨이퍼와 같은 기판의 증착 도중에 막 축적을 방지하거나 최소화하기 위해 반응기 표면에 혼합된 SAM을 사용하는 방법이 기술된다. 일부 구체예에서, 혼합된 SAM을 반응기 표면에 형성하고 하나 이상의 기판을 가공한 후에, 반응기는 추가적인 기판을 가공하기 전에 혼합된 SAM을 보수하기 위해 제자리(in situ) 보수를 거칠 수 있다. 다른 구체예에서, 반응기 표면에 혼합된 SAM을 형성하고 하나 이상의 기판을 가공한 후에, 반응기는 추가적인 기판을 가공하기 전에 증착 축적을 제거하고 임의로 혼합된 SAM을 대체하기 위해 제자리 외(ex situ) 박리(stripping) 공정을 거칠 수 있다. 제자리(in situ) 및 제자리 외(ex situ) 공정은 모두 전형적으로 제자리(in situ) 보수보다 낮은 빈도로 수행되는 제자리 외(ex situ) 세정(및 재-도포)과 함께 수행될 수 있다. 일부 구체예에서, 제자리(in situ) 보수 및/또는 제자리 외(ex situ) 박리 공정은 반복될 수 있다. 제자리(in situ) 보수는 또한 제외될 수 있다.
도 4A는 일부 구체예에 따른 반응기의 노출된 표면에 혼합된 자기-조립 단층막을 사용하여 하나 이상의 기판을 가공하는 방법의 순서도이다. 상기 방법은 반응기의 노출된 표면 위에 긴-사슬 분자를 가지는 SAM을 흡착시키는 단계(410); 긴-사슬 분자가 흡착되지 않은 반응기의 노출된 표면에 잔존하는 점유되지 않은 부위 위에 짧은-사슬 분자를 흡착시키는 단계(420); 기판을 반응기에 로딩하는 단계(430); 기판을 증착하여 가공하는 단계(440), 여기서 상기 반응기 표면은 혼합된 SAM에 의해 증착에 대하여 비활성화됨; 그리고 가공된 기판을 제거하는 단계(450)를 포함한다. 로딩/가공/제거 순환인 (430)/(450)/(460)는 X 회 반복될 수 있고, 그동안 혼합된 SAM 비활성화 층의 열화가 존재할 수 있다. 따라서, X개 기판이 가공된 후에, 도 제자리(in situ) 보수로서 4A에 나타난 혼합된 SAM을 임의로 보수(460)하는 것이 바람직할 수 있다. 일부 순환적인 보수에도 불구하고, 결국에는 혼합된 SAM 상에 일부의 증착 축적이 존재할 수 있을 것이고, 이는 매 Y회 보수 후에 박리 또는 세정 공정(470)을 수행하는 것을 바람직하게 만든다. 박리 후에, 혼합된 단층막이 재도포될 수 있다. 임의의 반복되는 순환의 가공, 보수 및 박리를 비롯한 전체적인 공정은 순차적 공정 및 챔버 컨디셔닝 순환(chamber conditioning loop)으로 지칭될 수 있다.
웨이퍼 가공을 위한 반응기를 준비하기 위해, 긴-사슬 분자를 가지는 첫 번째 SAM 전구물질이 반응기의 노출된 표면 위에 공급되고 흡착된다(410). 첫 번째 SAM 전구물질은 제자리(in situ) 또는 제자리 외(ex situ)에서, 액체 또는 증기 형태일 수 있고, 일부 구체예에서, ODTS, FOTS 및 TTS와 같은 상기 기술된 긴-사슬 SAM 전구물질 중 어느 하나를 포함할 수 있다. 예를 들어, 일부 구체예에서, 첫 번째 SAM 전구물질은 ODTS의 액체 용액을 포함한다. 첫 번째 SAM 전구물질은 반응기 챔버 내 제자리(in situ)에서 증기 형태로, 상이한 챔버에서 또는 반응기 챔버 밖 제자리 외(ex situ)(예컨대, 반응기 챔버가 분해되는 경우 용액에 부품을 담금)에서 증기 형태로 도입될 수 있고, 이후의 증착 공정에 노출될 반응기의 표면에 접촉될 수 있다. 첫 번째 SAM 전구물질의 긴-사슬 분자는 사전에 수산화 공정을 거쳤을 수 있는 반응기의 노출된 표면에 흡착되고 SAM을 형성한다. 제자리(in situ)에서 사용을 위해, 특정한 시간 후에, 첫 번째 SAM 전구물질의 흐름이 중단되고 반응기에 남아있는 임의의 첫 번째 SAM 전구물질을 제거하기 위해 임의의 퍼징 공정이 수행될 수 있다. 액체 전구물질을 위해, 부품은 과량의 전구물질로 헹궈질 수 있다.
짧은-사슬 분자를 가지는 두 번째 SAM 전구물질은 이후 긴-사슬 분자가 흡착되지 않은 반응기 표면의 잔존하는 점유되지 않은 반응성 부위 위에 도입되고 흡착될 수 있다(420). 첫 번째 SAM 전구물질과 같이, 두 번째 SAM 전구물질은 액체 또는 증기 형태일 수 있고, TCMS 또는 TMS과 같은 상기 기술된 짧은-사슬 SAM 전구물질 중 어느 하나를 포함할 수 있다. 두 번째 SAM 전구물질은 또한 반응기 챔버 내 제자리(in situ)로 또는 반응기 챔버 밖 제자리 외(ex situ) (예컨대, 반응기 챔버가 분해되는 경우)로 도입될 수 있고, 이후의 증착 공정에 노출될 반응기의 표면에 접촉될 수 있다. 전형적으로, 짧은-사슬 SAM 전구물질은 더욱 쉽게 휘발될 수 있어서, 긴-사슬 분자에서보다 제자리(in situ) 사용이 더 쉽다. 두 번째 SAM 전구물질의 짧은-사슬 분자는 긴-사슬 분자 중으로 확산되고, 긴-사슬 분자가 흡착되지 않은 부위에 흡착되어, 혼합된 SAM를 형성한다. 짧은-사슬 분자는, 다른 경우 단지 긴-사슬 분자를 사용한다면 이용가능할 수 있을 이용가능한 반응성 부위의 수 감소를 돕는다. 제자리(in situ) 사용을 위해, 특정한 시간 후에, 두 번째 SAM 전구물질의 흐름이 중단될 수 있고 반응기에 남아있는 임의의 두 번째 SAM 전구물질을 제거하기 위해 임의의 퍼징 공정이 수행될 수 있다. 액체 전구물질을 위해, 부품은 과량의 전구물질로 헹궈질 수 있다.
SAM 전구물질이 반응기에 증기 형태로 도입되는 일부 구체예에서, SAM 전구물질은 약 80 내지 400℃의 온도 및 약 0.01 내지 100 토르(Torr)의 압력으로 반응기에 도입될 수 있다. 일부 구체예에서, 첫 번째 SAM 전구물질이 약 10 sccm 내지 10 slm의 유량을 가지는 증기를 포함하는 반면, 다른 구체예에서, 첫 번째 SAM 전구물질은 약 50 sccm 내지 500 sccm의 유량을 가지는 액체를 포함한다. 일부 구체예에서, 두 번째 SAM 전구물질이 약 10 sccm 내지 10 slm의 유량을 가지는 증기를 포함하는 반면, 다른 구체예에서, 두 번째 SAM 전구물질은 약 50 sccm 내지 500 sccm의 유량을 가지는 액체를 포함한다. 다른 구체예에서, 첫 번째 또는 두 번째 SAM 전구물질의 흐름을 제공하는 대신에, 반응기의 부품을 액체조에 침지하여 SAM 분자를 그 위에 흡착시킬 수 있다.
혼합된 SAM을 형성한 후에, 기판은 반응기에 로딩될 수 있다(430). 일부 구체예에서, 반응기는 회분식(batch) 반응기일 수 있어서, 다수의 기판이 동시에 가공을 위해 반응기에 로딩될 수 있다(430).
기판(들)을 반응기에 로딩한(430) 후에, 기판(들)은 가공될 수 있다(440). 적절한 증착 공정은 화학적 증착(CVD) 또는 원자층 증착(ALD)을 포함한다. ALD 공정에서, 기체 전구물질은 교대로 그리고 반복적으로 공급되어 기판 상에 물질의 박막을 형성한다. SAM의 소수성 표면과 반응하지 않는 흡착 유도된 전구물질(예컨대, 금속 할라이드 전구물질)을 도입하여 산화물, 금속 산화물, 및 고-k 유전체를 비롯한 다양한 유형의 물질이 증착될 수 있다. 반응기의 노출된 표면 상의 혼합된 SAM의 형성으로 인해, 반응기의 표면은 웨이퍼 공정 도중에 증착 전구물질과의 반응으로부터 보호되거나 비활성화된다. 혼합된 SAM은 따라서 다수의 기판 또는 다수의 회분으로의 순차적 증착으로부터 시간이 경과하면서 야기되는, 반응기 표면에서 막축적이 벗겨지거나 떨어짐으로 인한 반응기 내 오염의 양 감소를 돕는다. 반응기의 노출된 표면에서 전구물질 흡착량의 감소는 추가적으로 표면 제거(예컨대, 탈기)로 인한 펄스 중복의 감소로 인해 화학적 사용을 돕고 더 짧은 ALD 펄스/퍼징 순환 시간을 가능하게 한다.
기판 가공(440) 이후에, 가공된 기판(들)은 제거될 수 있다(450). 기판 제거(450) 후에, 일부 구체예에서, 하나 이상의 추가적인 기판(들)(또는 회분)은 공정(440)을 위해 차례대로 반응기에 로딩될 수 있다(430). 도 4A에 나타난 바와 같이, 기판(들)을 로딩하는 단계(430), 기판(들)을 가공하는 단계(440) 및 기판(들)을 제거하는 단계(450)는 노출된 표면에서 제자리(in situ) 보수를 수행하는 단계(460) 전에 X 회 반복될 수 있다.
혼합된 SAM의 신규한 용도로, 임의의 제자리(in situ) 보수(460)는 비활성화 SAM를 재생할 수 있고, 오로지 단일-전구물질 SAM만 사용하거나 어떠한 SAM도 사용하지 않는 종래의 증착 공정에 비해 제자리 외(ex situ) 세정을 더욱 지연시킴으로써 반응기 생산성을 증가시킬 수 있다. 일부 구체예에서, 혼합된 SAM 층의 제자리(in situ) 보수는 긴-사슬 분자 및 짧은-사슬 분자(예컨대, 첫 번째 및 두 번째 SAM 전구물질) 모두, 또는 단지 짧은-사슬 분자 단독(예컨대, 두 번째 SAM 전구물질)에의 노출을 포함할 수 있고, 이는 본래 증착된 혼합된 SAM과 유사한 수준의 표면 비활성화 성능을, 그러나 훨씬 짧은 노출 시간으로 제공할 수 있다. 일부 구체예에서, 보수의 필요성은 단지 하루 한 회 내지 세 회, 더욱 바람직하게는 하루 한 회 내지 두 회 발생한다. 특정한 실시예에서, 개별적인 웨이퍼에의 20 Å 두께의 HfO2 막의 ALD 증착은 단일 웨이퍼 ALD 챔버에서 수행된다. 상기 시스템은 시간 당 약 15개 웨이퍼, 또는 대략 하루에 300개 웨이퍼를 가공할 수 있다. 혼합된 SAM의 도포는 제자리(in situ) 보수 공정 사이에 100-200개 웨이퍼를 지속적으로 가공할 수 있게 하고, 챔버 세정 또는 박리 공정을 훨씬 많이 지연시킬 수 있다.
제자리(in situ) 보수(460)의 목적은 반응기의 노출된 표면에 형성된 혼합된 SAM을 보수 또는 재생시켜 이의 바람직한 보호 또는 차단 특성을 유지시키는 것이다. 보수는 바람직할 수 있는데, 왜냐하면 혼합된 SAM의 부분이 시간이 지나면서 진공 조건 및 고온으로 인해 파괴 및/또는 제거되어, M-OH 또는 O-M-O 연결 부위를 비롯하여 이전에는 이용불가했던 반응성 부위의 노출을 야기할 수 있기 때문이다. 작고 드문 노출된 반응성 부위에도 불구하고, ALD 순환으로 형성되고, 혼합된 SAM의 효과성을 감소시킬 수 있는 추가적인 M-OH 기와 같은 응집된 막 축적이 다수의 증착 공정을 수행한 후에 혼합된 SAM 위에 발생할 수 있다. 제자리(in situ) 보수(460)는 일부 핵화가 시작된 이후라도 반응기 벽에 증착의 축적을 더욱 지연시킬 것이므로, 세정(470)의 빈도를 감소시키는 것으로 고려된다.
일부 구체예에서, 예컨대, 가령 혼합된 SAM이 손상되고 제거될 수 있거나, 더 큰 순환적 공정의 부분으로서 기판 가공 순환(430)-(450) 동안 증착이 시작된 경우, 제자리(in situ) 보수(460)는 SAM 전구물질 중 하나 또는 모두를 재도입하여 반응기의 표면에 흡착하는 단계를 포함한다. 일부 구체예에서, 상기 보수 단계가 긴-사슬 분자 및 짧은-사슬 분자 모두를 가지는 SAM 전구물질을 도입하는 단계를 포함하는 반면, 다른 구체예에서, 보수 단계는 단지 짧은-사슬 분자만을 가지는 SAM 전구물질을 도입하는 단계를 포함한다. 긴-사슬 분자 및 짧은-사슬 분자를 이용하거나, 단지 짧은-사슬 분자를 이용하는 그러한 제자리(in situ) 보수의 예가 도 5B의 순서도와 관련하여 추가로 기술된다.
도 4A를 계속 참고하여, 제자리(in situ) 보수 단계는 X 개의 기판을 가공한 후에, 가령 한 카세트(25개)의 웨이퍼의 런 내지 최대 하루 동안의 순차적 단일 웨이퍼 공정(예컨대, 100-200개 웨이퍼)의 런 후에 수행될 수 있다. 일부 구체예에서, X개의 기판을 가공하는 단계 이후의 제자리(in situ) 보수 단계는 순환적으로 Y회 반복될 수 있다. 예를 들어, 일부 구체예에서, 제자리(in situ) 보수(460) 공정을 비롯한 더 작은 순환이 1 내지 10 회 반복될 수 있다.
그러나, 혼합된 SAM을 사용한 비활성화는 주기적인 보수에도 불구하고, 제자리(in situ) 또는 제자리 외(ex situ) 박리(470)에 대한 필요성을 없애지 못할 것으로 예상된다. 오히려, 비활성화를 위해 단일 전구물질 SAM을 이용한 반응기에 비해 혼합된 SAM이 그러한 세정의 빈도를 지연시키거나 감소시킬 것으로 예상된다(예컨대, Mohith et al.의 미국 제7,118,779호를 참조). 세정을 수행해야 하는 빈도는 감소된다. 이는 특히 제자리 외(ex situ) 세정과 관련하여 유리한데, 왜냐하면 각각의 제자리 외(ex situ) 세정 동안, 반응기가 상당한 시간 동안 작동할 수 없기 때문이다. 박리(특히 제자리 외(ex situ) 박리)의 감소된 빈도는 따라서 더 높은 생산성을 제공한다.
반응기의 노출된 표면의 박리(470) 또는 세정 공정이 제자리(in situ) 보수(460) 공정이 사용되는가와 상관 없이, 특정 빈도와 함께 바람직할 것으로 보인다. 박리(470) 공정의 목적은 임의의 증착 축적을 반응기 표면으로부터 제거하는 것이다. 일부 구체예에서, 혼합된 SAM이 또한 반응기 표면으로부터 박리되고 신규한, 대체 SAM이 추가의 웨이퍼 가공을 위한 준비에서 제공될 것이다. 일부 구체예에서, 제자리 외(ex situ) 박리 공정은 반응기의 분해 단계 및 반응기 표면의 비드 블래스팅(bead blasting) 및 표준 화학 세정과 같은 전형적인 세정 공정의 수행 단계를 포함한다. 그러한 표준 세정은 비활성화 혼합된 SAM을 또한 제거할 수도 있다. 대안적으로, 플라즈마 에칭과 같은 분리된 SAM 제거 단계가 추가적으로 사용될 수 있다. 도 4A에 나타난 바와 같이, 박리 공정(470)은 Y 회의 제자리(in situ) 보수 실시 후에, 또는 X 곱하기 Y 회의 기판 가공 또는 회분 후에 수행될 수 있다. 일부 구체예에서, 반응성 부위를 차단하기 위해 반응기 표면에 혼합된 SAM의 사용을 통해, 주기적인 보수(460)와 함께 또는 없이 세정(470)이 매 10-30 주에 단 한 번 수행될 수 있다. 최근에, 각각의 웨이퍼에 5 - 50 Å의 HfO2를 증착하기 위해 단일 웨이퍼 반응기(예컨대, 아리조나, 피닉스의 ASM America, Inc.사에서 입수가능한 ASM 풀사(Pulsar)TM)를 이용하여, SAM의 이점 없이 세정이 약 매 3 주에 수행된다. 따라서, 세정(470)의 빈도는 비활성화가 없는 경우의 빈도에 비해 대략 3 - 10 배로 감소된다. 제자리 외(ex situ) 박리를 수행해야 하는 상기 감소된 빈도는 특히 유리한데, 왜냐하면 매번 제자리 외(ex situ) 보수가 수행될 때, 반응기는 분해되며 작동할 수 없고, 따라서, 제자리 외(ex situ) 보수를 수행하는 빈도가 낮을 수록, 생산성이 더 높기 때문이다. 숙련된 기술자는 도 4A가 순환 빈도의 예시 편의를 위해 보수 공정(460) 후에 박리(470)를 예시하는 반면, 실제로는 박리 공정(470) 전의 마지막 보수 단계가 제외될 수 있음이 더욱 가능함을 이해할 것이다(예컨대, 도 4B를 참조).
도 4B는 일부 구체예에 따른 혼합된 자기-조립 단층막을 반응기의 노출된 표면에 사용하여 하나 이상의 기판을 가공하기 위한 대안적 방법의 순서도이다. 도 4B의 순서도가 도 4A의 순서도와 같은 많은 동일한 공정 단계를 공유하지만, 도 4B의 순서도는 Z 회 반복될 수 있는 보수 공정과 함께 수행되는 별도로 임의적인 수산화 공정을 나열한다. 수산화 공정의 목적은 제자리(in situ) 보수의 일부로서 짧은-사슬 SAM 분자, 또는 긴 및 짧은-사슬 SAM 분자를 재도입하기 전에 -0H(예컨대, M-OH) 히드록실 기를 포함하는 최대 수의 반응성 부위를 가지는 노출된 표면을 제공하는 것이다. 수산화 공정은 노출된 표면을 향해 산소-함유 증기, 긴 수 펄스(long water pulse) 또는 다중 수 펄스를 도입시키는 단계를 포함할 수 있다.
도 4B와 관련하여, 대표적인 공정이 기술된다. 임의의 반복되는 순환의 가공, 보수, 수산화 및 보수, 및 도 4B에 예시된 박리를 포함하는 전체 공정은 순차적 공정 및 챔버 컨디셔닝 순환으로 지칭될 수 있다. 반응기에서, 긴-사슬 분자(예컨대, ODTS)를 가지는 자기-조립 단층막이 반응기의 표면에 흡착될 수 있다(410). 짧은-사슬 분자(예컨대, TMCS)이 이후 긴-사슬 분자가 흡착되지 않은 반응기의 표면에 흡착될 수 있고(420), 이를 통해 반응기 상에 혼합된 SAM을 형성한다. 일단 혼합된 SAM이 형성되면, 많은 웨이퍼기 순차적으로 반응기에 로딩될 수 있고(430), 가공될 수 있고(440)(예컨대, HfCl4의 ALD 증착에 의해) 제거될 수 있다(450). X 개의 웨이퍼가 가공되고 제거된 후에, 제자리(in situ) 보수 공정(예컨대, 짧은-사슬 분자의 도입)이 도 4A에 관해 기술된 것과 유사하게 수행될 수 있다(460). 제자리(in situ) 보수(460) 후에, 하나 이상의 기판이 로딩되고 가공되고 제거될 수 있다. 기판 가공(430)-(450) 및 제자리(in situ) 보수의 순환은 SAM 코팅의 비활성화 또는 부동태화(passivating)를 유지하기 위해 더 복잡한 챔버 컨디셔닝 단계가 필요하기 전에 총 Y 회 반복될 수 있다.
그러한 더욱 복잡한 컨디셔닝의 한 예에서, 제자리(in situ) 보수 공정 만을 수행하는 대신에, 조합된 수산화 공정(예컨대, 산소-함유 증기를 도입) 이후 제자리(in situ) 보수 공정이 반응기의 노출된 표면에 수행될 수 있다(465). 제자리(in situ) 보수 전의 수산화 공정의 수행은 짧은-사슬 분자, 또는 긴 및 짧은-사슬 분자를 도입하기 전에 반응기 표면에 -OH(예컨대, M-OH) 히드록실 기를 포함하는 최대 수의 반응성 부위를 제공하는 것을 돕는다. 수산화 및 제자리(in situ) 보수(465)에 이어서, 하나 이상의 기판이 X 회 로딩되고 가공되고 제거될 수 있고(430)-(450), 이후 단순 제자리(in situ) 보수(460)될 수 있고, 이러한 순환이 수산화/보수 공정(465) 이전에 다시 Y 회 반복될 수 있다. 조합된 수산화/보수(465)를 포함하는 더 큰 순환은 총 Z 회 반복될 수 있다. 당해 분야의 숙련가는 조합된 수산화 및 제자리(in situ) 보수(465)가 매 보수 단계에서 단순 제자리(in situ) 보수(460) 대신에 수행될 수 있음을 이해할 것이다.
더욱 복잡한 챔버 컨디셔닝의 또다른 예에서, 제자리(in situ) 보수 또는 수산화 및 제자리(in situ) 보수의 조합을 수행하는 것 대신에, 박리 공정이 하나 이상의 기판을 가공한 후에 반응기의 표면에 수행될 수 있다(470). 박리 공정은 제자리(in situ) 또는 제자리 외(ex situ)일 수 있고 반응기 표면 상의 임의의 증착 축적을 제거할 수 있다. 박리 공정을 수행한 후에, 하나 이상의 기판을 가공하기 위한 준비로서 혼합된 SAM이 다시 한번 반응기 표면에 증착될 수 있다. 당해 분야의 숙련가는 박리 공정이 선택된 수의 제자리(in situ) 보수 및/또는 수산화 및 제자리(in situ) 보수 순환의 조합이 수행된 후에 수행될 수 있음(470)을 이해할 것이다. 유리하게도, 혼합된 SAM을 통해 제공된 비활성화가 박리 또는 챔버 세정 공정의 빈도를 크게 낮춘다.
한 예에서, 각각의 복수의 웨이퍼 상의 순차적인 20 Å 두께의 HfO2 막의 ALD 증착은 단일-웨이퍼 ALD 챔버 내에서 수행된다. 상기 시스템은 제자리(in situ) 보수를 필요로 하기 전에 대략 30-60개의 웨이퍼, 또는 대략 둘 또는 셋의 25-개 웨이퍼 카세트를 가공할 수 있고, 즉, 제자리(in situ) 보수(460) 수행 전의 X = 30-60이다. 제자리(in situ) 보수(460)는 하루 1 내지 3회 일어날 수 있다. 또한, 일부 경우에, 수산화 및 보수 공정(465)은 짧은-사슬 분자, 또는 긴 및 짧은-사슬 분자의 재도입 전에 수행될 수 있다. 수산화 및 보수 공정(465)은 수산화 공정이 없는 제자리(in situ) 보수(460)에 비해 더 적은 빈도로 수행될 수 있고, 따라서 수산화 공정은 매 2 내지 3일에 한 번 수행될 수 있고, 즉, 수산화 및 보수(465)를 수행하는 경우 사이의 Y = 2-9이다. Z는 수산화 및 보수(465)가 (더 자주 포함되는 웨이퍼를 가공(430)-(450)하는 순환 및 수산화 없는 보수(460)를 포함하여) 박리(470) 전에 수행되는 횟수를 나타낸다.
도 5A는 일부 구체예에 따른 혼합된 자기-조립 단층막을 반응기의 노출된 표면에 형성하기 위한 방법의 순서도이다. 단계의 전부 또는 일부가 제자리 외(ex situ)에서 수행될 수 있지만, 바람직하게는 모든 단계가 기판의 증착이 수행되는 동일 반응기 내 제자리(in situ)에서 수행되며, 반응기에서 표면 전반에 대한 균일한 열적 반응을 보장한다. 상기 방법은 시즈닝 층을 반응기의 노출된 표면에 형성하는 단계(510); 반응기의 노출된 표면에 수산화 공정을 수행하는 단계(520); 긴-사슬 분자를 가지는 자기-조립 단층막(SAM)을 반응기의 노출된 표면 위에 흡착시키는 단계(530); 짧은-사슬 분자를 긴-사슬 분자가 흡착되지 않은 반응기의 노출된 표면의 반응성 부위에 흡착시켜서 혼합된 자기-조립 단층막을 형성하는 단계(540); 및 임의로, 수산화 및 자기-조립 단층막 전구물질 흡착을 반복하는 단계(550)를 포함한다. 다른 구체예에서, 수산화 및 SAM 흡착 단계는 순차적이기 보다는 동시에 수행될 수 있다.
혼합된 SAM을 반응기의 노출된 표면에 형성하기 이전에, 시즈닝 층이 형성될 수 있다(510). 시즈닝 층은 예를 들어, 더 조밀한 SAM 층을 그 위에 증착되게 할 높은 -OH 표면 피복률을 가지는 층을 제공하여, 이후의 증착 공정을 위해 반응기를 준비하게 돕는다. 시즈닝 층은 혼합된 SAM의 비활성화의 형성을 촉진한다. 시즈닝 층은 기판에 이후 증착을 위해 사용될 동일한 전구물질을 반응기에 도입하여 형성될 수 있다. 예를 들어, HfO2를 기판에 증착시키도록 설계된 반응기를 위해, 어느 한 기판을 도입하기 전에, HCl4과 같은 하나 이상의 전구물질의 펄스가 반응기에 도입될 수 있고 H2O의 펄스와 교대로 노출된 반응기 표면을 코팅하여 HfO2를 형성할 수 있다.
반응기의 노출된 표면에 시즈닝 층을 임의로 형성한(520) 후에, 수산화 공정이 수행될 수 있다(520). 수산화 공정의 목적은 비활성화 SAM를 증착하기 전에 -OH (예컨대, M-OH) 히드록실 기를 포함하는 최대 수의 반응성 부위를 가지는 반응기의 표면을 제공하는 것이다. 수산화 공정은 오로지 비활성화 SAM가 형성될 표면에 수행되는 것을 필요로 한다. 시즈닝 공정과 같이, 수산화 공정은, 비록 비활성화 SAM이 흡착될 수 있는 이용가능한 반응성 부위의 수를 최대화하는 것이 권고되지만, 임의적이다. 일부 구체예에서, 수산화 공정은 오존 기체와 같은 산소-함유 증기, 긴 수 펄스 또는 다중 수 펄스를 반응기 표면에 도입하는 것을 포함한다. 다른 구체예에서, 수산화 공정은 수 플라즈마의 직접 또는 간접적인 도입, 금속-산화물-금속 연결을 개방하기 위한 수소 플라즈마의 도입, 또는 열 또는 플라즈마 방식 내에 다른 산화제의 도입을 포함한다. 예를 들어, 일부 구체예에서, 수산화 공정은 반응기 표면에 100 sccm 내지 10 slm의 O2유량으로 1 분 내지 1 시간의 기간동안 5-100 % 농도의 오존 기체의 흐름을 도입하는 것을 포함한다. 일부 구체예에서, 산소-함유 증기는 연속적으로 또는 다중 펄스로서 도입될 수 있다. 오존(또는 다른 산소원)으로부터의 산소 원자는 자연적으로 노출된 표면에 결합하여 -OH 표면 기를 형성하고, 상기 기는 이후의 SAM의 흡착에 대해 반응성 부위로서 기능하고 더 높은 밀도의 SAM 분자를 제공한다.
당해 분야의 숙련가는 SAM을 형성하기 전에 질소, 수소 및 할라이드 표면 기의 사용을 통해 추가적인 반응성 부위가 또한 반응기의 표면에 생성될 수 있음을 이해할 것이다. 일부 구체예에서, 반응기 표면은 수소-내포 반응물질(예컨대, H2, HF, 등), 질소-내포 반응물질(예컨대, NH3, 히드라진, 등), 또는 할라이드-내포 반응물질(예컨대, NF3, CF4 또는 다른 클로로- 또는 플루오로카본, 등)에 노출된다. 추가로, 상기 목록은 배타적 목록이 아니며, 반응성 부위는 상이한 유형의 표면 기의 혼합물을 포함할 수 있다.
수산화 공정을 임의로 수행한(520) 후에, 긴-사슬 분자를 가지는 자기-조립 단층막이 반응기의 노출된 표면 위에 흡착될 수 있다(530). 자기-조립 단층막은 앞서 기술된 SAM 전구물질 중 어느 하나를 이용하여 수행될 수 있다.
혼합된 SAM을 형성하기 위해, 짧은-사슬 분자가 이후에 긴-사슬 분자가 흡착되지 않은 반응기의 노출된 표면 부위 위에 흡착될 수 있다(540). 혼합된 SAM은 반응기의 노출된 표면과의 반응으로부터 증착 전구물질을 방지 또는 차단하기 위해 사용되고, 이를 통해 웨이퍼 공정 도중에 반응기 표면 위의 원치 않는 막 축적량을 감소시킬 수 있다.
혼합된 SAM의 형성 이후에, 수산화 공정 및 SAM 전구물질 흡착이 임의로 반복될 수 있다(550). 수산화 공정 및 SAM 전구물질 흡착의 순환적 반복(550)은 반응기의 노출된 표면에서 차단된 반응성 부위의 수를 최대화하기 위해 일시적으로 차단되거나 숨겨진 반응성 부위와의 반응을 가능하게 할 수 있다. 일부 구체예에서, 수산화 공정의 반복은 앞서 수행된 동일한 수산화 공정의 수행을 포함하는 반면, 다른 구체예에서, 수산화 공정의 반복은 앞서 수행된 것과는 상이한 수산화 공정의 수행을 포함한다. 일부 구체예에서, SAM 전구물질 흡착의 반복은 긴-사슬 분자 및 짧은-사슬 분자 모두의 흡착을 포함하는 반면, 다른 구체예에서, 반복은 긴-사슬 분자 또는 짧은-사슬 분자 중 하나의 흡착을 포함한다.
도 5A의 처리 공정은 순환적인 처리 및 도 4A 또는 4B의 증착 공정에서 사용될 수 있다.
도 5B는 일부 구체예에 따른 반응기의 노출된 표면에서 혼합된 자기-조립 단층막을 보수하기 위한 방법의 순서도이다. 증기상 반응물질을 사용하는 제자리(in situ) 보수는 제자리 외(ex situ) 세정 사이의 시간을 연장시킬 수 있고 따라서 반응기 비가동 시간(downtime)을 최소화할 수 있다. 상기 방법은 반응기 표면에 혼합된 SAM을 이용하여 반응기에서 복수의 증착 런을 수행하는 단계(560); 반응기 표면에 시즈닝 층을 임의로 재형성하는 단계(570); 반응기 표면 또는 시즈닝 층에 수산화 공정을 수행하는 단계(580); 반응기 표면 또는 시즈닝 위에 짧은-사슬 분자를 흡착(585a)시키거나 반응기 표면 또는 시즈닝 층 위에 긴-사슬 분자 및 짧은-사슬 분자를 흡착시키는(585b) 단계; 및 수산화 및 SAM 전구물질 흡착을 임의로 반복하는 단계(590)를 포함한다.
많은 웨이퍼가 CVD 또는 ALD 조건 하에 가공되는, 반응기 표면에 형성된 혼합된 SAM을 가지는 반응기에서 복수의 증착 런을 수행한(560) 후에, 혼합된 SAM은 보수를 필요로 할 수 있다. 일부 구체예에서, 보수는 단계 585a 및 585b와 관련하여 논의될 SAM 전구물질 분자의 흡착 단계를 포함한다.
보수를 수행하기 이전에, 시즈닝 층이 반응기 표면에 임의로 재형성될 수 있다(570). 연장된 증착 런 후에, 상당한 축적이 이미 벽에 발생했고 더욱 완전하고 신뢰할 만한 기초가 비활성화 SAM을 재생하여 이후의 기판 가공에서 벽에의 추가적인 증착을 최소화하기 위해 요구되는 경우, 시즈닝 층을 재형성할 수 있다. 수산화 공정이 반응기 표면 상에 기존하는 SAM에, 또는 재형성된 경우에는 시즈닝 층에 수행될 수 있다(580).
수산화 공정을 수행한(580) 후에, 새로운 혼합된 SAM을 재생 또는 형성하는 보수 공정이 시작될 수 있다. 보수 공정은 짧은-사슬 분자만을 어느 한 임의적인 시즈닝 층을 비롯한 임의의 노출된 반응성 부위의 반응기 표면 위에 흡착하는 단계(585a), 또는 긴-사슬 분자 및 짧은-사슬 분자 모두를 반응기 표면(또는 임의의 시즈닝 층) 위에 흡착시키는 단계(585b) 중 하나를 포함할 수 있다. 585a 또는 585b 중 어느 한 흡착 공정을 수행하기 위해, SAM 전구물질이 반응기에 도입된다.
혼합된 SAM을 보수하기 위해 SAM 전구물질을 흡착시킨 후에, 수산화 공정 및 SAM 전구물질 흡착이 임의로 반복될 수 있다(590). 일부 구체예에서, SAM 전구물질 흡착의 반복(590)은 하나 이상의 SAM 전구물질을 주기적으로 반응기에 도입하는 단계를 포함한다. 예를 들어, 짧은-사슬 분자를 가지는 SAM 전구물질은 상이한 시간동안 상이한 유량으로 혼합된 SAM이 보수될 때까지 반복적으로 도입될 수 있다.
일부 구체예에서, 혼합된 SAM을 보수(예컨대, 짧은 사슬 및 긴 사슬 전구물질로, 또는 짧은 사슬 전구물질 만으로)하는 대신에, 혼합된 SAM의 흡착된 분자는 제자리(in situ)에서 고의로, 예컨대, 오존, 산소, NxOy, 또는 산화 플라즈마 종(plasma species)의 사용에 의한 유기 SAM의 연소를 통해 파괴되거나 제거될 수 있다. 예를 들어, 보수보다 낮은 빈도로, 도 4A 또는 도 4B의 박리(470)는 제자리(in situ) 박리를 통할 수 있다. 혼합된 SAM을 제자리(in situ)에서 제거하여, 상기 기술된 공정은 유리하게 반복될 수 있다. 예를 들어, 제자리(in situ) 산화에 의해 전체 또는 부분적으로 일단 혼합된 SAM이 제거되면, 시즈닝 층이 반응기 표면에 증착될 수 있고, 이후 임의로 수산화 공정이 이어질 수 있다. 시즈닝 층 및 임의의 수산화 공정의 재-부가는 다시 한번 혼합된 SAM을 깨끗한 표면 위에 증착하기 위해 첫 번째 SAM 전구물질 및 두 번째 SAM 전구물질의 재도입을 가능하게 하고, 따라서 일부 경우에 제자리 외(ex situ) 보수에 대한 필요를 더욱 늦춘다. 반복될 수 있는 혼합된 SAM의 제자리(in situ) 제거를 이용하는 대표적인 순환은 다음과 같이 기술된다: 티타늄 반응기에, 시즈닝 층(예컨대, 알루미나의 시즈닝 층)을 도입하고, SAM(예컨대, 단일 또는 혼합된)을 증착하고, 복수의 웨이퍼를 가공하고, 보수를 수행(예컨대, 긴-사슬 분자 또는 짧은-사슬 분자를 재도입)하거나 제거(예컨대, 산화)하는 공정.
도 6A-6E는 표면에의 혼합된 SAM의 형성 및 이어지는 웨이퍼 가공을 거치는 반응기(600)의 모식도이다. 제자리(in situ) 비활성화에 대해 예시하고 있지만, 반응기가 액체 또는 증기 SAM 전구물질에 의해 제자리 외(ex situ)에서 분해되거나 표면처리될 수 있음이 이해될 것이다. 도 6A는 일부 구체예에 따른 반응기(600) 및 이의 특징을 예시한다. 반응기(600)는 주입구 포트(inlet port)(605), 배출구(outlet) 포트(608) 및 발열체(susceptor)(614)를 포함할 수 있다. 반응기(600)는 CVD 반응기 또는 ALD 반응기 또는 실리콘 웨이퍼와 같은 기판(들)에 증착시킬 수 있는 임의의 다른 유형의 반응기일 수 있다. 반응기(600)는 단일-기판 가공기이거나 회분식-기판 가공기일 수 있다. 혼합된 SAM이 흡착될 수 있는 반응기 표면은 다음의 물질로 이루어질 수 있다(단, 이에 제한되지 않는다): 티타늄, 티타늄 합금, 티타늄 디옥사이드, 니켈, 니켈 합금, 스테인리스 스틸 및 알루미늄 옥사이드. 당해 분야의 숙련가는 반응기가 단지 도식적으로 나타나며 여러가지 다른 구성을 취할 수 있고 다른 성분 가령 가열기, 증발기 또는 기포발생기, 온도 제어를 위한 제어 시스템, 증착 전구물질의 유량의 제어기, 기체 분포 시스템, 등을 포함할 수 있음을 이해할 것이다. ALD 반응기는 전형적으로 각각의 반응물질에 대해 하나씩 존재하여 이들이 반응할 수 있는 표면을 최소화하는, 둘 이상의 분리된 주입구(나타나지 않음)을 포함한다. 부가적으로, 온도 제어기는 전형적으로 반응기 내 목적되는 표면을(특히 발열체(614) 및 지지 기판) 전구물질의 응축 한계 위 그리고 이들의 분해 한계 아래로 유지하도록 프로그램되어 있다.
도 6B는 일부 구체예에 따른 시즈닝 공정 및 수산화 공정에 노출시킨 후의 도 6A의 반응기(600)를 예시한다. 시즈닝 공정 및 수산화 공정은, 반응 챔버(604), 주입구(605) 및 배출구(608)의 인접한 표면, 및 발열체(614)의 표면을 비롯한 반응기의 노출된 표면(600)을 덮는 수산화된 층(617)을 형성한다. 일부 구체예에서, HfO2 ALD와 같은 이후의 기판 가공을 위해 의도된 동일한 증착을 포함할 수 있는 시즈닝 공정 동안, 반응기는 약 200℃ 내지 500℃의 온도 및 약 0.1 내지 10 토르의 압력으로 유지된다. 일부 구체예에서, 오존 기체의 도입을 포함할 수 있는 수산화 공정 동안, 반응기는 100 내지 500℃의 온도 및 약 0.1 내지 50 토르의 압력으로 유지된다.
도 6C는 일부 구체예에 따른 SAM 전구물질에 노출 후의 도 6B의 반응기(600)를 예시한다. SAM 전구물질은 혼합 또는 혼성된 SAM(622)를 임의의 시즈닝 층 위에 형성하기 위해 긴-사슬 분자 및/또는 짧은-사슬 분자 모두를 포함한다. 일부 구체예에서, 긴-사슬 분자 및 짧은-사슬 분자가 반응기로 도입되는 단일 원료에 함께 혼합될 수 있는 반면, 바람직한 구체예에서, 긴-사슬 분자 및 짧은-사슬 분자는 순차적으로 도입되는데, 긴-사슬 분자는 첫 번째로 도입되어 긴-사슬 단량체의 장점을 최대화하는 SAM을 형성하고, 이후 긴-사슬 분자 사이로 짧은-사슬 분자가 상호확산(interdiffusion)되어 임의의 잔여하는 반응성 부위를 차단하고 혼합된 SAM(622)을 형성한다. 일부 구체예에서, 긴-사슬 분자를 가지는 첫 번째 SAM 전구물질을 특정 기간 동안 도입한 후에, 첫 번째 SAM 전구물질의 흐름은 중지될 수 있고 퍼징 공정이 짧은-사슬 분자를 가지는 두 번째 SAM 전구물질의 도입 전에 수행될 수 있다. 일부 구체예에서, 퍼징 공정은 N2, Ar, He, 등과 같은 불활성 기체를 도입하는 것을 포함한다. 혼합된 SAM(622)은 증착 전구물질이 반응기의 노출된 표면(600)에 흡착하거나 반응하는 것으로부터 방지되도록 돕는다.
SAM은 반응기 내부의 다양한 표면에 흡착된다. 일부 구체예에서, SAM은 다섯 개 분자 단층막보다 더 작은 두께를 갖는다. 도 6C에 나타난 바와 같이, 일부 구체예에서, 흡착된 SAM(622)은 주입구 포트(605) 및 배출구 포트(608)의 표면까지 연장되어 이들 부위에서의 막 축적을 또한 방지할 수 있다.
도 6D는 일부 구체예에 따라 웨이퍼(632)가 반응 챔버(604) 내로 도입된 후의 도 6C의 반응기(600)를 예시한다. 일단 웨이퍼가 반응기(600)에 도입되고 발열체(614)에 위치되면, 웨이퍼는 CVD 또는 ALD, 특히 흡착-유도 ALD와 같은 증착 공정을 사용하여 가공될 수 있다.
도 6E는 일부 구체예에 따른 웨이퍼(632)에 증착 공정을 수행한 후의 도 6D의 반응기(600)를 예시한다. 일부 구체예에서, 웨이퍼(632)는 표준 CVD 또는 ALD 공정 조건 하에 가공된다. 증착 공정은 웨이퍼(632)에 막(644)의 증착을 야기한다. 일부 구체예에서, 최소량의 막이 혼합된 SAM(622)에 증착될 것이지만, 웨이퍼당 SAM(622)에 증착된 양은 일반적으로 무시가능할 수 있다. 일부 구체예에서, 혼합된 SAM 코팅된 반응기 표면에서의 성장의 양은 웨이퍼 상의 성장의 약 1/100 내지 1/50일 수 있다. 한 예에서, 웨이퍼(632) 상에 20 Å 막이 증착받는 표면에 10 초 후 대략 0.2 Å가 혼합된 SAM 코팅된 반응기 표면에 증착된다. 일반적으로, 웨이퍼 상의 매 10 Å는 처리된 벽에 수 십분의 일 옹스트롬 또는 그 미만, 바람직하게는 약 0인 증착을 야기하여, 웨이퍼(632)에의 증착이 반응 챔버(604)의 처리된 벽에 비해 선택적이도록 한다. 웨이퍼(632)가 적절하게 준비된 경우(도 7-8C 및 해당 설명을 참조), 증착은 또한 다른 부분에 비해 웨이퍼 상의 부분에 선택적일 수 있다.
증착 공정을 수행한 후에, 웨이퍼(632)는 제거될 수 있고, 또다른 웨이퍼가 공정을 위해 제공될 수 있다. 상기 단계는 일련의 웨이퍼를 위한 증착 런이 완료될 때까지 반복될 수 있다. 일단 증착 런이 완료되면, 일부 구체예에서, 반응기는 보수될 수 있다(앞서 논의된 바와 같음). 다른 구체예에서, 증착 런이 완료된 후에, 혼합된 SAM은 가령 열 어닐링에 의해 제거될 수 있다.
따라서, 반응기 표면을 혼합된 SAM(622)으로 코팅하는 것을 통해, 제자리(in situ) 또는 제자리 외(ex situ) 공정으로 반응기 표면을 보수 또는 재생해야 하는 빈도는 줄이면서, 임의의 소정의 기판에 있어서 반응기 표면에 식별가능한 증착 없이, 막 물질이 주로 웨이퍼에 증착되도록 선택적이거나 측정가능한 증착을 얻는 것이 가능하다. 유리하게는, 혼합 또는 혼성된 SAM을 사용하는 본 명세서에 기술된 방법은 SAM을 이용하지 않거나 단일-전구물질 SAM만을 사용하는 방법에 비해, 세정이 필요하기 전에 연장된 증착 런(예컨대, 더 많은 수의 가공된 웨이퍼)을 가능하게 한다.
전술된 구체예 중 어느 하나에 있어서, SAM의 초기 형성 공정의 일부로서 비활성화 표면(긴-사슬 분자 만으로 또는 긴-사슬 및 짧은-사슬 분자 모두로부터 형성됨)을 형성하거나 비활성화된 표면의 재도포 도중에 또는 이의 보수를 위해, 긴-사슬 분자 및/또는 짧은-사슬 분자를 도입하는 경우, 특정 백분율의 분자가 적절하게 흡착될 수 없거나 비활성화 표면에 화학적으로 결합불가능할 수 있다. 그러한 분자는 자기-조립 단층막에 불완전함을 만들 수 있고 공정 동안 반응기 표면 상의 증착을 허용한다. 비활성화된 표면에 화학적으로 결합되지 않은 이들 분자는 화학적으로 흡착된 분자를 건드리지 않으면서 물리적으로 흡착된 분자의 제거를 돕기 위해 선택된 조건하에 화학 어트랙터(chemical attractor), 열 처리, 또는 진공 처리와 함께 액체 또는 증기 처리로 구성되는 "추출" 단계를 수행하여 제거될 수 있다. 추출 처리는 물리적으로 흡착된 분자의 표면을 효과적으로 세정하고 긴 및/또는 짧은 SAM 전구물질에 대한 이후의 노출을 위해 반응성 부위를 노출하고, 추출은 상기 전구물질 노출과 함께 순환될 수 있다.
B. 소자 구조 상의 반응성 부위 비활성화를 위한 혼합된 SAM 사용
기판 공정 동안 선택된 기판 표면에 물질 증착을 방지하거나 최소화하기 위해 소자 구조 상에 혼합된 SAM을 활용하는 방법이 기술된다. 혼합 또는 혼성된 SAM을 이용하여, 증착 공정(가령 CVD 또는 ALD 증착 공정) 동안 물질이 소자의 특정 표면에 증착되고 다른 표면에는 (만약 존재한다면) 최소로 증착되는 선택적 증착을 성취할 수 있다.
도 7은 일부 구체예에 따른 선택적 증착을 위한 방법의 순서도이다. 상기 방법은 노출된 도체 표면 및 노출된 부도체 표면을 가지는 기판을 제공하는 단계(710); 긴-사슬 분자를 가지는 자기-조립 단층막을 노출된 부도체 표면 위에 흡착시키는 단계(720); 짧은-사슬 분자를 긴-사슬 분자가 흡착되지 않은 노출된 부도체 표면 위에 흡착시키는 단계(730); 및 ALD 공정을 수행하여 상기와 같이 처리된 부도체 표면에 비해 노출된 도체 표면 위에 막을 선택적으로 증착하는 단계(740)를 포함한다.
먼저, 노출된 도체 표면 및 노출된 부도체 표면을 가지는 기판이 제공된다(710). 상기 기판은 부분적으로 제작된 집적 회로 구조일 수 있다. 도체 표면은 임의로 도핑된(doped) 금속 표면 및/또는 반도체 표면을 포함할 수 있다. 도체 표면에서 형성될 SAM에 의한 비활성화를 방지하기 위해 자연 산화막 또는 히드록실 기를 세정할 수 있다.
기판을 제공한(710) 후에, 긴-사슬 분자를 가지는 자기-조립 단층막이 노출된 부도체 표면 위에 흡착될 수 있다(720). 상기 기술된 SAM 전구물질, 가령 옥타데실트리클로로실란((CH3)(CH2)17SiCl3 또는 ODTS), 트리데카플루오로-1,1,2,2-테트라히드로옥틸트리클로로실란(FOTS), 트리콘틸트리클로로실란(TTS), FOMB(DMA), 옥틸트리클로로실란(CH3(CH2)7SiCl3), 및 운데실 트리클로로실란((CH3)(CH2)10-SiCl3) 중 어느 하나가 긴-사슬 분자를 제공하기 위해 사용될 수 있다.
자기-조립 단층막을 흡착시킨(720) 후에, 짧은-사슬 분자가 흡착된 긴-사슬 분자에 점유되지 않은 노출된 부도체 표면의 잔여 활성 부위 위에 흡착될 수 있고(730), 이를 통해 혼합된 SAM을 노출된 부도체 표면 위에 형성한다. TCMS 또는 TMS와 같은 상기 기술된 SAM 전구물질 중 어느 하나가 짧은-사슬 분자를 제공하기 위해 사용될 수 있다. 혼합된 SAM은 부도체의 반응성 부위를 차단하여 도체 표면에 비해 부도체 위에 일어나는 증착의 양을 방지하거나 최소화하고, 이를 통해 이후의 증착에 대해 비활성화한다.
반응기 처리에서와 같이, SAM 형성은 제자리 외(ex situ)에서, 제자리(in situ)에서, 또는 상기 두 가지의 조합으로 수행될 수 있다. 전구물질은 액체 또는 증기 형태로 전달될 수 있다.
노출된 부도체 표면에 혼합된 SAM을 형성한 후에, SAM-처리된 부도체 표면에 비해 노출된 도체 표면 위에 선택적으로 막을 증착하기 위해 ALD 공정이 수행될 수 있다(740). 예컨대, 게이트전극 구조의 부품을 형성하기 위해, 도체 표면에 막이 증착되지만, 부도체 표면 위에는 혼합된 SAM의 차단 능력으로 인해 단지 최소량의 막(만약 존재한다면)이 증착된다. 바람직하게는, 혼합된 SAM의 완전성은 가공된 웨이퍼당 그 위에 어떠한 측정가능한 증착도 허용하지 않는다.
도 8A-8C는 부도체(806) 상에 혼합된 SAM의 형성 및 이후의 증착(예컨대, ALD) 공정을 거치는, 부도체(806) 및 반도체 및/또는 금속(808)을 포함하는 혼합된 표면을 가지는 기판(800)의 모식도이다. 따라서 기판(800)은 노출된 부도체(806) 및 반도체/금속 표면(808)을 가지는 패터닝된 기판이다. 부도체 표면(806) 및 반도체 및/또는 금속 표면(808)은 부분적으로 제작된 집적 회로 구조의 부분일 수 있다. 일부 구체예에서, 부도체 표면은 SiO2와 같은 유전체 막에 의해 형성된다.
도 8B은 혼합 또는 혼성된 자기-조립 단층막(822)을 노출된 부도체(806)에 형성한 후의 도 8A의 기판(800)을 예시한다. 혼합된 SAM은 패터닝된 기판(반도체 및/또는 금속(808))의 두 번째 표면에는 형성됨 없이, 풍부한 반응성 부위로 인해 패터닝된 기판(노출된 부도체(806))의 첫 번째 표면에 형성된다. 혼합된 자기-조립 단층막(822)은 상기 기술된 바와 같이 긴-사슬 분자를 가지는 첫 번째 SAM 전구물질 및 짧은-사슬 분자를 가지는 두 번째 SAM 전구물질을 부도체의 노출된 표면에 함께 또는 순차적으로 공급하여 형성될 수 있다. 일부 구체예에서, 부도체에 형성된 혼합된 SAM(822)의 평균 두께(예컨대, 긴 및 짧은 사슬 분자 모두의 평균 두께)는 약 20 내지 50 Å이다. 혼성 자기-조립 단층막(822)을 형성하기 위해 사용된 긴-사슬 분자 및 짧은-사슬 분자 중 일부가 또한 반도체 및/또는 금속 표면(808)에 흡착될 수 있지만, 흡착된 양(만약 존재한다면)은 최소이거나 무시할 수 있다.
도 8C는 일부 구체예에 따른 선택적 증착 공정을 노출된 반도체 및/또는 금속 표면(808)에 수행한 후의 도 8B의 기판(800)을 예시한다. 증착 공정은 막 층(851)을 반도체 및/또는 금속 표면(808)에 그리고 상대적으로 적게(또는 바람직하게는 아주 없이) 부도체(806)에 증착하는 CVD 또는 ALD 공정(가령 하기 기술된 HfO2 ALD 공정 중 하나)을 포함한다. 막 층(851)은 HfO2 또는 ZrO2의 막, 또는 선택적으로 반도체 및/또는 금속 표면(808) 위에 증착될 수 있지만 부도체 표면(806)에는 증착되지 않는 임의의 다른 막을 포함할 수 있다. 일부 구체예에서, 막 층은 10 내지 50 Å, 또는 10 내지 30 Å일 수 있다. ALD를 위한 많은 금속 전구물질, 특히 금속 할라이드는 소수성 SAM 표면에 흡착되지 않을 것이며 따라서 증착은 그 위에 일어나지 않는다. 특정한 예에서, 반도체/금속 표면에 HfO2의 증착된 막 층의 두께는 약 1.0 내지 10.0 nm이지만, 혼합된 SAM(822) 상에는 어떠한 측정가능한 두께도 형성되지 않는다. 일부 구체예에서, 증착된 막 층(151)은 97 % 이상, 더욱 바람직하게는 99 % 이상의 두께 균일성을 가지며, 90 % 이상, 더욱 바람직하게는 98 % 이상의 단차 피복률을 가진다.
ALD의 더욱 자세한 구체적인 예가 이제 기술된다. 혼합된 SAM을 반응기 표면 및/또는 반응 챔버 내 부분적으로 제작된 집적 회로 구조의 표면에 제공한 후에, 하나 이상의 기판이 반응 챔버에 로딩될 수 있고 ALD 공정이 개시될 수 있다. 두 가지 반응물질 기체 및 퍼징 기체가 일련의 하프늄 옥사이드(HfO2) 단층막을 형성하기 위해 사용될 수 있다. 반응물질 기체는 하프늄 클로라이드(HfCl4) 및 H2O를 포함할 수 있다. 당해 분야의 숙련가는 다른 ALD 공정을 위한 반응물질 기체가 또한 TMA, ZrCl4, 알킬-아미드, 및 알콕사이드를 포함하지만 이에 제한되지 않는 소수성 SAM에 반하여 선택될 수 있음을 이해할 것이다. 퍼징 기체는 질소(N2)를 포함할 수 있다. 더욱 복잡한 공정은 기판에 형성될 원하는 단층막에 따라 반응물질 제거 단계(예컨대, 퍼징 펄스)에 의해 분리된 셋 이상의 반응물질을 사용할 수 있다.
ALD 공정은 기판 온도를 반응물질의 응축 온도 이상 및 열 분해 온도 이하로 설정하는 것으로 시작된다. 첫 번째 반응물질 펄스는 HfCl4를 반응 챔버로 도입하는 것으로 시작할 수 있다. 일단 반응 챔버 내로 들어가면, HfCl4는 부분적으로 제작된 집적 회로의 선택 표면에 화학적으로 흡착되고, 전형적으로 단층막 이외에는 어떤 것도 남기지 않는다. HfCl4이 원하는 표면에 흡착된 후에, HfCl4의 흐름은 중단될 수 있다. 불활성(예컨대, N2) 퍼징 기체가 이후 반응 챔버로 제공될 수 있고, 여기서 상기 기체는 부분적으로 제작된 집적 회로의 표면에 흡착되지 않은 임의 분량의 HfCl4를 제거하도록 설계된다.
일단 증착 챔버가 퍼징되면, 두 번째 반응물질 펄스는 H2O를 반응 챔버 내로 도입하여 시작할 수 있다. 일단 반응 챔버 내에 들어오면, H2O는 화학적으로 흡착된 -HfCl3 종과 반응하여 하프늄 옥사이드 HfO2 또는 -Hf(OH)3의 단층막을 부분적인 집적 회로의 선택 표면에 형성한다. HfO2의 형성 이후에, H2O의 흐름은 중단될 수 있다. N2 퍼징 기체가 이후 반응 챔버로 제공될 수 있고, 여기서 상기 기체는 부분적으로 제작된 집적 회로의 표면에 충분히 흡착되지 않은 임의 분량의 H2O를 제거하도록 설계된다. 상기 공정은 반복되어 부분적으로 제작된 집적 회로의 선택 표면에 HfO2의 복수의 단층막을 형성할 수 있다. 증착 온도에서 입체 장해 및 낮은 히드록실 기 피복률로 인해, 평균 증착 정도는 대부분의 ALD 공정에서 순환당 완전한 분자 단층막 미만이다.
대조적으로, 혼합된 SAM이 증착되어 있는 반응기 표면 및/또는 부분적으로 제작된 집적 회로 구조의 표면은 전반적인 ALD 공정이 선택적이게 되도록 하는 최소량(만약 존재한다면)의 물질 증착을 가질 것이다. 두 가지 전구물질로 형성된 혼합된 SAM은 밑에 깔린 표면에 ALD 전구물질의 흡착을 차단하고 방지하는 소수성 꼬리-말단을 포함한다. 따라서, HfCl4와 같은 ALD 전구물질은 위에 덮인 혼합된 SAM을 가지지 않는 노출된 표면에만 흡착될 것이다. SAM의 소수성 꼬리와 반응하지 않을 ALD 전구물질의 예는 물, 알코올, 및 -OH 관능기를 갖는 다른 분자, 금속 할라이드(예컨대, HFCl4, ZrCl4, TiCl4), 유기금속성 전구물질(예컨대, TMA, TEMAH) 및 다른 -OH 반응성 유기 및 무기 전구물질을 포함한다. 따라서, 수 반응성이고 SAM과 반응하지 않는 ALD 전구물질이 비활성화될 것이다.
본 발명에서 본 발명의 범위 또는 사상에서 벗어나지 않고 다양한 변형 및 변화가 만들어질 수 있음이 당해 분야의 숙련가에게 명백할 것이다. 일부 구체예에서, 상기 기술된 많은 공정/세정 순환에서 특정 단계 또는 순환이 제외될 수 있고, 여전히 이익을 얻을 수 있다. 예를 들어, 도 4A 및 4B와 관련하여, 짧은-사슬 분자를 흡착시키는 단계(420)를 제외시키는 것이 가능하며, 여전히 본 발명의 많은 이익을 얻을 수 있다. 단지 긴-사슬 분자만을 가지는 SAM 층의 흡착이라도 본 명세서에 기술된 제자리(in situ) 보수, 수산화 및 제자리(in situ) 보수의 조합, 및 제자리(in situ) 및 제자리 외(ex situ) 박리 공정으로부터 이익을 얻을 수 있다. 따라서, 본 발명이 이들이 첨부된 청구 범위 및 이의 동등한 범위 내에 있을 경우에 한해 본 발명의 변형 및 변화를 포괄함이 의도된다.

Claims (40)

  1. 가공 방법으로서,
    노출된 표면을 제공하는 단계;
    자기-조립 단층막(self-assembled monolayer)을 상기 노출된 표면 위에 흡착시키기 위해 첫 번째 분자 사슬 길이를 가지는 첫 번째 유기 전구물질을 공급하는 단계; 및
    자기-조립 단층막이 흡착되지 않은 상기 노출된 표면의 반응성 부위들(reactive sites)에 흡착시키기 위해 상기 첫 번째 분자 사슬 길이보다 더 짧은 두 번째 분자 사슬 길이를 가지는 두 번째 유기 전구물질을 공급하는 단계;를 포함하는 가공 방법.
  2. 제1항에 있어서, 상기 노출된 표면은 반응 챔버의 표면인 가공 방법.
  3. 제1항에 있어서, 상기 노출된 표면은 패터닝된 기판의 표면인 가공 방법.
  4. 제3항에 있어서, 상기 노출된 표면은 집적 회로 구조의 절연성(insulating) 표면인 가공 방법.
  5. 제1항에 있어서, 상기 첫 번째 분자 사슬 길이는 8개 이상의 탄소 원자의 길이인 가공 방법.
  6. 제1항에 있어서, 상기 두 번째 분자 사슬 길이는 8개 미만의 탄소 원자의 길이인 가공 방법.
  7. 제1항에 있어서, 상기 첫 번째 분자 사슬 길이는 12개 이상의 탄소 원자의 길이이고 상기 두 번째 분자 사슬 길이는 6개 이하의 탄소 원자의 길이인 가공 방법.
  8. 제3항에 있어서, 반응 챔버 내 상기 패터닝된 기판 상에, 선택적 원자층 증착(atomic layer deposition, ALD) 공정을 수행하는 단계를 더 포함하며,
    상기 선택적 ALD 공정 동안, 상기 자기-조립 단층막 상에, 그리고 상기 자기-조립 단층막이 흡착되지 않은 표면 상에 물질이 증착되며,
    상기 자기-조립 단층막 상에 증착된 상기 물질의 양은 상기 자기-조립 단층막이 흡착되지 않은 상기 표면 상에 층착된 상기 물질의 양의 10% 미만인 가공 방법.
  9. 제8항에 있어서, 상기 자기-조립 단층막 상에 증착된 상기 물질의 양은 상기 자기-조립 단층막이 흡착되지 않은 상기 표면 상에 층착된 상기 물질의 양의 2% 미만인 가공 방법.
  10. 제8항에 있어서, 상기 자기-조립 단층막은, 상기 패터닝된 기판의 두 번째 표면에는 상기 자기-조립 단층막의 형성 없이, 상기 패터닝된 기판의 첫 번째 표면에 형성되는 가공 방법.
  11. 제1항에 있어서, 상기 첫 번째 유기 전구물질을 도입하기 전에 상기 노출된 표면에 수산화 공정(hydroxylation process)을 수행하는 단계를 더 포함하는 가공 방법.
  12. 제11항에 있어서, 상기 수산화 공정은 상기 노출된 표면을 오존 기체에 노출시키는 단계를 포함하는 가공 방법.
  13. 제11항에 있어서, 상기 수산화 공정 및 상기 첫 번째 유기 전구물질 및 두 번째 유기 전구물질 중 적어도 하나를 도입하는 단계를 한 번 이상 반복하는 것을 더 포함하는 가공 방법.
  14. 제13항에 있어서, 상기 수산화 공정 및 상기 첫 번째 유기 전구물질 및 두 번째 유기 전구물질 중 적어도 하나의 도입 단계의 반복은 제자리(in situ) 보수 공정의 일부로서 매 2-3일에 한 번 일어나는 가공 방법.
  15. 제13항에 있어서, 상기 노출된 기판 위에 흡착된 상기 자기-조립 단층막 및 첫 번째 분자 사슬 길이 및 두 번째 분자 사슬 길이를 제자리 외(ex situ)에서 주기적으로 박리하는(strip) 단계를 더 포함하는 가공 방법.
  16. 제15항에 있어서, 제자리 외(ex situ)에서 주기적으로 박리하는 단계는 증착 런(run) 사이에 적어도 15주의 간격을 두고 수행되는 가공 방법.
  17. 제15항에 있어서, 제자리 외(ex situ)에서 주기적으로 박리하는 단계는 HfO2의 원자층 증착(atomic layer deposition, ALD)을 포함하는 증착 런 사이에 적어도 4주의 간격을 두고 수행되는 가공 방법.
  18. 제1항에 있어서, 첫 번째 유기 전구물질 및 두 번째 유기 전구물질은 동시에 공급되는 가공 방법.
  19. 제1항에 있어서, 상기 두 번째 유기 전구물질을 공급하기 전에 상기 노출된 표면 위에 흡착되지 않은 미반응된 첫 번째 유기 전구물질을 제거하는 단계를 더 포함하는 가공 방법.
  20. 제1항에 있어서, 반응성 부위에 흡착되지 않은 미반응된 두 번째 유기 전구물질을 제거하는 단계를 추가로 포함하는 가공 방법.
  21. 제1항에 있어서, 상기 첫 번째 유기 전구물질 및 두 번째 유기 전구물질 중 적어도 하나를 공급한 후에 상기 노출된 표면으로부터 흡착되지 않은 분자들을 추출하는 단계를 더 포함하는 가공 방법.
  22. 제21항에 있어서, 상기 흡착되지 않은 분자를 추출한 후에 상기 첫 번째 유기 전구물질 및 두 번째 유기 전구물질 중 적어도 하나를 재공급하는 단계를 더 포함하는 가공 방법.
  23. 증착 반응기를 이용한 가공 방법으로서,
    자기-조립 단층막을 반응기 표면의 부분들에 증착하기 위해 첫 번째 유기 전구물질을 공급하는 단계; 및
    상기 자기-조립 단층막이 증착되지 않은 상기 반응기 표면의 부분들과 반응시키기 위해 두 번째 유기 전구물질을 공급하는 단계를 포함하며, 여기서 상기 두 번째 유기 전구물질 내 분자들의 사슬은 상기 첫 번째 유기 전구물질 내 분자들의 사슬보다 더 짧은 가공 방법.
  24. 제23항에 있어서, 상기 첫 번째 유기 전구물질 및 상기 두 번째 유기 전구물질은 동시에 도입되는 가공 방법.
  25. 제23항에 있어서, 상기 반응기 내에 집적 회로 구조를 제공하는 단계, 및 하나 이상의 단층막이 상기 집적 회로 구조에 증착되지만 상기 반응기 표면의 상기 자기-조립 단층막에는 증착되지 않는, 상기 반응기에서 상기 집적 회로 구조에 원자층 증착(atomic layer deposition, ALD) 공정을 수행하는 단계를 포함하는 가공 방법.
  26. 제23항에 있어서, 상기 첫 번째 유기 전구물질은 상기 반응기 내에 제자리(in situ)로 공급되는 가공 방법.
  27. 제23항에 있어서, 상기 첫 번째 유기 전구물질은 상기 반응기의 외부에서 제자리 외(ex situ)로 도입되는 가공 방법.
  28. 제23항에 있어서, 상기 첫 번째 유기 전구물질 및 두 번째 유기 전구물질을 공급한 후에 상기 반응기 표면의 부분들 위에서 상기 첫 번째 유기 전구물질의 반응된 분자들 및 상기 두 번째 유기 전구물질의 반응된 분자들을 오존, 산소, NxOy, 또는 다른 산화 플라즈마 종(plasma species)을 이용하여 제자리(in situ) 제거하는 것을 더 포함하는 가공 방법.
  29. 반도체 소자의 형성 방법으로서,
    첫 번째 표면 및 상기 첫 번째 표면과 상이한 두 번째 표면을 가지는 집적 회로 구조를 반응 챔버에 제공하는 단계;
    첫 번째 유기 전구물질을 상기 두 번째 표면은 코팅하지 않으면서 상기 첫 번째 표면의 부분들에 자기-조립 단층막을 코팅하기 위해 상기 반응 챔버에 도입하는 단계;
    상기 첫 번째 표면을 코팅하지 않은 미반응된 첫 번째 유기 전구물질을 상기 반응 챔버로부터 퍼징하는(purging) 단계;
    두 번째 유기 전구물질을 상기 첫 번째 유기 전구물질로 코팅되지 않은 상기 첫 번째 표면의 반응성 부위들과 반응시키기 위해 상기 반응 챔버에 도입하는 단계; 및
    반응성 부위들과 반응하지 않은 미반응된 두 번째 유기 전구물질을 상기 반응 챔버로부터 퍼징하는 단계를 포함하는 반도체 소자의 형성 방법.
  30. 제29항에 있어서, 선택적 원자층 증착(atomic layer deposition, ALD) 공정을 수행하는 것을 더 포함하며, 물질이 상기 두 번째 표면에 증착되지만 상기 자기-조립 단층막으로 코팅된 상기 첫 번째 표면에는 증착되지 않는 반도체 소자의 형성 방법.
  31. 제30항에 있어서, 상기 자기-조립 단층막을 제거하기 위해 열 어닐링(thermal anneal)을 수행하는 것을 더 포함하는 반도체 소자의 형성 방법.
  32. 제29항에 있어서, 상기 첫 번째 유기 전구물질은 옥타데실트리클로로실란(ODTS), 트리데카플루오로-1,1,2,2-테트라히드로옥틸트리-클로로실란(FOTS) 및 테트라데실트리클로로실란(TTS)으로 이루어진 군에서 선택되는 반도체 소자의 형성 방법.
  33. 제32항에 있어서, 상기 두 번째 유기 전구물질은 트리클로로메틸실란(TCMS), 트리메틸클로로실란(TMCS) 및 FOMB(DMA)으로 이루어진 군에서 선택되는 반도체 소자의 형성 방법.
  34. 집적된 막 구조로서,
    첫 번째 노출된 표면 및 상기 첫 번째 노출된 표면과 상이하고 상기 첫 번째 노출된 표면에 인접한 두 번째 노출된 표면; 및
    상기 두 번째 노출된 표면에는 형성됨 없이 상기 첫 번째 노출된 표면에 흡착된, 혼합된 소수성 막(mixed hydrophobic film)을 포함하며, 상기 혼합된 소수성 막은 다수의 첫 번째 사슬 유기 분자들 및 다수의 두 번째 사슬 유기 분자들을 포함하고, 상기 두 번째 사슬 유기 분자들은 상기 첫 번째 사슬 유기 분자보다 더 짧은, 집적된 막 구조.
  35. 제34항에 있어서, 상기 첫 번째 노출된 표면은 유전체 물질(dielectric material)을 포함하고 상기 두 번째 노출된 표면은 반도체 물질을 포함하는 집적된 막 구조.
  36. 제34항에 있어서, 상기 혼합된 소수성 막은 100 도 이상의 수 접촉각(water contact angle)을 가지는 집적된 막 구조.
  37. 제34항에 있어서, 상기 두 번째 노출된 표면 상에 증착되고 상기 첫 번째 노출된 표면의 상기 혼합된 소수성 막 위에 증착된, 위에 덮인 원자층 증착(ALD) 막을 더 포함하며,
    상기 첫 번째 노출된 표면의 상기 혼합된 소수성 막 위에 증착된 상기 ALD 막의 양은 상기 두 번째 노출된 표면 상에 증착된 상기 ALD 막의 양의 10%보다 작은 것을 특징으로 하는 집적된 막 구조.
  38. 제37항에 있어서, 상기 두 번째 노출된 표면 상에서 상기 ALD 막은 10 Å 내지 30 Å의 두께를 갖는 집적된 막 구조.
  39. 제37항에 있어서, 상기 두 번째 노출된 표면 상에서 상기 ALD 막은 98 % 이상의 두께 균일성을 가지는 집적된 막 구조.
  40. 제37항에 있어서, 상기 두 번째 노출된 표면 상에서 상기 ALD 막은 98 % 이상의 단차 피복률(step coverage)을 가지는 집적된 막 구조.
KR1020127019653A 2010-02-17 2011-02-14 증착으로부터 반응성 부위의 비활성화 KR101496644B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/707,065 2010-02-17
US12/707,065 US8293658B2 (en) 2010-02-17 2010-02-17 Reactive site deactivation against vapor deposition
PCT/US2011/024762 WO2011103062A2 (en) 2010-02-17 2011-02-14 Reactive site deactivation against vapor deposition

Publications (2)

Publication Number Publication Date
KR20130005262A KR20130005262A (ko) 2013-01-15
KR101496644B1 true KR101496644B1 (ko) 2015-02-27

Family

ID=44369067

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127019653A KR101496644B1 (ko) 2010-02-17 2011-02-14 증착으로부터 반응성 부위의 비활성화

Country Status (4)

Country Link
US (1) US8293658B2 (ko)
JP (1) JP5856085B2 (ko)
KR (1) KR101496644B1 (ko)
WO (1) WO2011103062A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170137653A (ko) * 2016-06-03 2017-12-13 어플라이드 머티어리얼스, 인코포레이티드 선택적 지역 증착을 위한 통합형 클러스터 툴

Families Citing this family (477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI627667B (zh) 2012-11-26 2018-06-21 應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140242811A1 (en) * 2013-02-27 2014-08-28 United Microelectronics Corp. Atomic layer deposition method
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) * 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2854158B1 (en) * 2013-09-27 2019-07-10 IMEC vzw Layer deposition on III-V semiconductors
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN106062245B (zh) * 2014-03-03 2020-04-07 皮考逊公司 用ald涂层保护气体容器的内部
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
CN105019019B (zh) * 2014-04-30 2019-04-19 应用材料公司 用于选择性外延硅沟槽填充的方法
JP6263450B2 (ja) * 2014-07-24 2018-01-17 東京エレクトロン株式会社 有機単分子膜形成方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP2016066644A (ja) 2014-09-22 2016-04-28 株式会社東芝 記憶装置の製造方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US20180053659A1 (en) * 2015-02-26 2018-02-22 Applied Materials, Inc. Methods and apparatus for deposition processes
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6346115B2 (ja) * 2015-03-24 2018-06-20 東芝メモリ株式会社 パターン形成方法
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
JP6751107B2 (ja) 2015-05-01 2020-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10032751B2 (en) * 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US10811388B2 (en) 2015-09-28 2020-10-20 Invensas Corporation Capacitive coupling in a direct-bonded interface for microelectronic devices
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102145950B1 (ko) 2015-10-04 2020-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체 및 배플 장치
WO2017062134A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Small thermal mass pressurized chamber
KR102055712B1 (ko) 2015-10-04 2019-12-13 어플라이드 머티어리얼스, 인코포레이티드 감소된 용적의 처리 챔버
WO2017062135A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Drying process for high aspect ratio features
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6692443B2 (ja) * 2016-03-03 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 断続的な空気−水暴露による自己組織化単分子膜のブロッキングの改良
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN114975176A (zh) 2016-04-25 2022-08-30 应用材料公司 用于自组装单层工艺的化学输送腔室
JP6573575B2 (ja) * 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10366878B2 (en) * 2016-05-06 2019-07-30 Applied Materials, Inc. Selective deposition through formation of self-assembled monolayers
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
DE102016109485A1 (de) * 2016-05-24 2017-11-30 Osram Oled Gmbh Verfahren zum herstellen eines optoelektronischen bauelements, optoelektronisches bauelement und schutzschicht
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
WO2018194899A1 (en) * 2017-04-21 2018-10-25 Applied Materials, Inc. Low temperature selective epitaxial silicon deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102631150B1 (ko) * 2017-05-15 2024-01-29 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및에칭
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102271771B1 (ko) * 2017-05-25 2021-07-01 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
TW202401527A (zh) * 2017-06-14 2024-01-01 美商應用材料股份有限公司 用於達成無缺陷自組裝單層的晶圓處理
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TWI772459B (zh) * 2017-07-14 2022-08-01 荷蘭商Asm Ip控股公司 用於製備自組裝單層的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102306680B1 (ko) * 2017-07-23 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102391351B1 (ko) * 2017-08-13 2022-04-28 어플라이드 머티어리얼스, 인코포레이티드 차단 분자들의 가교결합에 의해 선택적 증착을 향상시키는 방법
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
US10643840B2 (en) * 2017-09-12 2020-05-05 Applied Materials, Inc. Selective deposition defects removal by chemical etch
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10584039B2 (en) 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10941301B2 (en) * 2017-12-28 2021-03-09 Tokyo Ohka Kogyo Co., Ltd. Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate
JP7194525B2 (ja) * 2017-12-28 2022-12-22 東京応化工業株式会社 表面処理方法、表面処理剤、及び基板上に領域選択的に製膜する方法
US11033930B2 (en) * 2018-01-08 2021-06-15 Applied Materials, Inc. Methods and apparatus for cryogenic gas stream assisted SAM-based selective deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10569299B2 (en) * 2018-03-30 2020-02-25 Varian Semiconductor Equipment Associates, Inc. Hydrophobic shafts for use in process chambers
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US20190326114A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Methods of treating a substrate to form a layer thereon for application in selective deposition processes
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP2019220494A (ja) * 2018-06-15 2019-12-26 株式会社Adeka 膜形成用組成物、膜付基板、その製造方法及び薄膜の製造方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7101551B2 (ja) 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI810357B (zh) * 2018-09-04 2023-08-01 日商東京威力科創股份有限公司 基板處理方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109468615A (zh) * 2018-12-18 2019-03-15 湖北大学 纳米涂层及其制备方法
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7109397B2 (ja) * 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210128475A (ko) * 2019-03-20 2021-10-26 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7238687B2 (ja) * 2019-08-16 2023-03-14 東京エレクトロン株式会社 成膜装置及び成膜方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
JP2021044534A (ja) 2019-09-05 2021-03-18 東京エレクトロン株式会社 成膜方法
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
JP2021052069A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
JP7262354B2 (ja) * 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7365898B2 (ja) * 2019-12-27 2023-10-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7257949B2 (ja) 2019-12-27 2023-04-14 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
JP7118099B2 (ja) * 2020-01-15 2022-08-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
CN115003853A (zh) * 2020-02-04 2022-09-02 默克专利有限公司 选择性形成含金属膜的方法
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR102406174B1 (ko) * 2020-09-08 2022-06-08 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
JP2022091523A (ja) 2020-12-09 2022-06-21 東京エレクトロン株式会社 成膜方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
JP2022137698A (ja) 2021-03-09 2022-09-22 東京エレクトロン株式会社 成膜方法および成膜システム
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220415736A1 (en) * 2021-06-23 2022-12-29 Intel Corporation Protective layer for gate cap reinforcement
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967523B2 (en) 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
WO2023076115A1 (en) 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
WO2023136081A1 (ja) * 2022-01-17 2023-07-20 富士フイルム株式会社 薬液、修飾基板の製造方法、積層体の製造方法
KR20230173313A (ko) * 2022-06-17 2023-12-27 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법
JP2024047875A (ja) * 2022-09-27 2024-04-08 東京エレクトロン株式会社 成膜方法及び成膜装置
US20240145232A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Benzyl compound passivation for selective deposition and selective etch protection
WO2024091688A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Method of blocking dielectric surfaces using blocking molecules to enable selective epi deposition

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040033639A1 (en) 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US20050037135A1 (en) 2003-08-15 2005-02-17 Xiaoyang Zhu Methods for forming composite coatings on MEMS devices
US7045170B1 (en) 2002-04-03 2006-05-16 Sandia Corporation Anti-stiction coating for microelectromechanical devices
US20080081151A1 (en) 2004-06-04 2008-04-03 Applied Microstructures, Inc. Vapor deposited nanometer functional coating adhered by an oxide layer

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US4539061A (en) * 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
FI845161A0 (fi) * 1984-12-28 1984-12-28 Ksv Chemicals Oy Ytbehandlingsmedel.
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JP3590416B2 (ja) * 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5766698A (en) 1996-11-25 1998-06-16 Nanofilm Corporation Method for modifying surfaces with ultra thin films
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6316052B1 (en) * 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP2001326337A (ja) * 2000-05-16 2001-11-22 Fujitsu Ltd 誘電体膜の製造方法、キャパシタの製造方法および半導体装置の製造方法
US6649408B2 (en) * 2000-03-24 2003-11-18 George Mason University Microdroplet cell culture technique
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6468903B2 (en) * 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
US20020162507A1 (en) 2001-05-01 2002-11-07 Applied Materials, Inc. Self-renewing coating for plasma enhanced processing systems
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
KR101090895B1 (ko) * 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7368377B2 (en) * 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
JP5445886B2 (ja) * 2006-03-31 2014-03-19 静岡県 改質された固体表面を形成する方法および改質された固体表面
JP4909745B2 (ja) * 2007-01-17 2012-04-04 シャープ株式会社 有機薄膜の形成方法および有機薄膜形成装置
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP2009256796A (ja) * 2008-03-27 2009-11-05 Horiba Ltd 単分子膜形成装置及び方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040033639A1 (en) 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US7045170B1 (en) 2002-04-03 2006-05-16 Sandia Corporation Anti-stiction coating for microelectromechanical devices
US20050037135A1 (en) 2003-08-15 2005-02-17 Xiaoyang Zhu Methods for forming composite coatings on MEMS devices
US20080081151A1 (en) 2004-06-04 2008-04-03 Applied Microstructures, Inc. Vapor deposited nanometer functional coating adhered by an oxide layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170137653A (ko) * 2016-06-03 2017-12-13 어플라이드 머티어리얼스, 인코포레이티드 선택적 지역 증착을 위한 통합형 클러스터 툴
KR102404126B1 (ko) 2016-06-03 2022-05-30 어플라이드 머티어리얼스, 인코포레이티드 선택적 지역 증착을 위한 통합형 클러스터 툴
KR20220094222A (ko) * 2016-06-03 2022-07-05 어플라이드 머티어리얼스, 인코포레이티드 선택적 지역 증착을 위한 통합형 클러스터 툴
KR102477152B1 (ko) 2016-06-03 2022-12-13 어플라이드 머티어리얼스, 인코포레이티드 선택적 지역 증착을 위한 통합형 클러스터 툴

Also Published As

Publication number Publication date
KR20130005262A (ko) 2013-01-15
WO2011103062A3 (en) 2012-04-05
JP5856085B2 (ja) 2016-02-09
US20110198736A1 (en) 2011-08-18
WO2011103062A2 (en) 2011-08-25
JP2013520028A (ja) 2013-05-30
US8293658B2 (en) 2012-10-23

Similar Documents

Publication Publication Date Title
KR101496644B1 (ko) 증착으로부터 반응성 부위의 비활성화
US11739422B2 (en) Passivation against vapor deposition
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
JP4959333B2 (ja) 化学的不活性化を通じたリアクタ表面のパシベーション
KR101505970B1 (ko) 금속 실리케이트 막들의 원자층 증착
JP4746269B2 (ja) 低温度におけるゲートスタック製造方法
JP2010506408A (ja) 金属シリケート膜のald
WO2016130238A1 (en) Selectively lateral growth of silicon oxide thin film
TW202334474A (zh) 選擇性熱沉積方法
TW202041701A (zh) 金屬氧化物在金屬表面上之選擇性沉積
TW202403076A (zh) 有機材料之選擇性沉積

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180201

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 6