KR102404126B1 - 선택적 지역 증착을 위한 통합형 클러스터 툴 - Google Patents

선택적 지역 증착을 위한 통합형 클러스터 툴 Download PDF

Info

Publication number
KR102404126B1
KR102404126B1 KR1020170068956A KR20170068956A KR102404126B1 KR 102404126 B1 KR102404126 B1 KR 102404126B1 KR 1020170068956 A KR1020170068956 A KR 1020170068956A KR 20170068956 A KR20170068956 A KR 20170068956A KR 102404126 B1 KR102404126 B1 KR 102404126B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
process chamber
coupled
volume
Prior art date
Application number
KR1020170068956A
Other languages
English (en)
Other versions
KR20170137653A (ko
Inventor
토빈 카우프마노스보른
스리니바스 디. 데마니
루도빅 고데트
퀴웨이 리앙
아디브 칸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170137653A publication Critical patent/KR20170137653A/ko
Priority to KR1020220063964A priority Critical patent/KR102477152B1/ko
Application granted granted Critical
Publication of KR102404126B1 publication Critical patent/KR102404126B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본원에서 설명되는 실시예들은, 기판을 프로세싱하기 위한 장치 및 방법들에 관한 것이다. 일 실시예에서, 이송 챔버 및 사전-세정 챔버, SAM(self-assembled monolayer) 증착 챔버, ALD(atomic layer deposition) 챔버, 및 이송 챔버 주위에 배치된 사후-프로세싱 챔버를 갖는 클러스터 툴 장치가 제공된다. 기판은 클러스터 툴에 의해 프로세싱될 수 있고, 사전-세정 챔버, SAM 증착 챔버, ALD 챔버, 그리고 사후-프로세싱 챔버 사이에서 이송될 수 있다. 챔버들 각각 사이에서의 기판의 이송은, 이송 로봇을 하우징하는 이송 챔버에 의해 용이해질 수 있다.

Description

선택적 지역 증착을 위한 통합형 클러스터 툴{INTEGRATED CLUSTER TOOL FOR SELECTIVE AREA DEPOSITION}
[0001] 본 개시물의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은, 선택적 지역 증착(selective area deposition)을 위한 통합형 클러스터 툴(integrated cluster tool)에 관한 것이다.
[0002] 서브-하프(sub-half) 미크론 및 더 작은 피처들을 신뢰성있게 생산하는 것은, 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large scale integration)를 위한 주요 기술 도전과제들 중 하나이다. 그러나, 회로 기술의 한계들이 압박당함에 따라, VLSI 및 ULSI 기술의 축소되는 치수들은, 프로세싱 능력들에 대한 부가적인 요구들을 하였다.
[0003] 차세대 디바이스들에서 회로 밀도들이 증가함에 따라, 인터커넥트들(interconnects), 예컨대, 비아들, 트렌치들, 콘택들(contacts), 게이트 구조들 및 다른 피처들의 폭들뿐만 아니라 그 사이의 유전체 재료들은 45nm 및 32nm 치수들로 그리고 그 너머로 감소된다. 차세대 디바이스들 및 구조들의 제조를 가능하게 하기 위해, 반도체 칩들의 피처들의 3차원(3D) 스태킹(stacking)이 종종 활용된다. 특히, 반도체 칩들에서 3차원(3D) 구조들을 형성하기 위해 FinFET들(fin field effect transistors)이 종종 활용된다. 트랜지스터들을 종래의 2차원 대신에 3차원으로 배열함으로써, 다수의 트랜지스터들이 집적 회로들(IC들)에서 서로 매우 근접하게 배치될 수 있다. 회로 밀도들 및 스태킹이 증가함에 따라, 앞서 증착된 재료들 상에 후속하는 재료들을 선택적으로 증착시키는 능력이 중요해진다.
[0004] SAM들(self-assembled monolayers)은, 후속하는 재료 증착 선택성을 개선하기 위해, 마스킹 재료로서 활용될 수 있다. SAM들은 일반적으로, 표면 화학 의존적이며(surface chemistry dependent), 다양한 재료들 상에 우선적으로 형성될 수 있다. 그러나, SAM들을 증착시키기 위한 현재의 장치는 종종, 바람직하지 않게 처리량을 감소시키는 느린 증착 레이트들로 문제를 겪는다. 부가적으로, 적절한 처리량을 제공하는 사전(pre) 및 사후(post) 프로세싱 장치와의 SAM 장치 통합은 당업계에서 결핍되어 있다.
[0005] 따라서, 개선된 기판 프로세싱 장치 및 방법들이 당업계에 필요하다.
[0006] 일 실시예에서, 기판 프로세싱 장치가 제공된다. 장치는, 중앙에 배치된 이송 챔버 및 이송 챔버에 커플링된 제 1 프로세스 챔버를 갖는 플랫폼을 포함한다. 제 1 프로세스 챔버는 표면 개질(surface modification) 프로세스를 수행하도록 구성된다. 제 2 프로세스 챔버는 이송 챔버에 커플링되며, 제 2 프로세스 챔버는 자가-조립 단분자층 처리 프로세스를 수행하도록 구성된다. 제 3 프로세스 챔버는 이송 챔버에 커플링되며, 제 3 프로세스 챔버는 원자 층 증착 프로세스를 수행하도록 구성된다. 제 4 프로세스 챔버는 이송 챔버에 커플링되며, 제 4 프로세스 챔버는 어닐(anneal) 프로세스를 수행하도록 구성된다.
[0007] 다른 실시예에서, 기판 프로세싱 장치가 제공된다. 장치는, 중앙에 배치된 이송 챔버 및 이송 챔버에 커플링된 제 1 프로세스 챔버를 갖는 플랫폼을 포함한다. 제 1 프로세스 챔버는 표면 개질(surface modification) 프로세스를 수행하도록 구성된다. 제 2 프로세스 챔버는 제 1 프로세스 챔버에 인접하여 이송 챔버에 커플링되며, 제 2 프로세스 챔버는 자가-조립 단분자층 처리 프로세스를 수행하도록 구성된다. 제 3 프로세스 챔버는 제 2 프로세스 챔버에 인접하여 이송 챔버에 커플링되며, 제 3 프로세스 챔버는 원자 층 증착 프로세스를 수행하도록 구성된다. 제 4 프로세스 챔버는 제 3 프로세스 챔버에 인접하여 이송 챔버에 커플링되며, 제 4 프로세스 챔버는 어닐 프로세스를 수행하도록 구성된다.
[0008] 또 다른 실시예에서, 기판 프로세싱 방법이 제공된다. 방법은, 기판을 제 1 프로세스 챔버로 이송하고 제 1 프로세스 챔버에서 기판에 대해 표면 개질 프로세스를 수행하는 단계를 포함한다. 기판은 제 1 프로세스 챔버로부터 이송 챔버를 통해 제 2 프로세스 챔버로 이송되며, 제 2 프로세스 챔버에서 자가-조립 단분자층 처리 프로세스가 기판에 대해 수행된다. 기판은 제 2 프로세스 챔버로부터 이송 챔버를 통해 제 3 프로세스 챔버로 이송되며, 제 3 프로세스 챔버에서 원자 층 증착 프로세스가 기판에 대해 수행된다. 기판은 제 3 프로세스 챔버로부터 이송 챔버를 통해 제 4 프로세스 챔버로 이송되며, 제 4 프로세스 챔버에서 기판에 대해 어닐 프로세스가 수행된다.
[0009] 본 개시물의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 개시물의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 도시하는 것이므로 실시예들의 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0010] 도 1은, 본원에서 설명되는 일 실시예에 따른 클러스터 툴 장치의 개략적인 평면도를 예시한다.
[0011] 도 2는, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버의 개략적인 단면도를 예시한다.
[0012] 도 3은, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버의 개략적인 단면도를 예시한다.
[0013] 도 4는, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버의 개략적인 단면도를 예시한다.
[0014] 도 5는, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버의 개략적인 단면도를 예시한다.
[0015] 도 6은, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버의 개략적인 단면도를 예시한다.
[0016] 도 7은, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버의 개략적인 단면도를 예시한다.
[0017] 도 8은, 본원에서 설명되는 일 실시예에 따른, 기판을 프로세싱하기 위한 방법의 동작들을 예시한다.
[0018] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내기 위해 동일한 참조번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이, 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 점이 고려된다.
[0019] 본원에서 설명되는 실시예들은, 기판을 프로세싱하기 위한 장치 및 방법들에 관한 것이다. 일 실시예에서, 이송 챔버 및 사전-세정(pre-clean) 챔버, SAM(self-assembled monolayer) 증착 챔버, ALD(atomic layer deposition) 챔버, 및 이송 챔버 주위에 배치된 사후-프로세싱 챔버를 갖는 클러스터 툴 장치가 제공된다. 기판은 클러스터 툴에 의해 프로세싱될 수 있고, 사전-세정 챔버, SAM 증착 챔버, ALD 챔버, 그리고 사후-프로세싱 챔버 사이에서 이송될 수 있다. 챔버들 각각 사이에서의 기판의 이송은, 이송 로봇을 하우징하는 이송 챔버에 의해 용이해질 수 있다.
[0020] 본원에서 활용되는 바와 같이, "SAM"(self-assembled monolayer)은 일반적으로, 분자들의 층을 지칭하는데, 분자들은 (예컨대, 화학 결합(bond)에 의해) 표면에 부착되며, 그러한 표면에 대해 그리고 심지어 서로에 대해 바람직한 배향을 채택하였다. SAM은 전형적으로, 분자의 일 단부인 "헤드 그룹(head group)"이 기판에 대해 특정한 가역적 친화성(reversible affinity)을 나타내는 양친매성(amphiphilic) 분자들의 조직화된(organized) 층을 포함한다. 헤드 그룹의 선택(selection)은, 활용되는 기판에 근거한 SAM 화합물들(compounds)의 유형과 함께, SAM의 애플리케이션에 따를 것이다. 일반적으로, 헤드 그룹은, 예컨대, 습윤(wetting) 또는 계면 특성들을 변화시키기 위해, 테일(tail) 또는 "말단 단부(terminal end)"가 기능화될(functionalized) 수 있는 알킬 사슬(alkyl chain)에 연결된다. SAM을 형성하는 분자들은, 다른 재료 위의 하나의 재료에 선택적으로 부착될 것이고(예컨대, 금속 대 유전체), 충분한 밀도로 이루어진 경우, SAM으로 코팅되지 않은 재료들에 대한 선택적 증착을 허용하는 후속 증착을 성공적으로 시행할 수 있다.
[0021] 도 1은, 본원에서 설명되는 일 실시예에 따른 클러스터 툴 장치(100)의 개략적인 평면도를 예시한다. 본원에서 설명되는 실시예들에 따라 활용될 수 있는 적합한 장치의 예들은 CENTURA® 및 ENDURA® 플랫폼들을 포함하며, 이들 양자 모두는 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수 가능하다. 다른 제조업자들로부터의 다른 적절하게 구성된 장치가 또한, 본원에서 설명되는 실시예들에 따라 유리하게 활용될 수 있다는 점이 고려된다. 부가적으로, 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 또한 입수 가능한, 이중-챔버 능력(capability)을 가진 PRODUCER® 플랫폼이, 본원에서 설명되는 실시예들에 따라 유리하게 채용될 수 있다. PRODUCER® 플랫폼을 활용하는 실시예들에서, 베이크(bake) 또는 어닐 능력을 구비한 로드 록 챔버들이, 이후에 설명되는 실시예들에 따른 사후 프로세싱 챔버들로서 채용될 수 있다.
[0022] 일반적으로, 장치(100)는 복수의 프로세스 챔버들(102, 104, 106, 108), 이송 챔버(110), 및 로드 록 챔버들(112)을 포함한다. 프로세스 챔버들(102, 104, 106, 108) 각각은 이송 챔버(110)에 커플링된다. 일 실시예에서, 프로세스 챔버(104)는 프로세스 챔버(102)에 인접하여 배치된다. 일 실시예에서, 프로세스 챔버(106)는 프로세스 챔버(104)에 인접하여 배치된다. 일 실시예에서, 프로세스 챔버(108)는 프로세스 챔버(106)에 인접하여 배치된다. 각각의 프로세스 챔버는, 기판 프로세싱의 상이한 스테이지 또는 단계(phase)를 나타내며, 그러한 상이한 스테이지 또는 단계를 위해 사용될 수 있다. 일 실시예에서, 프로세스 챔버(102)는 사전-세정 챔버이다. 프로세스 챔버(102)는, 후속하는 프로세싱을 위해, 프로세싱되는 기판의 표면들을 준비한다. 다양한 예들에서, 프로세스 챔버(102)는, 공기 노출로 인한 기판 결함들을 제거할 수 있고, 자연 산화물 층들(native oxide layers)을 제거할 수 있으며, 그리고/또는 SAM 또는 ALD 프로세싱에 의해 처리될 기판의 표면 상에 배치된 희생 층들(sacrificial layers)을 제거할 수 있다. 다른 예에서, 프로세스 챔버(102)는 기판 표면 기능화를 위해 활용된다. 이러한 예에서, 표면 말단 그룹들은, 원하는 구현예에 따라, 기판 상에서의 SAM의 형성을 가능하게 하거나, 보조하거나, 또는 방지하도록 개질될 수 있다.
[0023] 프로세스 챔버(102)에 의해 수행될 수 있는 표면 처리의 특정 예들은, 플라즈마 처리를 통한 금속 산화물 제거, H2/O2 플라즈마 처리 또는 수증기 노출을 사용한 표면 하이드록실 기능화, 및/또는 SICONI® 프로세스를 사용한 산화물 제거를 포함한다. SICONI® 프로세스는, 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 이용 가능하다. 다른 유사한 처리 프로세스들이, 본원에서 설명되는 실시예들에 따라 활용될 수 있다는 점이 고려된다. 더 구체적으로, 프로세스 챔버(102)는 선택적 지역 SAM 흡착을 가능하게 하는 데에 활용된다. 예컨대, ODTCS(octadecyltrichlorosilane) SAM은, 바람직한 조건들이 존재한다고 가정할 때, 금속 또는 Si-H 말단처리된(terminated) 표면에 대해 우선적으로 유전체 또는 금속 산화물 재료에 결합된다. 프로세스 챔버(102)는, 일반적으로 SAM 흡착을 금지하는 Si-H 말단처리된 표면의 노출된 금속 표면을 형성하기 위해, 금속 산화물 또는 자연 산화물을 제거하는 데에 활용된다.
[0024] 다른 실시예에서, 프로세스 챔버(102)는 사후-프로세스 챔버로서 활용될 수 있다. 도 2에 관하여 더 상세하게 설명되는 프로세스 챔버(102)는, 베이크 또는 어닐 프로세스를 수행하는 데에 활용되는 가열식 페데스탈(heated pedestal)을 포함한다. 베이크 또는 어닐 프로세스는 기판이 장치(100)의 다른 챔버들에 의해 프로세싱된 이후에 활용될 수 있다.
[0025] 일 실시예에서, 프로세스 챔버(104)는 SAM 증착 챔버이다. 프로세스 챔버 (104)는, 기상(vapor phase) SAM 분자들이, 기판의 다른 재료에 대해 우선적으로 기판의 한 재료에 대해 선택적으로 흡착되는 것을 가능하게 하도록 구성된다. 선택적 흡착은 일반적으로, 기판 표면 상에 배치된 표면 말단 특성들/작용기들 및 SAM 분자 헤드 그룹의 반응도(reactivity)에 의해 제어된다. 예컨대, 동일한 SAM 처리 프로세스에 노출된 노출된 SiO2 및 Cu 재료들을 갖는 기판은, SiO2 재료에 대해서는 실질적으로 흡착되지 않고 우선적으로 Cu에 결합되는 금속들에 대해 선택적인 SAM 분자들을 초래할 것이다. 결과로 생성된 SAM 재료는, 고밀도(dense) SAM의 형성을 나타내는 높은 수 접촉각(water contact angle)(즉, 약 105° 초과)을 갖는다. 따라서, 프로세스 챔버(104)는 SAM 증착 프로세스들을 수행하는 데에 활용된다.
[0026] 일 실시예에서, 프로세스 챔버(106)는 ALD 챔버이다. 프로세스 챔버(106)는, SAM 재료들에 의해 커버되지 않는, 기판의 표면들에 대한 증착을 가능하게 하도록 구성된다. 예컨대, ALD 재료들은 일반적으로, 약 105° 초과, 예컨대, 약 110° 초과의 수 접촉각을 갖는 표면들 상에는 형성되지 않는다. 따라서, ALD 프로세스는, 증착의 선택성을 개선하기 위해 SAM 재료를 활용함으로써, 기판의 원하는 재료 상에 선택적으로 증착될 수 있다.
[0027] 일 실시예에서, 프로세스 챔버(108)는 사후-프로세스 챔버, 예컨대, 어닐 또는 베이크 챔버이다. 다른 실시예에서, 프로세스 챔버(108)는 플라즈마 프로세싱 챔버이다. 일 예에서, 프로세스 챔버(108)는, 산소 및/또는 수소 함유 플라즈마와 같은 플라즈마에 대한 노출 또는 열탈착(thermal desorption)에 의해 기판으로부터 SAM 재료 제거를 가능하게 한다. 대안적으로 또는 조합하여, 프로세스 챔버(108)는 ALD 세정(clean up) 프로세스들을 위해 활용될 수 있다. 예컨대, 불완전(imperfect) SAM 처리의 경우, SAM 재료들에 의해 커버되지 않는, 기판의 결함 부위들(sites) 상에서 몇몇 ALD 핵 형성(nucleation)이 발생할 수 있다. 프로세스 챔버(108)는, 노출된 ALD 재료들을 에칭하기 위해, 의도된 ALD 성장 위치로부터 소량의 재료만을 제거하면서 결함 부위들 상에서 성장된 ALD 필름들의 제거를 초래하는 에칭 프로세스를 수행하도록 활용될 수 있다.
[0028] 프로세스 챔버들(102, 104, 106, 108) 사이에서의 기판의 이송을 가능하게 하는 이송 챔버(110)는 이송 챔버 내부에 이송 로봇(114)을 수납한다. 예시된 바와 같이, 이송 로봇(114)은 이중 블레이드 로봇 또는 단일 블레이드 로봇일 수 있다. 이중 블레이드 로봇(114)은, 연장 가능한 아암들의 쌍의 말단 단부들에 부착된 기판 운송 블레이드들(116A, 116B)의 쌍을 갖는다. 블레이드들(116A, 116B)은, 챔버들(102, 104, 106, 108) 사이에서 개별 기판들을 지지하고 운반하는 데에 사용된다. 이송 챔버(110)는 또한, 진공 또는 다른 방식으로 감소된 산소 환경 하에서 유지된다. SAM 처리와 ALD 처리 사이에서의 기판의 공기 노출은, ALD 차단(blocking)에 대한 SAM 재료의 유효성에 잠재적으로 유해하며, 인-시츄(in-situ)로 프로세스 챔버(104)와 프로세스 챔버(106) 사이에서 기판을 이송하는 것은 개선된 프로세싱 성능, 예컨대, 더 높은 증착 선택성을 제공한다. 부가적으로, 주기적인(cyclic) SAM 및 ALD 프로세스들을 수행하는 것이 바람직할 수 있으며, 따라서, 이송 챔버는 프로세스 챔버들(104, 106) 사이에서의 기판들의 효율적인 이송을 가능하게 하면서, 또한, 주변 공기 환경에 대한 기판의 노출을 방지함으로써 프로세싱 성능을 개선한다.
[0029] 도 2는, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버(102)의 개략적인 단면도를 예시한다. 프로세스 챔버(102)는 플라즈마 에칭 챔버, 플라즈마 처리 챔버, 베이크 챔버, 어닐 챔버, 또는 다른 적합한 진공 프로세싱 챔버일 수 있다.
[0030] 프로세스 챔버(102)는 다수의 모듈들로 조립될 수 있다. 모듈형 설계는, 프로세스 챔버(102)가 다양한 프로세스 요건들을 충족시킬 수 있게 한다. 도 2에 도시된 바와 같이, 프로세스 챔버(102)는 소스 모듈(202), 프로세스 모듈(204), 유동 모듈(206), 및 배기 모듈(208)을 포함한다. 소스 모듈(202), 프로세스 모듈(204), 및 유동 모듈(206)은 집합적으로(collectively), 프로세스 영역(212)을 에워싼다. 특정 실시예들에서, 프로세스 모듈(204), 유동 모듈(206), 및 배기 모듈(208)은 집합적으로 챔버 모듈(210)로서 여겨질 수 있다.
[0031] 동작 동안, 기판(216)은 기판 지지 조립체(218) 상에 포지셔닝될 수 있으며, 프로세싱 영역(212)에 생성된 플라즈마와 같은 프로세스 환경에 노출될 수 있다. 프로세스 챔버(102)에서 수행될 수 있는 예시적인 프로세스들은 에칭, 플라즈마 처리 프로세스들, 및 베이킹 또는 어닐링 프로세스들을 포함한다. 유동 모듈(206)에 의해 정의된 진공배기(evacuation) 채널들(214)을 통한 배기 모듈(208)로부터의 흡입(suction)에 의해, 프로세스 영역(212)에서 진공이 유지될 수 있다.
[0032] 균일한 프로세스 조건들을 확립하기 위해 대칭적인 전류, 가스 유동, 및 열 유동을 제공하도록, 프로세스 영역(212) 및 진공배기 채널들(214)은 중심축(211)을 중심으로 실질적으로 대칭적이다.
[0033] 일 실시예에서, 소스 모듈(202)은, 하나 또는 그 초과의 플라즈마들을 생성하도록 구성된 용량 결합 플라즈마 소스이며, 플라즈마들 중 적어도 하나는 원격 플라즈마(remote plasma)로 고려될 수 있고, 플라즈마들 중 하나는 직접 플라즈마(direct plasma)로 고려될 수 있다. 소스 모듈(202)은, 아이솔레이터(222)에 의해 프로세스 모듈(204)로부터 격리되고 프로세스 모듈(204)에 의해 지지되는 플레이트 스택(201)을 포함할 수 있으며, 플레이트 스택은 전극(즉, 애노드)으로서 기능할 수 있다. 플레이트 스택(201)은, 적층되는(stacked) 배향으로 배열된, 다양한 샤워헤드들, 디퓨저들, 및 스크린/차단기 플레이트들을 포함할 수 있다. 플레이트 스택(201)은 가스 유입구 튜브(226)를 통해 가스 소스(232)에 연결될 수 있다. 플레이트 스택(201) 및 가스 유입구 튜브(226)는 모두, 알루미늄 또는 스테인리스 스틸과 같은 RF(radio frequency) 전도성 재료로 제조될 수 있다. 플레이트 스택(201)은 전도성 가스 유입구 튜브(226)를 통해 RF 전력 소스(224)에 커플링될 수 있다. RF 매칭 네트워크(225)는 또한, RF 전력 소스(224)에 커플링될 수 있다. 전도성 가스 유입구 튜브(226)는, RF 전력과 프로세싱 가스들 양자 모두 대칭적으로 제공되도록 프로세스 챔버(102)의 중심축(211)과 동축(coaxial)일 수 있다.
[0034] 용량성 플라즈마 소스가 상기 설명되었더라도, 소스 모듈(202)은 프로세스 요건에 따른 임의의 적합한 가스/플라즈마 소스일 수 있다. 예컨대, 소스 모듈(202)은 유도 결합 플라즈마 소스, 원격 플라즈마 소스, 또는 마이크로파 플라즈마 소스일 수 있다.
[0035] 프로세스 모듈(204)은 소스 모듈(202)에 커플링된다. 프로세스 모듈(204)은, 프로세스 영역(212)을 에워싸는 챔버 본체(240)를 포함할 수 있다. 챔버 본체(240)는, 프로세싱 환경들에 저항하는, 알루미늄 또는 스테인리스 스틸과 같은 전도성 재료로 제조될 수 있다. 기판 지지 조립체(218)는 챔버 본체(240) 내에 중앙에 배치될 수 있고, 중심축(211)을 중심으로 대칭적으로 프로세스 영역(212)에서 기판(216)을 지지하도록 포지셔닝될 수 있다.
[0036] 슬릿 밸브 개구부(242)는, 기판(216)의 통과들을 허용하기 위해 챔버 본체(240)를 통해 형성된다. 슬릿 밸브(244)는, 슬릿 밸브 개구부(242)를 선택적으로 개방하고 폐쇄하기 위해 챔버 본체(240) 외부에 배치된다.
[0037] 일 실시예에서, 상부 라이너(liner) 조립체(246)는 챔버 본체(240)의 상부 부분 내에 배치되어 챔버 본체(240)를 프로세스 환경으로부터 차폐한다(shield). 상부 라이너 조립체(246)는, 챔버 본체(240)에 형성된 슬릿 밸브 개구부(242)에 대응하는 개구부(248)를 포함한다. 일 실시예에서, 상부 라이너 조립체(246)는, 슬릿 밸브 개구부(242)에 의해 야기된 챔버 본체(240)의 비대칭성을 보상하기 위해 중심축(211)을 중심으로 대칭적으로 형성된 둘 또는 그 초과의 개구부들(248)을 포함하며, 따라서 프로세스 챔버(102) 내의 프로세스 영역(212)에서의 대칭성을 생성한다. 예컨대, 상부 라이너 조립체(246)는, 서로 120도 이격되어 형성된 3개의 동일한 개구부들(248)을 갖는 원통형 벽이다. 상부 라이너 조립체(246)는, 알루미늄, 스테인리스 스틸, 및/또는 이트리아(예컨대, 이트리아 코팅된 알루미늄)와 같은, 전도성의 프로세스 양립 가능한 재료로 구성될 수 있다.
[0038] 일 실시예에서, 프로세스 챔버(102) 내에서의 열 대칭성 및 프로세스 영역(212)에 제공되는 플라즈마의 대칭성을 증진시키도록 온도 제어를 챔버 본체(240) 및 상부 라이너 조립체(246)에 제공하기 위해 냉각 채널들(250)이 챔버 본체(240)에 형성된다.
[0039] 유동 모듈(206)이 프로세스 모듈(204)에 부착된다. 유동 모듈(206)은, 프로세스 모듈(204)에 정의된 프로세스 영역(212)과 배기 모듈(208) 사이의 유동 경로들을 제공한다. 유동 모듈(206)은 또한, 프로세스 챔버(102) 외부의 분위기 환경과 기판 지지 조립체(218) 사이의 계면을 제공한다.
[0040] 유동 모듈(206)은 높이(207)를 갖는다. 높이(207)는, 프로세스 요건들에 의해 지시되는 가변 용적의 정도(degree) 또는 수직 이동의 양에 따라 선택된다. 따라서, 특정 프로세스를 위한 프로세스 챔버를 구축할(building) 때, 프로세스 요건들을 충족시키도록, 적절한 높이를 갖는 유동 모듈이 선택될 수 있다. 유동 모듈은, 프로세스 챔버를 상이한 프로세스를 위해 구성할 때, 상이한 높이를 갖는 다른 유동 모듈로 교체될 수 있다.
[0041] 유동 모듈(206)은 외측 벽(260), 내측 벽(262), 내측 벽(262)과 외측 벽(260) 사이를 연결하는 방사상 벽들(264)의 둘 또는 그 초과의 쌍들, 및 내측 벽(262)과 방사상 벽들(264)의 둘 또는 그 초과의 쌍들에 부착된 바닥부 벽(266)을 포함한다. 외측 벽(260)은, 방사상 벽들(264)의 각각의 쌍 사이에 형성된 둘 또는 그 초과의 스루 홀들(through holes; 270)을 포함한다. 섀시(chassis; 254)는 내측 벽(262) 및 방사상 벽들(264)의 둘 또는 그 초과의 쌍들 위에 밀봉 가능하게(sealably) 배치된다. 스루 홀들(270)은, 내측 벽(262)에 의해 정의된 분위기 용적(268)과 외부 환경을 연결하고, 따라서, 전기 연결들, 가스 연결들, 및 냉각 유체 연결들과 같은 유틸리티(utility) 연결들을 수용한다. 섀시(254)는, 기판 지지 조립체(218)를 수용하기 위한 중앙 개구부(258)를 포함한다.
[0042] 유동 모듈(206)의 외측 벽(260)은 프로세스 모듈(204)의 챔버 본체(240)와 매칭되도록 성형된다. 일 실시예에서, 외측 벽(260)은, 챔버 본체(240) 상의 플랜지(flange)에 대응하는 플랜지를 포함한다. 유동 모듈(206)을 프로세스 모듈(204)에 커플링하기 위해 플랜지들을 고정시키는 데에 복수의 볼트들이 사용될 수 있다. 일 실시예에서, 시일(seal; 252)은, 챔버 본체(240)의 플랜지와 외측 벽(260)의 플랜지 사이에 배치되어 그들 사이에 진공 밀봉을 형성한다. 시일(252)은 o-링 또는 다른 유형의 시일일 수 있다. 일 실시예에서, 균일하고 대칭적인 RF 접지 귀로(return path)를 위해, RF 접지 개스킷(grounding gasket)(272)이 유동 모듈(206)과 프로세스 모듈(204) 사이에 배치되어 그들 사이의 솔리드 콘택을 제공한다.
[0043] 내측 벽(262), 바닥부 벽(266), 방사상 벽들(264) 및 섀시(254)는, 외측 벽(260) 내부의 용적을 진공배기 채널들(214)과 분위기 용적(268)으로 분할한다. 진공배기 채널들(214)은 프로세스 모듈(204)의 프로세스 영역(212)과 연결된다. 시일(256)은, 진공배기 채널들(214)과 분위기 용적(268) 사이에 진공 밀봉을 제공하기 위해 그루브들(254b, 264b)에 배치된다. 시일(256)은 o-링 또는 다른 유형의 시일, 예컨대, 탄성 중합체(elastomeric) 시일일 수 있다.
[0044] 외측 벽(260) 및 내측 벽(262)은 동심으로 배열된 원통형 벽들일 수 있다. 조립될 때, 외측 벽(260) 및 내측 벽(262)의 중심축은, 프로세스 챔버(102)의 중심축(211)과 일치한다. 방사상 벽들(264)의 둘 또는 그 초과의 쌍들은 내측 벽(262)과 외측 벽(260) 사이에 배열되어, 그들 사이의 공간을 진공배기 채널들(214)과 스루 홀들(270)로 분할한다. 일 실시예에서, 방사상 벽들(264)의 둘 또는 그 초과의 쌍들은, 진공배기 채널들(214)이 중심축(211)을 중심으로 대칭적이도록 배열된다. 유동 모듈(206)은, 서로 120도 이격되어 배치되어, 중심축(211)에 대해 대칭적인 3개의 진공배기 채널들(214)을 형성하는 방사상 벽들(264)의 3개의 쌍들을 포함한다. 진공배기 채널들(214)의 대칭적인 배열은, 프로세스 영역(212)으로부터 가스들의 대칭적인 제거를 촉진하여, 기판(216)에 걸친 가스들의 대칭적인 유동을 초래한다. 부가적으로, 방사상 벽들(264) 및 진공배기 채널들(214)의 대칭적인 포지셔닝은, 프로세스 챔버(102)에서의 전기 전도도 및 열 분배의 대칭성을 촉진한다.
[0045] 배기 모듈(208)은 대칭 유동 밸브(280), 및 대칭 유동 밸브(280)에 부착된 진공 펌프(282)를 포함한다. 특정 실시예들에서, 진공 펌프(282)는 대칭 터보 분자 펌프(symmetric turbo molecular pump)일 수 있다. 프로세스 챔버(102)에서 대칭적이고 균일한 유동을 제공하기 위해 대칭 유동 밸브(280)는 진공배기 채널들(214)에 연결된다.
[0046] 기판(216)을 중심축(211)을 중심으로 대칭적으로 포지셔닝하기 위해, 기판 지지 조립체(218)는 중심축(211)을 따라서 포지셔닝된다. 기판 지지 조립체(218)는 섀시(254)에 의해 지지된다. 기판 지지 조립체(218)는 지지 플레이트(274), 프로세스 영역(212)에 배치된 베이스 플레이트(276), 및 섀시(254)의 중앙 개구부(258)를 통해 배치된 중공형(hollow) 샤프트(278)를 포함한다. 벨로우즈(bellows; 284)는 베이스 플레이트(276)와 섀시(254) 사이를 연결하고, 중공형 샤프트(278)를 둘러싼다. 벨로우즈(284)는, 기판 지지 조립체(218)가 중심축(211)을 따라서 수직으로 이동하는 것을 허용하며, 유동 모듈(206) 내의 분위기 용적(268)과 프로세스 모듈(204) 내의 프로세스 영역(212) 사이에 진공 밀봉을 제공한다.
[0047] 지지 플레이트(274)는, 척킹(chucking) 전극(286)을 갖는 ESC(electrostatic chuck)일 수 있다. 척킹 전극(286)은 단극(monopolar) 4-구역 ESC, 양극(bipolar) 4-구역 ESC, 또는 고온 ESC일 수 있다. 양극 ESC는 기판(216)의 디-척킹(de-chucking) 동안 RF 접지를 위해 플라즈마에 대한 노출을 필요로 하지 않을 수 있다는 점이 고려된다. 4-구역 ESC는, 개선된 온도 균일성을 제공하기 위해, 프로세싱 동안 척킹 전극(286) 상에 배치된 기판들의, 중앙에서 에지로의 가열 프로파일들을 모듈레이팅하는(modulate) 데에 4개의 동심 가열 구역들(즉, 가열 엘리먼트(288))을 활용할 수 있다. 고온 ESC는 최대 약 600의 온도들에서의 활용에 적합할 수 있다. 일 실시예에서, 척킹 전극(286)에 의해 생성되는 온도들은, 높은 재료 선택성을 유지하기 위해 에칭된 기판 층들의 부산물들의 승화에 적합할 수 있다.
[0048] 지지 플레이트(274)는 또한, 프로세싱 동안 기판(216)을 가열하기 위한 가열 엘리먼트(288)를 포함할 수 있다. 베이스 플레이트(276)는, 베이스 플레이트 내에 형성된 냉각 채널들(290)을 포함한다. 척킹 전극(286)은 중공형 샤프트(278), 분위기 용적(268), 및 스루 홀들(270) 중 하나를 통해 바이어스(bias) 전력 소스(287)에 연결될 수 있다. 가열 엘리먼트(288)는 중공형 샤프트(278), 분위기 용적(268), 및 스루 홀들(270) 중 하나를 통해 가열 전력 소스(289)에 연결된다. 냉각 채널들(290)은 중공형 샤프트(278), 분위기 용적(268), 및 스루 홀들(170) 중 하나를 통해 냉각 유체 소스(291)에 연결된다. 일 실시예에서, 지지 플레이트(274)는, 기판(216)을, 기판(216) 상에 배치된 SAM 재료의 증발 온도(vaporization temperature)보다 더 높은 온도로 가열하도록 구성된다.
[0049] 일 실시예에서, 기판 지지 조립체(218)를 수직으로 이동시키기 위해 액츄에이터 조립체(292)가 중공형 샤프트(278)에 커플링된다. 액츄에이터 조립체(292)는 기판 지지 조립체(218)가 프로세스 영역(212) 내에서 이동하고 기판(216)의 프로세싱 포지션을 바꿀 수 있게 할 수 있다. 예컨대, 액츄에이터 조립체(292)는 기판 지지 조립체(218)를 플레이트 스택(201)으로부터 약 0.5인치 내지 약 6인치의 거리에 포지셔닝한다. 종래의 플라즈마 프로세싱 장치와 비교할 때, 플레이트 스택(201)과 기판 지지 조립체(218) 사이의 감소된 거리는, 20mTorr 미만, 예컨대, 약 1mTorr와 같은 낮은 압력 체제(regime)에서, 증가된 CCP(capactively coupled plasma) 생성 윈도우(window)를 제공한다. 따라서, CCP의 항복 전압(breakdown voltage)을 감소시키는 것에 의해 낮은 압력의 CCP 방전을 가능하게 하기 위해 RF 전극(즉, 플레이트 스택(201))과 RF 접지 사이의 갭이 증가될 수 있다. CCP를 생성하는 실시예들에서, 기판 지지 조립체(218)는 또한, 원하는 구현예에 따라, 전극(즉, 캐소드) 또는 접지 개스킷(272)을 통한 접지로서 기능할 수 있다. 액츄에이터 조립체(292)는 분위기 용적(268)에 배치된다. 리프트 핀 액츄에이터(294)는 리프트 핀들(296)을 이동시키기 위해 분위기 용적(268)에 배치된다.
[0050] 플라즈마 스크린(298)은, 플라즈마를 프로세스 영역(212) 내로 한정하기 위해, 프로세스 영역(212)과 진공배기 채널들(214) 사이에 배치된다. 기판 지지 라이너(299)는, 기판 지지 조립체(218)를 프로세스 케미스트리(chemistry)로부터 차폐하기 위해 기판 지지 조립체(218) 주위에 배치된다.
[0051] 동작 동안, 가스 소스(232)로부터의 하나 또는 그 초과의 프로세싱 가스들은 플레이트 스택(201)을 통해 프로세싱 영역(212)에 진입한다. 저압 체제에서의 활용에 적합한 프로세스 가스들은, H2, He, Ar, O2, NF3, NH3, N2, N2O, H2O, SiF4, SiH4, SiCl4, 및 다양한 플루오로카본 전구체들을 포함한다. 프로세스 영역(212)에서 직접 플라즈마(이온 생성)를 점화하고 유지하기 위해, RF 전력이 플레이트 스택(201)과 기판 지지 조립체(218) 사이에 인가될 수 있다. RF 전력은 또한, 가스 유입구 튜브(226)를 통해 플레이트 스택(201)에 인가될 수 있으며, 원격 플라즈마(라디칼 생성)가 플레이트 스택(201) 내에 생성될 수 있다. 이온 에너지 및 라디칼 밀도를 제어하기 위해, 동기식(synchronized) 또는 계단식(step) RF 펄싱이 활용될 수 있다. 제로(zero) DC 바이어스가 활용될 수 있으며, 감소된 입자 생성을 가능하게 할 수 있는 감소된 이온 충격(ion bombardment)을 제공할 수 있다. 플라즈마 밀도 및 이온 에너지를 모듈레이팅하기 위해, 다수의 RF 주파수들(즉, 400kHz, 2MHz, 13.56MHz, 27MHz, 40MHz, 60MHz)이 활용될 수 있다. 이온 에너지 분포를 제어하기 위해, 맞춤 조정된(tailored) 파형 및 위상 각도 모듈레이션(modulation)이 활용될 수 있다. RF 전력 소스(224) 및 RF 매칭 네트워크(225)가, 안정적인 플라즈마 방전을 유지하면서 약 5W와 같은 낮은 전력들에서 동작하도록 구성될 수 있다는 점이 고려된다.
[0052] 기판 지지 조립체(218) 상에 배치된 기판(216)은, 직접 플라즈마 및 원격 플라즈마 양자 모두에 의해 프로세싱될 수 있다. 예컨대, 프로세스 영역(212)에서 생성되는 직접 플라즈마는, 재료를 이온들에 노출시키는 것에 의해 기판(216)의 표면 상의 재료들을 개질하는 데에 활용될 수 있다. 플레이트 스택(201)에서의 원격 플라즈마로부터 생성되는 라디칼들은, 기판(216) 상의 개질되지-않은 재료에 대해서 높은 선택성을 갖는 개질된 재료들을 제거하기 위해 원격 플라즈마로부터 추출된다(extracted). 따라서, 고도로 선택적인 재료 제거 프로세스가 달성될 수 있다.
[0053] 플라즈마들을 형성하는 데에 활용되는 하나 또는 그 초과의 프로세싱 가스들은, 프로세스 영역(212) 또는 플레이트 스택(201)에 연속적으로 공급될 수 있고, 진공 펌프(282)는, 기판(216) 근처에서 대칭적이고 균일한 플라즈마 프로파일을 생성하기 위해 대칭 유동 밸브(280) 및 유동 모듈(206)을 통해 동작한다. 개별 모듈들에서 프로세스 영역(212) 및 진공배기 채널들(214)을 정의하는 것에 의해, 본 개시물의 실시예들은, 간략화된 챔버 구조를 통해 균일하고 대칭적인 프로세스 환경을 제공하며, 따라서, 제조 비용들을 감소시키고, 높은 정도의 재료 선택성을 통해 손상 없는(damage free) 플라즈마 에칭 프로세스들을 가능하게 한다.
[0054] 도 3은, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버(104)의 개략적인 단면도를 예시한다. 도 3의 실시예들은 프로세스 챔버(104A)의 일 구현예를 예시한다. 프로세스 챔버(104A)는, 프로세스 용적(310)을 정의하는 챔버 본체(302)를 포함한다. 기판 지지부(304)는 프로세스 용적(310)에 배치되고, 샤워헤드(312)는 기판 지지부(304)에 대향하여 배치된다. 펌핑 라이너(350)는 챔버 본체(302)에 커플링되고, 기판 지지부(304)의 방사상 외측에 배치된다. 덮개(lid) 플레이트(324)는 샤워헤드(312)에 커플링되고, 챔버 본체(302)에 의해 지지된다. 배킹(backing) 플레이트(314)는 샤워헤드(312)와 덮개 플레이트(324) 사이에 배치된다. 주입 조립체(326)는 덮개 플레이트(324)에 커플링되고, 주입 조립체는 프로세스 용적(310)과 유체 연통(fluid communication)할 수 있다.
[0055] 챔버 본체(302)는 최대 약 300℃의 온도들을 견디기에 적합한 재료로 제조될 수 있다. 예컨대, 챔버 본체(302)는 알루미늄, 알루미늄 합금들, 스테인리스 스틸, 및 다른 적합한 금속성 재료들로 형성될 수 있다. 슬릿 밸브 개구부(360)는, 프로세스 용적(310)으로의 기판의 진입 및 프로세스 용적(310)으로부터의 기판의 진출을 허용하기 위해, 챔버 본체(302)에 형성된다. 슬릿 밸브 도어(door)(358)는 챔버 본체(302)에 커플링되고, 슬릿 밸브 개구부(360)를 밀봉하고 밀봉해제하기 위해 이동 가능할 수 있다. 일 실시예에서, 슬릿 밸브 도어(358)는 챔버 본체(302)와 동일한 재료들로 형성된다. 대안적으로, 슬릿 밸브 도어(358)는 챔버 본체(302)와 상이한 재료들로 형성될 수 있다.
[0056] 기판 지지부(304)는 프로세스 용적(310) 내에 이동 가능하게 배치될 수 있다. 예시된 바와 같이, 기판 지지부(304)는 상승된(elevated) 프로세싱 포지션에 배치된다. 기판 지지부(304)는, 기판 지지부(304) 상의 기판의 포지셔닝을 허용하기 위해, 기판 지지부(304)의 기판 지지 표면이 슬릿 밸브 개구부(360)와 동일 평면 상에 있거나 그 아래에 있도록 하강될 수 있다. 기판 지지부는, 상승된 프로세싱 온도들에서의 동작에 적합한, 그리고 금속성 재료, 세라믹 재료, 또는 이들의 조합들일 수 있는 재료로 형성될 수 있다. 예컨대, 페데스탈은 알루미늄, 알루미늄 합금들, 스테인리스 스틸, 또는 세라믹 재료들, 예컨대, 알루미늄 옥사이드 또는 알루미늄 나이트라이드로 형성될 수 있다.
[0057] 기판 지지부(304)는 기판 지지부 내에 배치된 가열 부재(306)를 가지며, 가열 부재(306)는 전력 소스(354)에 커플링된다. 전력 소스(354)는 또한, 프로세스 용적(310) 내에서 기판 지지부(304)를 상승시키고 하강시키기 위한 전력을 제공할 수 있다. 가열 부재(306)는 저항성 가열기 등일 수 있고, 임의의 바람직한 배향으로 기판 지지부(304) 내에 배치될 수 있다. 예컨대, 가열 부재(306)는 나선형(spiral) 배향으로, 또는 기판 지지부를 균일하게 가열하도록 구성된 다른 적합한 배향으로, 예컨대, 토처링된 경로(tortured path) 배향으로 기판 지지부(304)에 형성된다. 일 실시예에서, 가열 부재(306)는 기판 지지부(304)를 약 100℃ 내지 약 300℃ 사이의 온도로 가열하도록 구성된다.
[0058] 펌핑 라이너(350)는 기판 지지부(304) 및 프로세스 용적(310)을 둘러싸도록 크기가 정해진다. 기판 지지부(304)와 유사하게, 펌핑 라이너(350)는 금속성 재료들, 세라믹 재료들, 또는 이들의 조합들로 형성될 수 있다. 예컨대, 페데스탈은 알루미늄, 알루미늄 합금들, 스테인리스 스틸, 또는 세라믹 재료들, 예컨대, 알루미늄 옥사이드 또는 알루미늄 나이트라이드로 형성될 수 있다. 펌핑 라이너(350)는, 기판의, 프로세스 용적(310) 내로의 진입 및 그로부터의 진출을 허용하기 위해, 펌핑 라이너 내에 형성된 개구부(362)를 갖는다. 개구부(362)는 슬릿 밸브 개구부(360)와 실질적으로 동일 평면 상에 포지셔닝된다. 복수의 홀들(352)은 펌핑 라이너(350)의 내측 직경을 따라서 형성된다. 복수의 홀들(352)은, 가스들 및 다른 재료들의, 프로세스 용적(310)으로부터 배기부(356)로의 진공배기를 제공한다. 따라서, 프로세스 용적(310)은 펌핑 라이너(350)의 홀들(352)을 통해 배기부(356)와 유체 연통한다.
[0059] 기판 지지부(304)에 대향하여 배치된 샤워헤드(312)는 챔버 본체(302)에 직접적으로 또는 간접적으로 커플링되고, 챔버 본체(302)에 의해 지지된다. 샤워헤드(312)는, 기판 지지부(304) 및 펌핑 라이너(350)를 위해 활용된 재료들과 유사한 재료들로 형성될 수 있다. 샤워헤드(312)는, 프로세스 용적(310)으로부터, 샤워헤드(312)와 배킹 플레이트(314) 사이에 형성된 제 1 플레넘(320)으로 연장되는, 샤워헤드 내에 형성된 복수의 제 1 통로들(321)을 갖는다. 제 1 통로들(321)은 유체 연통, 및 제 1 플레넘(320)으로부터 프로세스 용적(310)으로의 증기의 전달을 가능하게 한다.
[0060] 샤워헤드 라이너(308)가 또한, 프로세스 용적(310)에 배치된다. 샤워헤드 라이너(308)는 샤워헤드(312)와 동일한 또는 유사한 재료들로 형성될 수 있으며, 샤워헤드 라이너는 샤워헤드(312)에 커플링될 수 있다. 일 실시예에서, 샤워헤드 라이너(308)는 링-형(ring-like) 본체이다. 샤워헤드 라이너(308)는, 기판 지지부(304)의 외측 직경과 실질적으로 유사한 내측 직경을 갖는다. 샤워헤드 라이너(308)의 내측 직경은 또한, 프로세스 용적(310)으로의 증기 전달을 방해하지 않기 위해 샤워헤드 라이너(308)의 최내측 표면이 제 1 통로들(321)의 방사상 외측에 있도록 크기가 정해질 수 있다. 샤워헤드 라이너(308)는 프로세스 용적(310) 내의 물리적 공간을 점유하고 프로세스 용적(310)의 용적을 감소시키며, 이에 의해, 기판 상에 SAM 분자들을 형성하는 데에 필요한 SAM 전구체의 양을 감소시킨다. 따라서, SAM 형성 프로세스의 효율이 증가될 수 있다.
[0061] 샤워헤드(312)는 또한, 샤워헤드 내에 배치된 가열기(316)를 갖는다. 가열기(316)는 저항성 가열기 등이며, 샤워헤드(312) 내에, 제 1 통로들(321)의 방사상 외측에 배치될 수 있다. 일 실시예에서, 가열기(316)는 샤워헤드(312) 내에, 제 1 통로들(321)을 실질적으로 둘러싸는 외주(circumferential) 배향으로 배치된다. 가열기(316)는, 샤워헤드(312)의 저항성 가열을 가능하게 하기 위해, 전력 소스(318)에 커플링될 수 있다. 일 실시예에서, 샤워헤드(312)는 약 150℃ 내지 약 250℃의 온도로 가열되도록 구성된다.
[0062] 샤워헤드와 덮개 플레이트(324) 사이에 배치되고 제 1 플레넘(320)을 부분적으로 정의하는 배킹 플레이트(314)는 배킹 플레이트 내에 배치된 제 2 복수의 통로들(323)을 갖는다. 제 2 플레넘(322)은 배킹 플레이트(314)와 덮개 플레이트(324) 사이에 형성된다. 통로들(323)은 제 2 플레넘(322)이 제 1 플레넘(320)과 유체 연통할 수 있게 한다. 제 3 복수의 통로들(325)은, 덮게 플레이트(324)에, 제 2 플레넘(322)과 주입 조립체(326) 사이에 형성된다.
[0063] 주입 조립체(326)는, 증발된 재료들을 프로세스 용적(310)에 전달하도록 구성된다. 동작 시에, 증발된 재료들, 예컨대, SAM 전구체들 및/또는 공동-반응물(co-reactant) 전구체들은, 주입 조립체(326)로부터 제 3 복수의 통로들(325)을 통해 제 2 플레넘(322)으로 전달된다. 증발된 재료들은 배킹 플레이트(314)의 제 2 복수의 통로들(323)을 통해 제 1 플레넘(320)으로, 그리고 샤워헤드(312)의 제 1 복수의 통로들(321)을 통해 프로세스 용적(310)으로 이동한다. 기판의 프로세싱 이후, 증발된 재료들 및 다른 유출물(effluent)은 프로세스 용적(310)으로부터 펌핑 라이너(350)의 홀들(352)을 통해 배기구(356)를 거쳐서 제거된다.
[0064] 주입 조립체(326)는, 덮게 플레이트(324)에 커플링된 하우징(327) 및 하우징(327)에 커플링된 주입기(328)를 포함한다. 주입기(328)는 하우징(327) 내에 배치되고, 주입기(328)는 제 3 플레넘(348)을 포함한다. 일 실시예에서, 제 3 플레넘(348)은 깔때기(funnel) 형상이다. 제 3 플레넘(348)의 형상은, 프로세스 용적(310)으로의 전달 이전에, 증발된 재료들의 혼합을 용이하게 하고 촉진하도록 구성될 수 있다. 제 3 플레넘(348)이 깔때기 형상으로 예시되었지만, 증발된 재료들의 혼합을 용이하게 하는 다른 형상들이 고려된다.
[0065] 제 1 앰플(ampoule)(330)은 제 1 도관(332)을 통해 주입 조립체(326)에 커플링된다. 더 구체적으로, 제 1 앰플(330)은 제 1 도관(332)을 통해 주입기(328)의 제 3 플레넘(348)과 유체 연통한다. 제 1 도관(332)은 제 1 앰플(330)로부터 제 3 플레넘(348)으로 연장된다. 제 1 가열기 재킷(334)은, 주입기(328) 너머에 배치된, 제 1 도관(332)의 부분들 상에서 제 1 도관(332)을 둘러싼다. 일 실시예에서, 제 1 가열기 재킷(334)은, 제 1 도관(332)의 온도를 약 50℃ 내지 약 250℃에서 유지하도록 저항식으로(resistively) 가열된다.
[0066] 제 1 앰플(330)은 SAM 전구체들을 증발시키고 프로세스 용적(310)에 전달하도록 구성된다. SAM 전구체들의 적합한 예들은, 포스폰산(phosphonic acid) 재료들, 예컨대, 부틸포스폰산(butylphosphonic acid), 헥실포스폰산(hexylphosphonic acid), 옥틸포스폰산(octylphosphonic acid), 도데실포스폰산(dodecylphosphonic acid), 및 옥타데실포스폰산(octadecylphosphonic acid)을 포함한다. 다른 실시예에서, SAM 전구체들은 티올(thiol) 재료들, 예컨대, 도데칸티올(dodecanethiol) 및 옥타데칸티올(octadecanethiol)일 수 있다. 다른 실시예에서, SAM 전구체들은 실릴아민(silylamine) 재료들, 예컨대, 트리스(디메틸아미노)옥틸실란(tris(dimethylamino)octylsilane) 및 트리스(디메틸아미노)옥타데실실란(tris(dimethylamino)octadecylsilane)일 수 있다. 다른 실시예에서, SAM 전구체들은, 클로로실란(chlorosilane) 재료들, 예컨대, 도데실트리클로로실란(dodecyltrichlorosilane) 및 옥타데실트리클로로실란(octadecyltrichlorosilane)일 수 있다. 다른 실시예에서, SAM 전구체들은 옥시실란(oxysilane) 재료들, 예컨대, 옥타데실티에톡시-실란(octadecylthiethoxy-silane) 및 옥타데실트리메틸실란(octadecyltrimethylsilane)일 수 있다. 다른 실시예에서, SAM 전구체들은, 특히, 플루오르화 R기, 예컨대, (1,1,2,2-퍼플루오로데실)트리클로로실란((1,1,2,2-perfluorodecyl)trichlorosilane), 트리클로로(1,1,2,2-퍼플루오로옥틸)실란(trichloro(1,1,2,2-perflrorooctyl)silane), (트리데카플루오로-1,1,2,2-테트라히드로옥틸)트리클로로실란((trideca-fluoro-1,1,2,2-tetrahydrooctyl)trichlorosilane), (트리데카플루오로-1,1,2,2-테트라히드로옥틸)트리에톡시 실란((tridecafluoro-1,1,2,2-tetrahydro-octyl)triethoxysilane), (트리데카플루오로-1,1,2,2-테트라히드로옥틸)메틸디클로로실란((tridecafluoro-1,1,2,2-tetrahydrooctyl)methyldichlorosilane), (트리데카플루오로-1,1,2,2-테트라히드로옥틸)디메틸클로로실란((tridecafluoro-1,1,2,2-tetrahydrooctyl)dimethylchlorosilane), 및 (헵타데카플루오로-1,1,2,2-테트라히드로데실)트리클로로실란((heptadecafluoro-1,1,2,2-tetrahydrodecyl)trichlorosilane)을 가질 수 있다.
[0067] 제 2 앰플(336)은 제 2 도관(338)을 통해 주입 조립체(326)에 커플링된다. 더 구체적으로, 제 2 앰플(336)은 제 2 도관(338)을 통해 주입기(328)의 제 3 플레넘(348)과 유체 연통한다. 제 2 도관(338)은 제 2 앰플(336)로부터 제 3 플레넘(348)으로 연장된다. 제 2 가열기 재킷(340)은, 주입기(328) 너머에 배치된, 제 2 도관(338)의 부분들 상에서 제 2 도관(338)을 둘러싼다. 일 실시예에서, 제 2 가열기 재킷(340)은, 제 2 도관(338)의 온도를 약 50℃ 내지 약 250℃에서 유지하도록 저항식으로 가열된다.
[0068] 제 2 앰플(336)은 공동-반응물 전구체들을 증발시키고 프로세스 용적(310)에 전달하도록 구성된다. 공동-반응물 전구체들의 적합한 예들은, 특히, 히드록실 잔기(hydroxyl moiety) 재료들, 예컨대, 주변 공기, 수용액 또는 증기, 과산화수소 용액 또는 증기, 유기 알코올 용액들 또는 증기들, 예컨대, 메탄올, 이소프로판올, 에탄올, 및 디올들을 포함한다. 수소 가스 및 산소 가스는 또한, 히드록실 잔기들을 형성하기 위해 조합되어 활용될 수 있다. 다른 비(non)-히드록실 잔기 전구체들이 또한, 본원에서 설명되는 실시예들에 따라 활용될 수 있다는 점이 고려된다. 비-히드록실 잔기 전구체들은, 특히, 질소 가스, (디)이소시아네이트들((di)isocyanates), 황화수소 및 암모니아를 포함할 수 있다.
[0069] 일 실시예에서, 세정 가스 소스(342)는 제 3 도관(344)을 통해 주입 조립체(326)에 커플링된다. 더 구체적으로, 세정 가스 소스(342)는 제 3 도관(344)을 통해 주입기(328)의 제 3 플레넘(348)과 유체 연통한다. 제 3 도관(344)은 세정 가스 소스(342)로부터 제 3 플레넘(348)으로 연장된다. 제 3 가열기 재킷(346)은, 주입기(328) 너머에 배치된, 제 3 도관(344)의 부분들 상에서 제 3 도관(344)을 선택적으로 둘러쌀 수 있다. 일 실시예에서, 제 3 가열기 재킷(346)은, 제 3 도관(344)의 온도를 약 50℃ 내지 약 250℃에서 유지하도록 저항식으로 가열된다. 세정 가스 소스(342)에 의해 제공되는 가스들은, 염소 함유 재료들, 불소 함유 재료들, 및 프로세스 챔버(104A)의 컴포넌트들을 세정하기에 적합한 다른 재료들을 포함할 수 있다.
[0070] 다른 실시예에서, 세정 가스 소스(342)는 원격 플라즈마 소스이다. 이러한 실시예에서, 원격 플라즈마 소스는, 라디칼들 및/또는 이온들을 생성하기 위해 세정 가스를 에너자이징할(energize) 수 있고, 플라즈마 생성물들을 프로세스 용적(310)에 전달할 수 있다. 일 실시예에서, 원격 플라즈마 소스는 선택적이다.
[0071] 다른 실시예에서, 세정 가스 소스(342)는 캐리어 가스 소스이다. 캐리어 가스는, 기상 SAM 전구체들의 전달을 용이하게 하기 위해 활용될 수 있으며, 캐리어 가스는, 프로세스 용적(310)에 따라, SAM 전구체들의 운반을 용이하기에 적합한 유량으로, 제 3 플레넘(348)으로부터, 제 3 통로들(325)을 통해, 제 2 플레넘(322) 및 제 2 통로들(323)을 통해, 그리고 제 1 플레넘(320) 및 제 1 통로들(321)을 통해 프로세스 용적(310)으로 전달될 수 있다. 적합한 캐리어 가스들은, 가스들, 예컨대, 희가스들 등을 포함하며, 이들은 일반적으로, 기판의 표면들로의 SAM 분자들의 전달을 용이하게 하는 SAM 흡착 조건들 하에서 불활성이다.
[0072] 가열식(heated) 샤워헤드(312) 및 가열식 기판 지지부(304)는 프로세스 용적(310)을 약 50℃ 내지 약 250℃의 온도로 가열할 수 있다. 앰플들(330, 336) 및 도관들(332, 338)은 유사한 온도들로 가열될 수 있다. 샤워헤드 라이너(308), 배킹 플레이트(314), 덮개 플레이트(324), 및 주입 조립체(326)는 또한, 샤워헤드(312)에 의해 전도적으로 가열될 수 있다. SAM 전구체들이 따라서 이동하는 유동 경로의 온도는, 증발된 SAM 전구체들의, 다양한 장치 상에서의 응축을 방지하기 위해, 상승된 온도에서 유지된다. 또한, 프로세스 용적(310)은, SAM 전구체 및 공동-반응물 전구체의 기상의 유지를 또한 용이하게 할 수 있는 약 600Torr 미만의 압력에서 유지될 수 있다.
[0073] 동작적 실시예에서, SAM 전구체는 프로세스 용적(310)을 통해 제 1 앰플(330)로부터 배기부(356)로 연속적으로 유동된다. 이러한 실시예에서, 프로세스 용적(310)의 압력은 등압 상태로 유지된다. 다른 실시예에서, SAM 전구체는 프로세스 용적(110)을 채우고, 프로세스 용적(310)으로부터 배기되기 전에 시간 기간 동안 프로세스 용적(110)에서 유지된다. 다른 실시예에서, 공동-반응물 전구체들은 프로세스 용적(310)으로 연속적으로 유동되거나, 펄싱과 같은 불연속적 방식으로 제공된다. 다른 실시예에서, SAM 전구체 및 공동-반응물 전구체는 프로세스 용적(310)에, 연속적으로 또는 정적으로(statically), 교번 방식으로 제공된다.
[0074] 도 4는, 본원에서 설명되는 다른 실시예에 따른 프로세스 챔버(104)의 개략적인 단면도를 예시한다. 도 4의 실시예들은 프로세스 챔버(104B)의 일 구현예를 예시한다. 프로세스 챔버(104B)는, 프로세스 용적(406)을 정의하는 챔버 본체(402)를 포함한다. 기판 지지부(404)는 프로세스 용적(406) 내에 배치되고, 가열기(414)는 프로세스 용적(406)에, 기판 지지부(404)에 대향하여 배치된다. 덮개 플레이트(416)는 챔버 본체(402)에 커플링되고, 증기 생성 조립체(418)는 덮개 플레이트(416)에 커플링된다.
[0075] 챔버 본체(402)는 챔버 본체(302)와 동일한 또는 유사한 재료들로 형성된다. 유사하게, 기판 지지부(404)는 기판 지지부(304)와 동일한 또는 유사한 재료들로 형성될 수 있다. 기판 지지부(404)는, 기판 지지부 내에 배치된 가열 부재(408)를 포함한다. 가열 부재(408)는 전력 소스(410)에 커플링되고, 기판 지지부(404)를 약 100℃ 내지 약 500℃의 온도들로 가열하도록 구성된다.
[0076] 기판 지지부(404)에 대향하여 배치된 가열기(414)는, 가열기(414)와 기판 지지부(404) 사이에 프로세스 용적(406)을 더 정의할 수 있다. 가열기(414)는 전력 소스(428)에 커플링되고, 가열기(414)를 약 100℃ 내지 약 500℃의 온도들로 가열하도록 구성된다. 프로세스 용적(406)의 온도는 프로세싱 동안 약 50℃ 내지 약 500℃, 예컨대, 약 100℃ 내지 약 250℃의 온도에서 유지될 수 있다. 가스 소스(426)는 가열기(414)에 또한 커플링되고, 가스 소스(426)는 프로세스 용적(406)과 유체 연통한다. 일 실시예에서, 가스 소스(426)는 공동-반응물 전구체를 프로세스 용적(406)으로 전달하도록 구성된다. 대안적으로, 가스 소스(426)는, 원하는 구현예에 따라, 퍼지 가스, 캐리어 가스, 또는 세정 가스를 프로세스 용적(406)으로 전달하도록 구성된다.
[0077] 증발기, 직접 액체 주입 증발기 등과 같은 증기 생성 조립체(418)는 덮개 플레이트(416)에 커플링된다. 증기 생성 조립체(418)는, 프로세스 용적(406)의 방사상 외측에, 덮개 플레이트(416)에 커플링된다. 증기 생성 조립체(418)의 포지션, 및 프로세스 용적(406)으로의 증기의 주입 위치는, SAM 전구체들에 대한 기판의 직교류 유형(cross-flow type)의 노출을 제공한다. 증기 생성 조립체(418)는 증발기(422) 및 증발기(422)로부터 연장되는 주입기(420)를 포함한다. 증발기(422)는 SAM 전구체 소스(524)에 커플링되고, 증발을 위해 액체 형태의 SAM 전구체들을 수용한다. 증발기(422)는 SAM 전구체를 증발시키기 위해 약 100℃ 내지 약 500℃의 온도에서 유지되며, 증발기(422)의 온도는, 적어도 부분적으로, SAM 전구체의 증기압에 의해 결정된다.
[0078] 증발된 SAM 전구체는 증발기(422)를 빠져나와 주입기(420)를 통해 이동한다. 주입기(420)는 증발기(422)로부터 증기 생성 조립체(418)를 통해 덮개 플레이트(416)로 연장되며, 증기 생성 조립체는, SAM 전구체를 증기 상태로 유지하기 위해, 가열기 재킷(412)에 의해서, 상승된 온도에서 유지된다.
[0079] 매니폴드(436)는, 가열기(414) 및 기판 지지부(404)의 방사상 외측에, 챔버 본체(402)에 커플링된다. 매니폴드(436)는, 가열기(414) 및 기판 지지부(404)와 동일한 또는 유사한 재료들로 형성된다. 매니폴드(436)는, 매니폴드(436)의 내측 직경이 가열기(414)의 외측 직경 및 기판 지지부(404)의 외측 직경보다 더 크도록, 프로세스 용적(406)을 한정하도록(circumscribe) 크기가 정해진다. 증기는 매니폴드(436)를 통해 주입기(420)로부터, 주입기(420)에 대향하여 배치된 배출구(430)로 유동할 수 있다. 배기부(432)는 또한, 프로세스 용적(406)에 커플링되며 프로세스 용적(406)과 유체 연통한다. 더 구체적으로, 배기부(432)는 배출구(430)를 통해 프로세스 용적(406)과 유체 연통한다. 따라서, 프로세스 용적 유출물은 프로세스 용적(406)으로부터 배출구(430)를 통해 배기부(432)로 진공배기될 수 있다.
[0080] 단열기(434)는, 가열기(414)의 방사상 외측에, 덮개 플레이트(416)에 커플링된다. 단열기(434)는 매니폴드(436)와 유사하게 크기가 정해지며, 매니폴드(436)와 덮개 플레이트(416) 사이에 배치될 수 있다. 단열기(434)는 또한, 챔버 본체(402)에 커플링될 수 있거나, 챔버 본체(402)와 접촉할 수 있다. 단열기(434)는 세라믹 재료 등과 같은 단열 재료로 형성되며, 기판 지지부(404), 가열기(414), 및 매니폴드(436)로부터 덮개 플레이트(416)로의 열의 전도를 감소시키거나 방지하도록 구성된다. 일 실시예에서, 단열기(434)는 선택적이다. 이러한 실시예에서, 공기 갭은 덮개 플레이트(416)와 기판 지지부(404), 가열기(414), 및 매니폴드(436) 사이의 열 차단부(thermal break)로서 기능한다.
[0081] 도 5는, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버(104)의 개략적인 단면도를 예시한다. 도 5의 실시예들은 프로세스 챔버(104C)의 일 구현예를 예시한다. 프로세스 챔버(104C)는, 프로세스 용적(506)을 정의하는 챔버 본체(502)를 포함한다. 기판 지지부(504)는 프로세스 용적(506) 내에 배치되고, 덮개 플레이트(516)는 기판 지지부(504)에 대향하여 챔버 본체(502)에 커플링된다. 증기 생성 조립체(518)는 덮개 플레이트(516)에 커플링된다.
[0082] 챔버 본체(502)는 챔버 본체(402)와 동일한 또는 유사한 재료들로 형성된다. 유사하게, 기판 지지부(504)는 기판 지지부(404)와 동일한 또는 유사한 재료들로 형성된다. 기판 지지부(504)는, 기판 지지부 내에 배치된 가열 부재(508)를 포함한다. 가열 부재(508)는 전력 소스(510)에 커플링되고, 기판 지지부(504)를 약 100℃ 내지 약 500℃의 온도들로 가열하도록 구성된다.
[0083] 증발기, 직접 액체 주입 증발기 등과 같은 증기 생성 조립체(518)는, 프로세스 용적(506)의 중앙에 인접하여, 덮개 플레이트(516)에 커플링된다. 증기 생성 조립체(518)의 포지션, 및 프로세스 용적(506)으로의 증기의 주입 위치는, SAM 전구체들에 대한 기판의 하향식 유형(top-down type)의 노출을 제공한다. 증기 생성 조립체(518)는 증발기(522) 및 증발기(522)로부터 연장되는 하나 또는 그 초과의 주입기들(512, 514)을 포함한다. 증발기(522)는 SAM 전구체 소스(524)에 커플링되고, 증발을 위해 액체 형태의 SAM 전구체들을 수용한다. 증발기(522)는 SAM 전구체를 증발시키기 위해 약 100℃ 내지 약 500℃의 온도에서 유지되며, 증발기(522)의 온도는, 적어도 부분적으로, SAM 전구체의 증기압에 의해 결정될 수 있다.
[0084] 증발된 SAM 전구체들은 증발기(522)를 빠져나와 주입기들(512, 514) 중 하나 또는 양자 모두를 통해 이동한다. 주입기들(512, 514)은 증발기(522)로부터 증기 생성 조립체(518)를 통해 덮개 플레이트(516)로 연장되며, 증기 생성 조립체는, SAM 전구체를 증기 상태로 유지하기 위해, 가열기 재킷(528)에 의해서, 상승된 온도에서 유지된다. 일 실시예에서, 소스(524)로부터의 SAM 전구체는 주입기(512)를 거쳐 배출구(530)를 통해 프로세스 용적으로 도입된다. 가스 소스(526)는 또한, 프로세스 용적(506)과 유체 연통한다. 가스 소스(526)는 액체 또는 가스를 증기 생성 조립체(518)로 도입하고, 생성된 증기는 주입기(514) 및 배출구(530)를 통해 프로세스 용적(506)으로 도입된다. 일 실시예에서, 가스 소스(526)는 공동-반응물 전구체를 제공한다. 다른 실시예에서, 가스 소스(526)는, 원하는 구현예에 따라, 퍼지 가스, 캐리어 가스, 또는 세정 가스를 제공한다.
[0085] 프로세스 용적(506)은 또한, 배기부(532)와 유체 연통한다. 따라서, 프로세스 용적 유출물은 프로세스 용적(506)으로부터 배기부(532)를 통해 진공배기될 수 있다. 프로세스 챔버들(104B 및 104C) 양자 모두는 약 600Torr 미만의 압력에서 유지될 수 있다. 프로세스 챔버들(104B, 104C)에서 수행되는 프로세스들은 등압 또는 비(non)-등압일 수 있다. 유사하게, 프로세스 챔버들(104B, 104C)에서 수행되는 프로세스들은 등온 또는 비-등온일 수 있다.
[0086] 도 6은, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버(106)의 개략적인 단면도를 예시한다. 프로세스 챔버(106)는 ALD 또는 순차적 층 증착을 위해 이루어진 가스 전달 시스템(630)을 포함한다. 프로세스 챔버(106)는, 측벽들(604) 및 바닥부(606)를 갖는 챔버 본체(602)를 포함한다. 슬릿 밸브(608)는, 프로세스 챔버(106)로의 기판(610)의 진입 및 프로세스 챔버(106)로부터의 기판(610)의 진출을 제공한다.
[0087] 기판 지지부(612)는 기판 수용 표면(611) 상에 기판(610)을 지지한다. 기판 지지부(612)는, 기판 지지부(612) 및 기판 지지부 상에 배치된 기판(610)을 상승시키고 하강시키기 위해 리프트 모터(614)에 장착된다. 리프트 모터(618)에 연결된 리프트 플레이트(616)는 프로세스 챔버(106)에 장착되며, 기판 지지부(612)를 통해서 이동 가능하게 배치된 리프트 핀들(620)을 상승시키고 하강시킨다. 리프트 핀들(620)은 기판 지지부(612)의 표면 위에서 기판(610)을 상승시키고 하강시킨다. 기판 지지부(612)는, 프로세싱 동안 기판(610)을 기판 지지부(612)에 고정시키기 위해, 진공 척(도시되지 않음), 정전 척(도시되지 않음), 또는 클램프 링(도시되지 않음)을 포함할 수 있다.
[0088] 기판 지지부(612)는, 기판 지지부 상에 배치된 기판(610)을 가열시키도록 가열될 수 있다. 예컨대, 기판 지지부(612)는, 매립된 가열 엘리먼트, 예컨대, 저항성 가열기(도시되지 않음)를 사용하여 가열될 수 있거나, 또는 복사열(radiant heat), 예컨대, 기판 지지부(612) 위에 배치된 가열 램프들(도시되지 않음)을 사용하여 가열될 수 있다. 기판(610)의 둘레 부분 상에서의 증착을 방지하도록 퍼지 가스를 기판(610)의 둘레 부분에 제공하는 퍼지 채널(624)을 정의하기 위해, 퍼지 링(622)이 기판 지지부(612) 상에 배치될 수 있다.
[0089] 가스 전달 시스템(630)은, 가스, 예컨대, 프로세스 가스 및/또는 퍼지 가스를 프로세스 챔버(106)에 제공하기 위해, 챔버 본체(602)의 상부 부분에 배치된다. 진공 시스템(678)은, 임의의 원하는 가스들을 프로세스 챔버(106)로부터 진공배기하기 위해, 그리고 프로세스 챔버(106)의 펌핑 구역(666) 내부의 원하는 압력 또는 원하는 압력 범위를 유지하는 것을 돕기 위해 펌핑 채널(679)과 연통한다.
[0090] 일 실시예에서, 가스 전달 시스템(630)은 챔버 덮개 조립체(632)를 포함한다. 챔버 덮개 조립체(632)는, 챔버 덮개 조립체(632)의 중앙 부분으로부터 연장되는 팽창 채널(634), 및 팽창 채널(634)로부터 챔버 덮개 조립체(632)의 둘레 부분으로 연장되는 하부 표면(660)을 포함한다. 하부 표면(660)은 기판 지지부(612) 상에 배치된 기판(610)을 실질적으로 커버하도록 크기가 정해지고 성형된다. 팽창 채널(634)은, 함께 그리고/또는 개별적으로 제공될 수 있는, 밸브들(642a/652a, 642b/652b)의 2개의 유사한 쌍들로부터의 가스 유동들을 제공하기 위해, 가스 유입구들(636a, 636b)을 갖는다.
[0091] 일 구성에서, 밸브(642a) 및 밸브(642b)는 개별적인 반응물 가스 소스들에 커플링되지만, 동일한 퍼지 가스 소스에 또한 커플링될 수 있다. 예컨대, 밸브(642a)는 반응물 가스 소스(638)에 커플링되고 밸브(642b)는 반응물 가스 소스(639)에 커플링되며, 밸브들(642a, 642b) 양자 모두는 퍼지 가스 소스(640)에 커플링된다. 각각의 밸브(642a, 642b)는, 밸브 시트(seat) 조립체(644a, 644b)를 갖는 전달 라인(643a, 643b)을 포함하며, 밸브들(652a, 652b) 각각은, 밸브 시트 조립체(646a, 646b)를 갖는 퍼지 라인(645a, 645b)을 포함한다. 전달 라인(643a, 643b)은 반응물 가스 소스(638, 639)와 연통하고, 팽창 채널(634)의 가스 유입구(636a, 636b)와 연통한다. 전달 라인(643a, 643b)의 밸브 시트 조립체(644a, 644b)는 반응물 가스 소스(638, 639)로부터 팽창 채널(634)로의 반응물 가스의 유동을 제어한다. 퍼지 라인(645a, 645b)은 퍼지 가스 소스(640)와 연통하고, 전달 라인(643a, 643b)의 밸브 시트 조립체(644a, 644b)의 하류에서 전달 라인(643a, 643b)과 교차한다. 퍼지 라인(645a, 645b)의 밸브 시트 조립체(646a, 646b)는 퍼지 가스 소스(640)로부터 가스 분산 채널(634)로의 퍼지 가스의 유동을 제어한다. 반응물 가스 소스(638, 639)로부터의 반응물 가스들을 전달하기 위해 캐리어 가스가 사용되면, 바람직하게는 동일한 가스가 캐리어 가스 및 퍼지 가스로서 사용된다(즉, 아르곤 가스가 캐리어 가스 및 퍼지 가스로서 사용됨).
[0092] 각각의 밸브 시트 조립체(644a, 644b, 646a, 646b)는 격막(diaphragm)(도시되지 않음) 및 밸브 시트(도시되지 않음)를 포함할 수 있다. 격막은 개방 또는 폐쇄 상태로 바이어싱될(biased) 수 있고, 각각, 폐쇄 또는 개방 상태로 액츄에이팅될 수 있다. 격막들은 공압식으로 액츄에이팅될 수 있거나 전기적으로 액츄에이팅될 수 있다. 공압식으로 액츄에이팅되는 밸브들은, Fujikin, Inc. 및 Veriflo Division, Parker Hannifin, Corp.으로부터 입수 가능한 공압식으로 액츄에이팅되는 밸브들을 포함한다. 전기적으로 액츄에이팅되는 밸브들은, Fujikin, Inc.로부터 입수 가능한 전기적으로 액츄에이팅되는 밸브들을 포함한다. 예컨대, 사용될 수 있는 ALD 밸브는 Fujikin 모델 제 FPR-UDDFAT-21-6.35-PI-ASN 호 또는 Fujikin 모델 제 FPR-NHDT-21-6.35-PA-AYT 호이다. 프로그램 가능한 논리 제어기들(648a, 648b)은, 밸브들(642a, 642b)의 밸브 시트 조립체들(644a, 644b, 646a, 646b)의 격막들의 액츄에이션을 제어하기 위해, 밸브들(642a, 642b)에 커플링될 수 있다. 공압식으로 액츄에이팅되는 밸브들은, 약 0.020초만큼 낮은 시간 간격들로 가스들의 펄스들을 제공할 수 있다. 전기적으로 액츄에이팅되는 밸브들은, 약 0.005초만큼 낮은 시간 간격들로 가스들의 펄스들을 제공할 수 있다. 전기적으로 액츄에이팅되는 밸브는 전형적으로, 밸브와 프로그램 가능한 논리 제어기 사이에 커플링된 구동기(driver)의 사용을 필요로 한다.
[0093] 각각의 밸브(642a, 642b)는, 밸브 시트 조립체(644a, 644b)가 폐쇄될 때 전달 라인(643a, 643b)으로부터 반응물 가스의 플러싱(flushing)을 가능하게 하기 위해, 사용적 없는(zero dead volume) 밸브일 수 있다. 예컨대, 퍼지 라인(645a, 645b)은 전달 라인(643a, 643b)의 밸브 시트 조립체(644a, 644b)에 인접하여 포지셔닝될 수 있다. 밸브 시트 조립체(644a, 644b)가 폐쇄될 때, 퍼지 라인(645a, 645b)은 전달 라인(643a, 643b)을 플러싱하기 위해 퍼지 가스를 제공할 수 있다. 도시된 실시예에서, 퍼지 라인(645a, 645b)은, 개방될 때 퍼지 가스가 밸브 시트 조립체(644a, 644b) 내에 직접 전달되지 않도록, 전달 라인(643a, 643b)의 밸브 시트 조립체(644a, 644b)로부터 살짝 이격되어 포지셔닝된다. 본원에서 사용되는 바와 같은 사용적 없는 밸브는, 무시할 수 있는 사용적을 갖는 밸브로서 정의된다(즉, 반드시 사용적이 없을 필요는 없다).
[0094] 각각의 밸브 쌍(642a/652a, 642b/652b)은, 퍼지 가스와 반응물 가스의 결합된 가스 유동 및/또는 개별 가스 유동들을 제공하도록 이루어질 수 있다. 밸브 쌍(642a/652a)을 참조하여, 반응물 가스와 퍼지 가스의 결합된 가스 유동의 일 예는, 퍼지 가스 소스(640)로부터 퍼지 라인(645a)을 통하는 퍼지 가스의 연속적인 유동 및 반응물 가스 소스(638)로부터 전달 라인(643a)을 통하는 반응물 가스의 펄스들을 포함한다. 퍼지 가스의 연속적인 유동은, 퍼지 라인(645a)의 밸브 시트 조립체(646a)의 격막을 개방 상태로 남겨두는 것에 의해 제공될 수 있다. 반응물 가스 소스(638)로부터의 반응물 가스의 펄스들은, 전달 라인(643a)의 밸브 시트 조립체(644a)의 격막을 개방하고 폐쇄하는 것에 의해 제공될 수 있다. 밸브 쌍(642a/652a)을 참조하여, 반응물 가스 및 퍼지 가스의 개별 가스 유동들의 일 예는, 퍼지 가스 소스(640)로부터 퍼지 라인(645a)을 통하는 퍼지 가스의 펄스들 및 반응물 가스 소스(638)로부터 전달 라인(643a)을 통하는 반응물 가스의 펄스들을 포함한다. 퍼지 가스의 펄스들은, 퍼지 라인(645a)의 밸브 시트 조립체(646a)의 격막을 개방하고 폐쇄하는 것에 의해 제공될 수 있다. 반응물 가스 소스(638)로부터의 반응물 가스의 펄스들은, 전달 라인(643a)의 밸브 시트 조립체(644a)의 격막을 개방하고 폐쇄하는 것에 의해 제공될 수 있다.
[0095] 밸브들(642a, 642b)의 전달 라인들(643a, 643b)은 가스 도관들(650a, 650b)을 통해 가스 유입구들(636a, 636b)에 커플링될 수 있다. 가스 도관들(650a, 650b)은 밸브들(642a, 642b)과 통합될 수 있거나, 밸브들(642a, 642b)로부터 분리될 수 있다. 일 양태에서, 밸브들(642a, 642b)은, 가스 유입구들(636a, 636b)과 밸브들(642a, 642b) 사이에서 가스 도관들(650a, 650b) 및 전달 라인(643a, 643b)의 임의의 불필요한 용적을 감소시키기 위해, 팽창 채널(634)에 매우 근접하여 커플링된다.
[0096] 팽창 채널(634)은, 팽창 채널(634)의 상부 부분(637)으로부터, 챔버 덮개 조립체(632)의 하부 표면(660)에 인접한 하부 부분(635)까지 증가하는 내측 직경을 갖는 채널을 포함한다. 일 실시예에서, 팽창 채널(234)은 원뿔대(truncated cone)(원뿔대와 유사한 형상들을 포함)로서 성형될 수 있다. 가스가 팽창 채널(634)의 벽들을 향하여 제공되거나 또는 기판(610)을 향하여 직접적으로 하방으로 제공되는지와 관계없이, 가스 유동이 팽창 채널(634)을 통해 이동할 때 가스의 팽창에 기인하여 가스 유동의 속도가 감소한다. 가스 유동의 속도의 감소는, 가스 유동이, 기판(610)의 표면 상에 흡착된 반응물들을 날려버릴 가능성을 감소시키는 것을 돕는다.
[0097] 이론에 의해 제한되기를 원하지 않고, 팽창 채널(634)의 상부 부분(637)으로부터 하부 부분(635)까지 점진적으로 증가하는, 팽창 채널(634)의 직경이, 팽장 채널(634)을 통하는 가스의 더 적은 단열 팽창을 허용하고 이는 가스의 온도를 제어하는 것을 돕는다고 여겨진다. 예컨대, 가스 유입구(636a, 636b)를 통해 팽창 채널(634) 내로 전달되는 가스의 급작스런 단열 팽창은, 가스의 응축 및 액적들의 형성을 야기할 수 있는, 가스의 온도 하락을 초래할 수 있다. 한편, 점진적인 팽창 채널(634)은 가스의 더 적은 단열 팽창을 제공하는 것으로 여겨진다. 그러므로, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있고, 따라서 가스의 온도는 가스의 주변 온도를 제어함으로써(즉, 챔버 덮개 조립체(632)의 온도를 제어함으로써) 더 쉽게 제어될 수 있다. 점진적인 팽창 채널(634)은, 하나 또는 그 초과의 테이퍼진(tapered) 내측 표면들, 예컨대, 테이퍼진 직선 표면, 오목 표면, 볼록 표면, 또는 이들의 조합들을 포함할 수 있거나, 또는 하나 또는 그 초과의 테이퍼진 내측 표면들의 섹션들(즉, 테이퍼진 부분 및 테이퍼지지-않은 부분)을 포함할 수 있다.
[0098] 일 실시예에서, 가스 유입구들(636a, 636b)은 팽창 채널(634)의 상부 부분(637)에 인접하여 로케이팅된다. 다른 실시예들에서, 하나 또는 그 초과의 가스 유입구들(636a, 636b)은, 상부 부분(637)과 하부 부분(635) 사이에서 팽창 채널(634)의 길이를 따라서 로케이팅될 수 있다.
[0099] 기판(610)의 표면에 걸친(즉, 기판의 중앙으로부터 기판의 에지까지의), 팽창 채널(634)로부터의 가스 유동의 개선된 속도 프로파일을 제공하는 것을 돕기 위해, 챔버 덮개 조립체(632)의 하부 표면(660)의 적어도 부분은, 팽창 채널(634)로부터 챔버 덮개 조립체(632)의 둘레 부분으로 테이퍼질 수 있다. 하부 표면(660)은 하나 또는 그 초과의 테이퍼진 표면들, 예컨대, 직선 표면, 오목 표면, 볼록 표면, 또는 이들의 조합들을 포함할 수 있다. 일 실시예에서, 하부 표면(660)은 깔때기 형상으로 테이퍼진다.
[0100] 초크(662)는, 기판(610)의 둘레에 인접한, 챔버 덮개 조립체(632)의 둘레 부분에 로케이팅될 수 있다. 기판(610) 주위에 프로세싱 구역을 형성하기 위해 챔버 덮개 조립체(632)가 조립될 때, 초크(662)는, 기판(610)의 둘레에 인접한 지역에서, 초크를 통하는 가스의 유동을 제한하는 임의의 부재를 포함한다. 일 실시예에서, 초크(662)와 기판 지지부(612) 사이의 간격은 약 0.04인치 내지 약 2.0인치, 바람직하게, 0.04인치 내지 약 0.2인치이다. 간격은, 증착 동안의 프로세스 조건들 및 전달되는 가스들에 따라서 변할 수 있다. 초크(662)는, 반응 구역(664)을 펌핑 구역(666)의 불-균일한 압력 분배로부터 격리시키는 것에 의해, 챔버 덮개 조립체(632)와 기판(610) 사이에 정의된 용적 또는 반응 구역(664) 내에서의 더 균일한 압력 분배를 제공하는 것을 돕는다.
[0101] 일 양태에서, 반응 구역(664)이 펌핑 구역(666)으로부터 격리되기 때문에, 반응물 가스 또는 퍼지 가스는, 반응물 가스 또는 퍼지 가스에 대한 기판(610)의 충분한 노출을 보장하기 위해서, 오직 반응 구역(664)만을 적절하게 채울 필요가 있다. 프로세스 챔버(106)는 기판(610)의 표면에 반응물들을 순차적으로 도입하여, 기판(610)의 표면 상에 반응물들의 교번하는 얇은 층들의 흡착을 제공한다. 결과적으로, 원자 층 증착은, 기판(610)의 표면에 동시에 도달하는 반응물의 유동을 필요로 하지 않는다. 대신에, 반응물의 유동은, 기판(610)의 표면 상에서 반응물의 얇은 층을 흡착하기에 충분한 양으로 제공될 필요가 있다.
[0102] 챔버 덮개 조립체(632)는, 챔버 덮개 조립체를 통해 전달되는 특정 가스에 따라서, 냉각 엘리먼트들 및/또는 가열 엘리먼트들을 포함할 수 있다. 챔버 덮개 조립체(632)의 온도를 제어하는 것은, 챔버 덮개 조립체(632) 상에서의, 가스 분해, 증착, 또는 응축을 방지하는 데에 사용될 수 있다. 예컨대, 챔버 덮개 조립체(632)를 냉각시키기 위해 물 채널들(도시되지 않음)이 챔버 덮개 조립체(632)에 형성될 수 있다. 다른 예에서, 챔버 덮개 조립체(632)를 가열하기 위해, 가열 엘리먼트들(도시되지 않음)이 매립될 수 있거나, 챔버 덮개 조립체(632)의 컴포넌트들을 둘러쌀 수 있다. 일 실시예에서, 챔버 덮개 조립체(632)의 컴포넌트들은 개별적으로 가열되거나 냉각될 수 있다. 예컨대, 챔버 덮개 조립체(632)는 덮개 플레이트(670) 및 덮개 캡(cap)(672)을 포함할 수 있고, 덮개 플레이트(670) 및 덮개 캡(672)은 팽창 채널(634)을 형성한다. 덮개 캡(672)은 일 온도 범위에서 유지될 수 있고, 덮개 플레이트(670)는 다른 온도 범위에서 유지될 수 있다. 예컨대, 덮개 캡(672)은, 반응물 가스들의 응축을 방지하기 위해, 가열기 테이프로 감싸지는 것에 의해 또는 다른 가열 디바이스를 사용하는 것에 의해 가열될 수 있으며, 덮개 플레이트(670)는 주변 온도에서 유지될 수 있다. 다른 예에서, 덮개 플레이트(670) 상에서의 반응물 가스들의 열 분해를 방지하기 위해, 덮개 플레이트(670)는 덮개 플레이트를 통해 형성된 물 채널들을 이용하여 냉각될 수 있고, 덮개 캡(672)은 가열될 수 있다.
[0103] 챔버 덮개 조립체(632)는, 스테인리스 스틸, 알루미늄, 니켈-도금된 알루미늄, 니켈, 또는 수행될 프로세싱과 양립 가능한 다른 적합한 재료들로 만들어질 수 있는 컴포넌트들을 포함한다. 일 실시예에서, 덮개 캡(672)은 알루미늄 또는 스테인리스 스틸을 포함하고, 덮개 플레이트(670)는 알루미늄을 포함한다.
[0104] 프로그래밍된 개인용 컴퓨터, 워크스테이션 컴퓨터, 등과 같은 제어 유닛(280)은, 프로세싱 조건들을 제어하기 위해 프로세싱 챔버(106)에 커플링될 수 있다. 예컨대, 제어 유닛(680)은, 기판 프로세스 시퀀스의 상이한 스테이지들 동안 가스 소스들(638, 639, 및 640)로부터 밸브들(642a, 262b)을 통하는 다양한 프로세스 가스들 및 퍼지 가스들의 유동을 제어하도록 구성될 수 있다. 예시적으로, 제어 유닛(680)은 중앙 처리 장치(CPU)(682), 지원 회로망(684), 및 연관된 제어 소프트웨어(683)를 포함하는 메모리(686)를 포함한다.
[0105] 제어 유닛(680)은 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(682)는, 랜덤 액세스 메모리, 리드 온리 메모리, 플로피 디스크 드라이브, 하드 디스크, 또는, 로컬 또는 원격의 임의의 다른 형태의 디지털 저장소와 같은 임의의 적합한 메모리(686)를 사용할 수 있다. 프로세스 챔버(106)를 지원하기 위해 다양한 지원 회로들이 CPU(682)에 커플링될 수 있다. 제어 유닛(680)은, 개별 챔버 컴포넌트들에 인접하여 로케이팅된 다른 제어기, 예컨대, 밸브들(642a, 642b)의 프로그램 가능한 논리 제어기들(648a, 648b)에 커플링될 수 있다. 제어 유닛(680)과 프로세스 챔버(106)의 다양한 다른 컴포넌트들 사이의 양방향 통신들은 신호 버스들(688)로서 통칭되는 다수의 신호 케이블들을 통해 처리된다. 밸브들(642a, 642b)의 프로그램 가능한 논리 제어기들(648a, 648b)로부터의 그리고 가스 소스들(638, 639, 640)로부터의 프로세스 가스들 및 퍼지 가스들의 제어에 부가하여, 제어 유닛(680)은, 다른 활동들 중에서도, 웨이퍼 이송, 온도 제어, 챔버 진공배기와 같은, 웨이퍼 프로세싱에서 사용되는 다른 활동들의 자동화된 제어를 담당하도록 구성될 수 있다.
[0106] 동작 시에, 기판(610)은, 이송 로봇(114)(도 1)과 같은 로봇(도시되지 않음)에 의해 슬릿 밸브(608)를 통해 프로세스 챔버(106)로 전달된다. 기판(610)은 리프트 핀들(620) 및 로봇의 협동을 통해 기판 지지부(612) 상에 포지셔닝된다. 기판 지지부(612)는 챔버 덮개 조립체(632)의 하부 표면(660)에 대향하여 가까이에 기판(610)을 상승시킨다. 밸브(642b)에 의해 프로세스 챔버(106) 내에 주입되는 제 2 가스 유동과 함께 또는 개별적으로(즉, 펄스들) 제 1 가스 유동이 밸브(642a)에 의해 프로세스 챔버(106)의 팽창 채널(634) 내에 주입될 수 있다. 제 1 가스 유동은, 퍼지 가스 소스(640)로부터의 퍼지 가스의 연속적인 유동 및 반응물 가스 소스(638)로부터의 반응물 가스의 펄스들을 포함할 수 있거나, 또는 반응물 가스 소스(638)로부터의 반응물 가스의 펄스들 및 퍼지 가스 소스(640)로부터의 퍼지 가스의 펄스들을 포함할 수 있다. 제 2 가스 유동은, 퍼지 가스 소스(640)로부터의 퍼지 가스의 연속적인 유동 및 반응물 가스 소스(639)로부터의 반응물 가스의 펄스들을 포함할 수 있거나, 또는 반응물 가스 소스(639)로부터의 반응물 가스의 펄스들 및 퍼지 가스 소스(640)로부터의 퍼지 가스의 펄스들을 포함할 수 있다. 가스 유동은, 팽창 채널(634)의 내측 표면에 걸쳐서 스위핑(sweeping) 작동을 제공하는 소용돌이 유동(vortex flow) 패턴으로서 팽창 채널(634)을 통해 이동한다. 소용돌이 유동 패턴은 기판(610)의 표면을 향하여 하방 유동으로 소산된다. 가스 유동의 속도는, 가스 유동이 팽창 채널(634)을 통해 이동할 때 감소한다. 그런 다음에, 가스 유동은 기판(610)의 표면에 걸쳐서 그리고 챔버 덮개 조립체(632)의 하부 표면(660)에 걸쳐서 이동한다. 하방으로 경사지는, 챔버 덮개 조립체(632)의 하부 표면(660)은, 기판(610)의 표면에 걸친 가스 유동의 속도의 변화를 감소시키는 것을 돕는다. 그런 다음에, 가스 유동은 초크(662)를 지나 프로세스 챔버(106)의 펌핑 구역(666) 내로 이동한다. 과량의 가스, 부산물들, 등은 펌핑 채널(679) 내로 유동하고, 그런 다음에 진공 시스템(678)에 의해 프로세스 챔버(106)로부터 배기된다. 일 양태에서, 가스 유동은, 팽창 채널(634)을 통해서 그리고 기판(610)의 표면과 챔버 덮개 조립체(632)의 하부 표면(660) 사이를, 기판(610)의 표면에 대한 반응물 가스의 균일한 노출 및 챔버 덮개 조립체(632)의 내측 표면들의 효율적인 퍼징을 돕는 층류(laminar) 방식으로 진행한다.
[0107] 도 7은, 본원에서 설명되는 일 실시예에 따른 프로세스 챔버(108)의 개략적인 단면도를 예시한다. 일 실시예에서, 프로세스 챔버(108)는 프로세스 챔버(102)와 유사하다. 이러한 실시예에서, 프로세스 챔버(108)는 ALD-이후 열 처리(thermal post-ALD treatment), ALD-이후 플라즈마 처리(plasma post-ALD treatment), 또는 이들의 조합을 위해 활용될 수 있다. ALD-이후 플라즈마 처리를 활용하는 실시예들의 경우, 기판의 원치 않는 위치들로부터 ALD 재료를 제거하기 위해 플라즈마 에칭 프로세스가 수행될 수 있다. 불완전한 SAM 처리가, ALD 처리 동안 노출된 상태로 남는, 기판의 원치 않는 지역들을 초래하는 경우에 플라즈마 에칭 프로세스가 활용될 수 있다는 점이 고려된다.
[0108] 다른 실시예에서, 프로세스 챔버(108)는 프로세스 챔버(102)와 상이하다. 프로세스 용적(704)을 정의하는 챔버 본체(702)를 갖는 상이한 챔버가 도 7에 예시된다. 기판 지지부(706)는 프로세스 용적(704) 내에 배치되고, 저항성 가열기와 같은 가열기(708)는 기판 지지부(706) 내에 배치된다. 가열기(708)는 전력 소스(710)에 커플링되고, 가열기는, 기판 지지부(706) 상에 배치된 기판을, 기판 상에 배치된 SAM 재료들의 증발 온도보다 더 큰 온도로 가열하도록 구성된다. 따라서, 프로세스 챔버(108)는 SAM 재료들을 기판으로부터 휘발시키고(volatilize), 휘발된 재료들은 배기부를 통해 프로세스 용적(704)으로부터 배기된다.
[0109] 도 8은, 본원에서 설명되는 일 실시예에 따른, 기판을 프로세싱하기 위한 방법(800)의 동작들을 예시한다. 동작(810)에서, 기판은 프로세스 챔버(102)와 같은 사전-세정 챔버로 전달된다. 동작(820)에서, 사전-세정 프로세스가 기판에 대해 수행되고, 기판은, 이송 챔버(즉, 이송 챔버(110))를 통해, 사전-세정 챔버로부터, 프로세스 챔버(104)와 같은 SAM 프로세싱 챔버로 이송된다.
[0110] 동작(830)에서, SAM 재료 증착 프로세스가 기판 상에 수행되며, 기판은 이송 챔버를 통해, SAM 프로세싱 챔버로부터 프로세스 챔버(106)와 같은 ALD 챔버로 이송된다. 동작(840)에서, ALD 프로세스가 기판 상에 수행되며, 기판은 이송 챔버를 통해, ALD 챔버로부터 프로세스 챔버(108)와 같은 사후-프로세싱 챔버로 이송된다. 사후-프로세싱이 기판에 대해 수행되며, 기판은 후속하는 프로세싱을 위해 사후-프로세싱 챔버로부터 이송될 수 있다.
[0111] 요약하면, 통합형 클러스터 툴 장치는, ALD 처리들과 같은 후속 증착 프로세스들과 조합하여 SAM 처리 프로세스들을 활용할 때, 개선된 인-시츄 프로세싱 및 증가된 처리량을 제공한다. 기판들의 사전 및 사후 프로세싱과 같은 부가적인 프로세스 능력은, 본원에서 설명되는 실시예들에 따라, 개선된 재료 증착 선택성과 같은 다양한 이점들을 제공한다.
[0112] 전술한 내용은 본 개시물의 실시예들에 관한 것이지만, 본 개시물의 다른 그리고 추가적인 실시예들은 본 개시물의 기본적인 범위로부터 벗어나지 않고 안출될 수 있으며, 본 개시물의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. 기판 프로세싱 장치로서,
    중앙에(centrally) 배치된 이송 챔버를 갖는 클러스터 툴;
    상기 이송 챔버에 커플링된(coupled) 제 1 프로세스 챔버 ― 상기 제 1 프로세스 챔버는 표면 개질(surface modification) 프로세스를 수행하도록 구성됨 ―;
    상기 이송 챔버에 커플링된 제 2 프로세스 챔버 ― 상기 제 2 프로세스 챔버는 자가-조립 단분자층(self-assembled monolayer) 처리 프로세스를 수행하도록 구성됨 ―;
    상기 이송 챔버에 커플링된 제 3 프로세스 챔버 ― 상기 제 3 프로세스 챔버는 원자 층 증착 프로세스를 수행하도록 구성됨 ―; 및
    상기 이송 챔버에 커플링된 제 4 프로세스 챔버 ― 상기 제 4 프로세스 챔버는 어닐(anneal) 프로세스를 수행하도록 구성됨 ―;를 포함하고,
    상기 제 2 프로세스 챔버는,
    프로세스 용적을 정의하는 챔버 본체;
    상기 프로세스 용적에 배치되는 기판 지지부;
    상기 챔버 본체에 커플링되는 덮개 플레이트;
    상기 프로세스 용적에 배치되는 가열기;
    상기 가열기 및 상기 기판 지지부의 방사상 외측으로, 상기 챔버 본체에 커플링되는 환형 매니폴드;
    상기 환형 매니폴드와 유체 연통하는 주입 조립체 ― 상기 주입 조립체는, 상기 기판 지지부의 최상부 표면을 따라 상기 프로세스 용적 내에서 자가-조립 단분자층 전구체들에 대한 직교류 유형(cross-flow type)의 노출을 제공하도록 구성됨 ―; 및
    상기 주입 조립체와 유체 연통하는 증기 생성 조립체;를 포함하는,
    기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 제 1 프로세스 챔버는, 상기 표면 개질 프로세스를 수행하기 위해, 상기 제 1 프로세스 챔버 내에 플라즈마를 생성하도록 구성되는,
    기판 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 제 1 프로세스 챔버는, 상기 제 1 프로세스 챔버 내에 배치된 가열식 페데스탈(heated pedestal)을 갖는,
    기판 프로세싱 장치.
  4. 제 3 항에 있어서,
    상기 가열식 페데스탈은, 기판을 자가-조립 단분자층 재료의 증발 온도(vaporization temperature)보다 더 큰 온도로 가열하도록 구성되는,
    기판 프로세싱 장치.
  5. 제 1 항에 있어서,
    상기 제 2 프로세스 챔버는, 자가-조립 단분자층 재료의 증발 온도보다 더 큰 온도에서 동작 가능하게(operably) 유지되는,
    기판 프로세싱 장치.
  6. 제 1 항에 있어서,
    상기 제 3 프로세스 챔버는 주기적인(cyclic) 원자 층 증착 프로세스를 수행하도록 구성되는,
    기판 프로세싱 장치.
  7. 제 1 항에 있어서,
    상기 제 4 프로세스 챔버는, 상기 제 4 프로세스 챔버 내에 배치된 가열식 페데스탈을 갖는,
    기판 프로세싱 장치.
  8. 제 7 항에 있어서,
    상기 가열식 페데스탈은, 기판을 자가-조립 단분자층 재료의 증발 온도보다 더 큰 온도로 가열하도록 구성되는,
    기판 프로세싱 장치.
  9. 제 1 항에 있어서,
    상기 이송 챔버에 커플링된 하나 또는 그 초과의 로드 록(load lock) 챔버들을 더 포함하는,
    기판 프로세싱 장치.
  10. 제 9 항에 있어서,
    상기 로드 록 챔버들은 어닐 프로세스를 수행하도록 구성되는,
    기판 프로세싱 장치.
  11. 기판 프로세싱 장치로서,
    중앙에 배치된 이송 챔버를 갖는 클러스터 툴;
    상기 이송 챔버에 커플링된 제 1 프로세스 챔버 ― 상기 제 1 프로세스 챔버는 표면 개질 프로세스를 수행하도록 구성됨 ―;
    상기 제 1 프로세스 챔버에 인접하여 상기 이송 챔버에 커플링된 제 2 프로세스 챔버 ― 상기 제 2 프로세스 챔버는 자가-조립 단분자층 처리 프로세스를 수행하도록 구성됨 ―;
    상기 제 2 프로세스 챔버에 인접하여 상기 이송 챔버에 커플링된 제 3 프로세스 챔버 ― 상기 제 3 프로세스 챔버는 원자 층 증착 프로세스를 수행하도록 구성됨 ―; 및
    상기 제 3 프로세스 챔버에 인접하여 상기 이송 챔버에 커플링된 제 4 프로세스 챔버 ― 상기 제 4 프로세스 챔버는 어닐 프로세스를 수행하도록 구성됨 ―;를 포함하고,
    상기 제 2 프로세스 챔버는,
    프로세스 용적을 정의하는 챔버 본체;
    상기 프로세스 용적에 배치되는 기판 지지부;
    상기 챔버 본체에 커플링되는 덮개 플레이트;
    상기 프로세스 용적에 배치되는 가열기;
    상기 가열기 및 상기 기판 지지부의 방사상 외측으로, 상기 챔버 본체에 커플링되는 환형 매니폴드;
    상기 환형 매니폴드와 유체 연통하는 주입 조립체 ― 상기 주입 조립체는, 상기 기판 지지부의 최상부 표면을 따라 상기 프로세스 용적 내에서 자가-조립 단분자층 전구체들에 대한 직교류 유형의 노출을 제공하도록 구성됨 ―; 및
    상기 주입 조립체와 유체 연통하는 증기 생성 조립체;를 포함하는,
    기판 프로세싱 장치.
  12. 제 11 항에 있어서,
    상기 제 1 프로세스 챔버 및 상기 제 4 프로세스 챔버 각각은, 챔버 내에 배치된 가열식 페데스탈을 갖는,
    기판 프로세싱 장치.
  13. 기판 프로세싱 방법으로서,
    기판을 제 1 프로세스 챔버로 이송하고 상기 제 1 프로세스 챔버에서 상기 기판에 대해 표면 개질 프로세스를 수행하는 단계;
    상기 기판을 상기 제 1 프로세스 챔버로부터 이송 챔버를 통해 제 2 프로세스 챔버로 이송하는 단계;
    상기 제 2 프로세스 챔버에서 상기 기판에 대해 자가-조립 단분자층 처리 프로세스를 수행하는 단계;
    상기 기판을 상기 제 2 프로세스 챔버로부터 상기 이송 챔버를 통해 제 3 프로세스 챔버로 이송하는 단계;
    상기 제 3 프로세스 챔버에서 상기 기판 상에 원자 층 증착 프로세스를 수행하는 단계;
    상기 기판을 상기 제 3 프로세스 챔버로부터 상기 이송 챔버를 통해 제 4 프로세스 챔버로 이송하는 단계; 및
    상기 제 4 프로세스 챔버에서 상기 기판에 대해 어닐 프로세스를 수행하는 단계;를 포함하고,
    상기 제 2 프로세스 챔버는,
    프로세스 용적을 정의하는 챔버 본체;
    상기 프로세스 용적에 배치되는 기판 지지부;
    상기 챔버 본체에 커플링되는 덮개 플레이트;
    상기 프로세스 용적에 배치되는 가열기;
    상기 가열기 및 상기 기판 지지부의 방사상 외측으로, 상기 챔버 본체에 커플링되는 환형 매니폴드;
    상기 환형 매니폴드와 유체 연통하는 주입 조립체 ― 상기 주입 조립체는, 상기 기판 지지부의 최상부 표면을 따라 상기 프로세스 용적 내에서 자가-조립 단분자층 전구체들에 대한 직교류 유형의 노출을 제공하도록 구성됨 ―; 및
    상기 주입 조립체와 유체 연통하는 증발기;를 포함하는,
    기판 프로세싱 방법.
  14. 제 13 항에 있어서,
    상기 이송 챔버는, 각각의 기판 이송 프로세스들 동안 진공 하에서 유지되는,
    기판 프로세싱 방법.
  15. 제 13 항에 있어서,
    상기 어닐 프로세스는, 자가-조립 단분자층 재료의 증발 온도보다 더 큰 온도에서 수행되는,
    기판 프로세싱 방법.
KR1020170068956A 2016-06-03 2017-06-02 선택적 지역 증착을 위한 통합형 클러스터 툴 KR102404126B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220063964A KR102477152B1 (ko) 2016-06-03 2022-05-25 선택적 지역 증착을 위한 통합형 클러스터 툴

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/173,356 US10358715B2 (en) 2016-06-03 2016-06-03 Integrated cluster tool for selective area deposition
US15/173,356 2016-06-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220063964A Division KR102477152B1 (ko) 2016-06-03 2022-05-25 선택적 지역 증착을 위한 통합형 클러스터 툴

Publications (2)

Publication Number Publication Date
KR20170137653A KR20170137653A (ko) 2017-12-13
KR102404126B1 true KR102404126B1 (ko) 2022-05-30

Family

ID=60482711

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170068956A KR102404126B1 (ko) 2016-06-03 2017-06-02 선택적 지역 증착을 위한 통합형 클러스터 툴
KR1020220063964A KR102477152B1 (ko) 2016-06-03 2022-05-25 선택적 지역 증착을 위한 통합형 클러스터 툴

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220063964A KR102477152B1 (ko) 2016-06-03 2022-05-25 선택적 지역 증착을 위한 통합형 클러스터 툴

Country Status (4)

Country Link
US (2) US10358715B2 (ko)
JP (1) JP7158829B2 (ko)
KR (2) KR102404126B1 (ko)
CN (2) CN107464766B (ko)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
JP7145031B2 (ja) * 2017-12-25 2022-09-30 東京エレクトロン株式会社 基板を処理する方法、プラズマ処理装置、及び基板処理装置
CN110010464B (zh) 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
CN109994358B (zh) * 2017-12-29 2021-04-27 中微半导体设备(上海)股份有限公司 一种等离子处理系统和等离子处理系统的运行方法
JP7226336B2 (ja) * 2018-01-10 2023-02-21 Jsr株式会社 パターン形成方法
JP6799550B2 (ja) * 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
US10815561B2 (en) 2018-03-10 2020-10-27 Applied Materials, Inc. Method and apparatus for asymmetric selective physical vapor deposition
US10636655B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for asymmetric deposition of metal on high aspect ratio nanostructures
WO2019182913A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
CN112074939A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
WO2019222320A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
US20200048762A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods for selective deposition using self assembled monolayers
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US10879107B2 (en) 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
JP7267015B2 (ja) * 2019-01-09 2023-05-01 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7109397B2 (ja) * 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
KR102179717B1 (ko) * 2019-05-17 2020-11-17 무진전자 주식회사 플라즈마와 증기를 이용한 건식 세정 장치
KR102178593B1 (ko) * 2019-05-17 2020-11-16 무진전자 주식회사 플라즈마와 증기를 이용한 건식 세정 방법
KR20200143605A (ko) 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
JP7378293B2 (ja) * 2019-12-26 2023-11-13 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
JP7359000B2 (ja) * 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
JP7433449B2 (ja) * 2020-01-22 2024-02-19 アプライド マテリアルズ インコーポレイテッド Oled層の厚さ及びドーパント濃度のインライン監視
KR20220129599A (ko) 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US20210375600A1 (en) * 2020-06-02 2021-12-02 Applied Materials, Inc. Self-assembled monolayer deposition from low vapor pressure organic molecules
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices
US20210407824A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Spm processing of substrates
CN111876752A (zh) * 2020-08-03 2020-11-03 中国科学院长春光学精密机械与物理研究所 一种mocvd装置及半导体材料生产设备
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
CN115125514B (zh) * 2022-08-01 2023-06-09 拓荆科技股份有限公司 腔内抽气结构及半导体沉积设备
WO2024090275A1 (ja) * 2022-10-28 2024-05-02 東京エレクトロン株式会社 成膜方法及び成膜装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
KR101496644B1 (ko) 2010-02-17 2015-02-27 에이에스엠 아메리카, 인코포레이티드 증착으로부터 반응성 부위의 비활성화
US20150147879A1 (en) 2013-11-27 2015-05-28 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08148480A (ja) * 1994-11-22 1996-06-07 Mitsubishi Electric Corp 半導体製造装置およびこれによる半導体製造方法
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
JP2002237486A (ja) 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
KR101090895B1 (ko) * 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7071022B2 (en) 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7390739B2 (en) 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
WO2008054398A2 (en) 2005-11-09 2008-05-08 The Trustees Of Columbia University In The City Of New York Photochemical methods and photoactive compounds for modifying surfaces
KR101169058B1 (ko) 2006-03-10 2012-07-26 엘지디스플레이 주식회사 박막 트랜지스터 및 그 제조방법
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR101138869B1 (ko) 2006-12-22 2012-05-14 삼성전자주식회사 유기발광 디스플레이의 단위 화소부 구동소자의 제조방법
US8084087B2 (en) * 2007-02-14 2011-12-27 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
US20090057266A1 (en) 2007-08-27 2009-03-05 Eda Tuncel Line edge roughness control
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
KR101078309B1 (ko) 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101067345B1 (ko) 2009-08-06 2011-09-23 한국과학기술원 패턴형성방법 및 패턴형성장치
JP5318217B2 (ja) 2009-09-28 2013-10-16 株式会社東芝 パターン形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120009765A1 (en) 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
JP5524152B2 (ja) * 2010-12-06 2014-06-18 株式会社東芝 基板処理装置、及び成膜システム
GB2494168B (en) * 2011-09-01 2014-04-09 Memsstar Ltd Improved deposition technique for micro electro-mechanical structures (MEMS)
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP2013161913A (ja) 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2014236148A (ja) 2013-06-04 2014-12-15 東京エレクトロン株式会社 有機分子膜の形成装置および形成方法
US9153457B2 (en) 2013-06-14 2015-10-06 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity using direct current positioning
US9525082B2 (en) 2013-09-27 2016-12-20 Sunpower Corporation Solar cell contact structures formed from metal paste
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
US10026887B2 (en) * 2015-11-12 2018-07-17 Board Of Regents, The University Of Texas System Methods of tailoring the deposition of metals using self-assembled monolayers
US11081342B2 (en) * 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
KR101496644B1 (ko) 2010-02-17 2015-02-27 에이에스엠 아메리카, 인코포레이티드 증착으로부터 반응성 부위의 비활성화
US20150147879A1 (en) 2013-11-27 2015-05-28 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation

Also Published As

Publication number Publication date
US20190301009A1 (en) 2019-10-03
US10358715B2 (en) 2019-07-23
CN107464766B (zh) 2023-08-29
US20170350004A1 (en) 2017-12-07
KR20220094222A (ko) 2022-07-05
JP2018026532A (ja) 2018-02-15
JP2023015052A (ja) 2023-01-31
KR102477152B1 (ko) 2022-12-13
KR20170137653A (ko) 2017-12-13
US11725274B2 (en) 2023-08-15
JP7158829B2 (ja) 2022-10-24
CN117219539A (zh) 2023-12-12
CN107464766A (zh) 2017-12-12

Similar Documents

Publication Publication Date Title
KR102477152B1 (ko) 선택적 지역 증착을 위한 통합형 클러스터 툴
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
US8268684B2 (en) Method and apparatus for trench and via profile modification
KR102500194B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
TW201719719A (zh) 用於原位清洗銅表面以及沉積與移除自組裝單層的方法與設備
TW202117931A (zh) 間隙填充沉積製程
CN111033699B (zh) 改良的金属接触定位结构
US20180135180A1 (en) Apparatus for depositing a cobalt layer using a carousel batch deposition reactor
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
US10679827B2 (en) Method and apparatus for semiconductor processing chamber isolation for reduced particles and improved uniformity
US9362166B2 (en) Method of forming copper wiring
TW201511129A (zh) 用於昇華蝕刻製程之低溫電漿退火製程
JP7503610B2 (ja) 領域選択堆積用の統合クラスタツール
US20220165567A1 (en) Systems and methods for deposition residue control
US20220130650A1 (en) Processing chamber deposition confinement
WO2021247590A1 (en) Self-assembled monolayer deposition from low vapor pressure organic molecules
TWI774754B (zh) 自對準觸點與閘極處理流程
CN116978782A (zh) 在基板和腔室部件上沉积金属硅化物层

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant