CN107464766B - 用于选择性区域沉积的集成集群工具 - Google Patents

用于选择性区域沉积的集成集群工具 Download PDF

Info

Publication number
CN107464766B
CN107464766B CN201710364977.5A CN201710364977A CN107464766B CN 107464766 B CN107464766 B CN 107464766B CN 201710364977 A CN201710364977 A CN 201710364977A CN 107464766 B CN107464766 B CN 107464766B
Authority
CN
China
Prior art keywords
chamber
processing
substrate
processing chamber
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710364977.5A
Other languages
English (en)
Other versions
CN107464766A (zh
Inventor
托宾·卡芙曼·奥斯本
斯里维斯·D·内曼尼
卢多维克·葛德特
奇伟·梁
阿迪布·可汗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202311016325.4A priority Critical patent/CN117219539A/zh
Publication of CN107464766A publication Critical patent/CN107464766A/zh
Application granted granted Critical
Publication of CN107464766B publication Critical patent/CN107464766B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文所述实施方式涉及用于处理基板的装置和方法。在一个实施方式中,提供一种集群工具装置,所述集群工具装置具有传递腔室和围绕所述传递腔室设置的预清洁腔室、自组装单层(self‑assembled monolayer;SAM)沉积腔室、原子层沉积(atomic layer deposition;ALD)腔室和后处理腔室。基板可被集群工具处理并在预清洁腔室、SAM沉积腔室、ALD腔室和后处理腔室之间传递。基板在每个所述腔室之间的传递可通过容纳有传递机器人的传递腔室来促进。

Description

用于选择性区域沉积的集成集群工具
技术领域
本公开内容的实施方式一般涉及用于处理基板的装置。更具体地说,本公开内容的实施方式涉及用于选择性区域沉积的集成集群工具。
背景技术
可靠地生产亚半微米(sub-half micron)和更小特征是半导体器件的下一代甚大规模集成(very large scale integration;VLSI)和超大规模集成(ultra large scaleintegration;ULSI)的关键技术挑战之一。然而,随着电路技术限制被推动,VLSI和ULSI技术的日益缩小的尺寸已经对处理能力提出了更多的要求。
由于下一代器件的电路密度增加,互连(interconnect)(诸如通孔、沟槽、触点、栅极结构和其他特征)以及在这些互连之间的电介质材料的宽度降至45nm和32nm和更低的尺寸。为了实现下一代器件和结构的制造,通常使用半导体芯片中的特征的三维堆叠。特别地,通常使用鳍式场效晶体管(fin field effect transistor;FinFET)在半导体芯片中形成三维结构。通过用三维形式代替常规的二维形式来排列晶体管,多个晶体管可彼此非常靠近地置于集成电路(integrated circuit;IC)中。随着电路密度和堆叠增大,在先前沉积材料上选择性地沉积后续材料的能力变得越来越重要。
自组装单层(self-assembled monolayer,SAM)可用作掩膜材料以改进后续材料沉积选择性。SAM一般是表面化学依赖性的并可优先形成在各种材料上。然而,用于沉积SAM的现有装置的收到缓慢的沉积速率的困扰,缓慢的沉积速率不利地减少了产量。另外,在工业中缺少与提供适宜产量的预处理和后处理装置的SAM装置集成。
因此,在本领域中需要改进的基板处理装置和方法。
发明内容
在一个实施方式中,提供一种基板处理装置。装置包括具有中心设置的传递腔室的平台和耦接至所述传递腔室的第一处理腔室。第一处理腔室经构造以执行表面改性工艺。第二处理腔室耦接至所述传递腔室,且所述第二处理腔室经构造以执行自组装单层处理工艺。第三处理腔室耦接至所述传递腔室,且所述第三处理腔室经构造以执行原子层沉积工艺。第四处理腔室被耦接至所述传递腔室,且所述第四处理腔室经构造以执行退火工艺。
在另一实施方式中,提供一种基板处理装置。所述装置包括具有中心设置的传递腔室的平台和耦接至所述传递腔室的第一处理腔室。第一处理腔室经构造以执行表面改性工艺。第二处理腔室耦接至邻近于所述第一处理腔室的所述传递腔室,且所述第二处理腔室经构造以执行自组装单层处理工艺。第三处理腔室耦接至邻近于所述第二处理腔室的所述传递腔室,且所述第三处理腔室经构造成执行原子层沉积工艺。第四处理腔室耦接至邻近于所述第三处理腔室的所述传递腔室,且所述第四处理腔室经构造以执行退火工艺。
在另一实施方式中,提供一种基板处理方法。所述方法包括:将基板传递至第一处理腔室并在所述第一处理腔室中的基板上执行表面改性工艺。经由传递腔室将基板从第一处理腔室传递至第二处理腔室,并在所述第二处理腔室中的基板上执行自组装单层处理工艺。经由传递腔室将基板自第二处理腔室传递至第三处理腔室,并在所述第三处理腔室中的基板上执行原子层沉积工艺。经由传递腔室将基板自第二处理腔室传递至第四处理腔室,并在所述第四处理腔室中的基板上执行退火工艺。
附图说明
因此,为了能够详细理解本公开内容的上述特征的方式,可以参考各个实施方式来获得上文简要概述的本公开内容的更具体的描述,所述实施方式中的一些在附图中示出。然而,应当注意,附图仅例示了示范性实施方式,并且因此不应被视为限制本公开内容的保护范围,因为本公开内容可允许其他等效的实施方式。
图1示出根据本文描述的一个实施方式的集群工具装置的示意平面图。
图2示出根据本文描述的一个实施方式的处理腔室的横截面示意图。
图3示出根据本文描述的一个实施方式的处理腔室的横截面示意图。
图4示出根据本文描述的一个实施方式的处理腔室的横截面示意图。
图5示出根据本文描述的一个实施方式的处理腔室的横截面示意图。
图6示出根据本文描述的一个实施方式的处理腔室的横截面示意图。
图7示出根据本文描述的一个实施方式的处理腔室的横截面示意图。
图8示出根据本文描述的一个实施方式的用于处理基板的方法的操作。
为了便于理解,在尽可能的情况下,已使用相同的元件符号来标示图中共有的相同元件。可以设想,一个实施方式的元件和特征可有利地并入其他实施方式中,而无需进一步赘述。
具体实施方式
本文所述实施方式涉及用于处理基板的装置和方法。在一个实施方式中,提供一种集群工具装置,所述集群工具装置具有传递腔室和围绕所述传递腔室设置的预清洁腔室、自组装单层(SAM)沉积腔室、原子层沉积(atomic layer deposition;ALD)腔室和后处理腔室。基板可通过集群工具处理和在预清洁腔室、SAM沉积腔室、ALD腔室和后处理腔室之间传递。基板在每个所述腔室之间的传递可通过容纳有传递机器人的传递腔室来促进。
如本文中使用的,“自组装单层”(SAM)一般指的是附接(通过化学键)到表面的分子层,和所述分子层相对于表面甚至相对于彼此采用了最佳取向。SAM通常包括两亲分子(amphiphilic molecules)的组织层,其中分子的一端,所述“头基(head group)”显示出对于基板的特定的可逆亲合性。头基的选择取决于SAM的应用,其中SAM化合物的类型基于所使用的基板。一般而言,头基连接至烷基链,其中尾部或“末端”可被官能化,例如用以改变润湿和界面性质。形成SAM的分子将通过另一材料选择性地附着在一个材料上(例如,金属对电介质),且如果具有充足的密度,则可成功地操作后续沉积,所述后续沉积允许在未涂覆有SAM的材料上选择性沉积。
图1示出根据本文描述的一个实施方式的集群工具装置100的示意平面图。根据本文描述的实施方式可使用的适宜装置的实例包括和/>平台,所述两者都从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.,SantaClara,CA.)获得。可以设想,根据本文描述的实施方式,也可以有利地使用来自其他制造商的其他适当构造的装置。另外,根据本文描述的实施方式,也可有利地使用从加利福尼亚州圣克拉拉市的应用材料公司获得的具有双腔室能力的/>平台。在使用平台的实施方式中,根据下文描述的实施方式,可使用具有烘烤或退火能力的负载锁定腔室作为后处理腔室。
一般而言,装置100包括多个处理腔室102、104、106、108、传递腔室110和负载锁定腔室112。处理腔室102、处理腔室104、处理腔室106、处理腔室108中的每一个腔室耦接至传递腔室110。在一个实施方式中,处理腔室104邻近处理腔室102而被设置。在一个实施方式中,处理腔室106邻近处理腔室104而被设置。在一个实施方式中,处理腔室108邻近处理腔室106而被设置。每个处理腔室表示并可用于基板处理的不同阶段或时期。在一个实施方式中,处理腔室102是预清洁腔室。处理腔室102为准备要处理的基板的表面以用于后续处理。在各种实例中,处理腔室102可去除由空气暴露导致的基板缺陷、去除原生氧化物层、和/或去除设置在基板表面上的牺牲层,所述基板待通过SAM或ALD处理来处理。在另一实例中,处理腔室102用于基板表面官能化。在此实例中,根据所需的实施例(implementation),可修改表面端基(terminal group)以实现、辅助或阻止在基板上形成SAM。
可通过处理腔室102执行的表面处理的特定实例包括:经由等离子体处理的金属氧化物去除、使用H2/O2等离子体处理或水蒸气暴露的表面羟基官能化,和/或使用工艺的氧化物去除。/>工艺可从加利福尼亚州圣克拉拉市的应用材料公司获得。可以设想,根据本文描述的实施方式,可使用其他类似处理工艺。更具体地说,使用处理腔室102以实现选择性区域SAM吸附。例如,假设存在理想条件,十八烷基三氯硅烷(octadecyltrichlorosilane;ODTCS)SAM可优先键合至电介质或金属氧化物材料,而不是金属或Si-H封端面。使用处理腔室102以去除金属氧化物或原生氧化物以形成通常阻止SAM吸附的Si-H封端面的暴露金属面。
在另一实施方式中,处理腔室102可用作后处理腔室。根据图2更详细描述的处理腔室102包括加热台座,所述加热台座用以执行烘烤或退火工艺。可在通过装置100的其他腔室处理基板之后使用烘烤或退火工艺。
在一个实施方式中,处理腔室104为SAM沉积腔室。处理腔室104经构造使得气相SAM分子能够优先地选择性吸附至基板的一种材料,而不是所述基板的另一材料。一般通过SAM分子头基和设置在基板表面上的表面终端特性/官能基的反应性来控制选择性吸附。例如,具有暴露的SiO2和Cu材料的基板,这些材料暴露于相同SAM处理工艺将导致对金属有选择性的SAM分子优先键合至Cu,且基本不吸附在SiO2材料。产生的SAM材料具有高水接触角(water contact angle)(即,大于约105°),所述高水接触角指示致密SAM的形成。因此,利用处理腔室104以执行SAM沉积工艺。
在一个实施方式中,处理腔室106为ALD腔室。处理腔室106经构造以在未被SAM材料覆盖的基板表面上实现沉积。例如,ALD材料一般不在具有大于约105°(诸如大于约110°)的水接触角的表面上形成。因此,ALD处理可通过使用SAM材料在基板的所需材料上选择性地进行沉积而改进沉积的选择性。
在一个实施方式中,处理腔室108为后处理腔室,诸如退火或烘烤腔室。在另一实施方式中,处理腔室108为等离子体处理腔室。在一个实例中,处理腔室108通过热解吸或将基本暴露于等离子体(诸如含氧和/或氢等离子体)来实现从基板除去SAM材料。替换地或组合地,处理腔室108可用于ALD清除工艺。例如,对于不良的SAM处理,一些ALD成核现象(nucleation)可在未被SAM材料覆盖的基板的缺陷部位上发生。可使用处理腔室108执行蚀刻工艺以蚀刻暴露的ALD材料,从而去除在缺陷部位上生成的ALD膜,同时仅从期望的ALD生成位置去除少量材料。
传递腔室110(所述腔室使得基板在处理腔室102、处理腔室104、处理腔室106、处理腔室108之间传递)中容纳有传递机器人114。传递机器人114可为如图所示的单叶片机器人或双叶片机器人。双叶片机器人114具有附接至一对可延伸臂的远端的一对基板运输叶片116A、116B。叶片116A、116B用于在腔室102、腔室104、腔室106、腔室108之间支撑和传送个别基板。传递腔室110也维持在真空下或另外的降低氧气环境下。在SAM处理和ALD处理之间的基板的空气暴露可能潜在地对SAM材料用于ALD阻断的有效性不利,并且在处理腔室104和处理腔室106之间原位(in-situ)传递基板提供了改进的处理性能,诸如更高的沉积选择性。另外,可能需要执行循环SAM和ALD工艺,因此,所述传递腔室实现了基板在处理腔室104、处理腔室106之间的有效传递,同时也通过阻止基板暴露于周围空气环境来提高处理效能。
图2示出根据本文描述的一个实施方式的处理腔室102的横截面示意图。处理腔室102可为等离子体蚀刻腔室、等离子体处理腔室、烘烤腔室、退火腔室或其他合适的真空处理腔室。
处理腔室102可由多个模块组装。模块设计使得处理腔室102能够满足各种处理要求。如图2所示,处理腔室102包括源模块202、处理模块204、流动模块206和排放模块208。源模块202、处理模块204和流动模块206集体包围处理区域212。在某些实施方式中,处理模块204、流动模块206和排放模块208可统称为腔室模块210。
在操作期间,基板216可放置在基板支撑组件218上并暴露于处理环境中,诸如在处理区域212中产生的等离子体。可在处理腔室102中执行的示范性工艺包括蚀刻、等离子体处理工艺和烘烤或退火工艺。可通过经由排气通道214的来自排放模块208的吸力而在处理区域212中维持真空,所述排气通道214由流动模块206所界定。
处理区域212和排气通道214围绕中心轴211基本对称以提供对称的电流、气流和热流以建立均匀的工艺条件。
在一个实施方式中,源模块202是电容耦合等离子体源,经构造以产生一个或多个等离子体,所述一个或多个等离子体中的至少一个等离子体可视为远程等离子体并且所述一个或多个等离子体中的一个等离子体可视为直接等离子体。源模块202可包括板堆叠(plate stack)201,所述板堆叠201可充当电极(即,阳极),所述电极由处理模块204支撑,并通过隔离器222与处理模块204隔离。板堆叠201可包括在堆叠取向上排列的各种喷头、扩散器和筛网/阻断板。板堆叠201可通过气体入口管226连接至气源232。板堆叠201和气体入口管226可全部由射频(radio frequency;RF)导电材料制造,诸如铝或不锈钢。板堆叠201可通过导电气体入口管226耦接至RF功率源224。RF匹配网络225也可耦接至RF功率源224。导电的气体入口管226可与处理腔室102的中心轴211同轴,使得RF功率和处理气体均对称地被提供。
尽管上文描述了电容等离子体源,但根据工艺要求,源模块202可为任何合适的气体/等离子体源。例如,源模块202可为感应耦合等离子体源、远程等离子体源或微波等离子体源。
处理模块204耦接至源模块202。处理模块204可包括包围处理区域212的腔室主体240。腔室主体240可由抗处理环境的导电材料制造,诸如铝或不锈钢。基板支撑组件218可居中地设置在腔室主体240内并被定位以在围绕中心轴211对称的处理区域212中支撑基板216。
狭缝阀开口242以穿过腔室主体240的形式形成以允许基板216的通道。狭缝阀门244设置在腔室主体240外面以选择性地开启和关闭狭缝阀开口242。
在一个实施方式中,上衬垫组件246设置在腔室主体240的上部中,从而从处理环境掩膜腔室主体240。上衬垫组件246包括与在腔室主体240中形成的狭缝阀开口242对应的开口248。在一个实施方式中,上衬垫组件246包括围绕中心轴211对称形成的两个或两个以上的开口248以补偿由狭缝阀开口242造成的腔室主体240的不对称性,从而在处理腔室102内的处理区域212中产生对称性。例如,上衬垫组件246是具有三个相同的开口248的圆柱形壁,这三个开口248彼此相隔120°而形成。上衬垫组件246可由导电的、处理相容的材料构造,诸如铝、不锈钢和/或氧化钇(yttria)(例如,涂覆有铝的氧化钇)。
在一个实施方式中,在腔室主体240中形成有冷却通道250以为腔室主体240和上衬垫组件246提供温度控制,以增强处理腔室102内的热对称性和在处理区域212中提供的等离子体的对称性。
流动模块206附接至处理模块204。流动模块206在处理区域212和排放模块208之间提供流动路径,处理区域212在处理模块204中被界定。流动模块206也在基板支撑组件218和在处理腔室102外部的大气环境之间提供界面。
流动模块206具有高度207。高度207是根据被工艺要求指示的垂直移动量或可变容量的程度来选择的。因此,当建立用于特定工艺的处理腔室时,可选择具有合适高度的流动模块以满足工艺要求。当配置处理腔室以用于不同工艺时,流动模块可以交换为具有不同高度的另一流动模块。
流动模块206包括外壁260、内壁262、在内壁262和外壁260之间连接的两对或两对以上的径向壁264、和附接至内壁262和两对或两对以上径向壁264的底壁266。外壁260包括在每对径向壁264之间形成的两个或两个以上的通孔270。底盘254可密封地设置在内壁262和两对或两对以上径向壁264上方。通孔270将由内壁262界定的大气容积268与外部环境连接,从而容纳实用连接(utility connection),诸如电连接、气连接和冷却液连接。底盘254包括用于接收基板支撑组件218的中心开口258。
流动模块206的外壁260经塑形以匹配处理模块204的腔室主体240。在一个实施方式中,外壁260包括凸缘,所述凸缘与腔体240上的凸缘对应。可使用多个螺钉来固定凸缘以将流动模块206耦接至处理模块204。在一个实施方式中,密封252设置在腔室主体240的凸缘和外壁260的凸缘之间,以在腔室主体240和外壁260之间形成真空密封。密封252可为O型环或其他类型的密封。在一个实施方式中,RF接地垫片272被设置在流动模块206和处理模块204之间以在流动模块206和处理模块204之间提供固体接触来获得均匀和对称的RF接地回路。
内壁262、底壁266、径向壁264和底盘254将外壁260内部的容积划分成排气通道214和大气容积268。排气通道214与处理模块204的处理区域212连接。密封256被设置在凹槽254b、凹槽264b中以在排气通道214和大气容积268之间提供真空密封。密封256可为O型环或其他类型的密封,诸如弹性密封。
外壁260和内壁262可为同心排列的圆柱形壁。当组装在一起时,外壁260和内壁262的中心轴与处理腔室102的中心轴211重合。两对或两对以上的径向壁264被布置在内壁262和外壁260之间以将内壁262和外壁260之间的空间划分成排气通道214和通孔270。在一个实施方式中,两对或两对以上径向壁264被布置,使得排气通道214围绕中心轴211对称。流动模块206包括三对径向壁264,这三对径向壁264设置为彼此相隔120°的形式,从而形成与中心轴211对称的三个排气通道214。排气通道214的对称排列促进气体从处理区域212对称去除,从而带来了跨越基板216的气体的对称流动。另外,排气通道214和径向壁264的对称定位促进了处理腔室102中热分布和电导率的对称性。
排放模块208包括对称流量阀280和附接至对称流量阀280的真空泵282。在某些实施方式中,真空泵282可为对称的涡轮分子泵。对称流量阀280连接至排气通道214以在处理腔室102中提供对称和均匀的流动。
基板支撑组件218沿中心轴211定位以围绕中心轴211对称地放置基板216。基板支撑组件218由底盘254支撑。基板支撑组件218包括设置在处理区域212中的支撑板274、底板(baseplate)276,和穿过底盘254的中心开口258而设置的空心轴278。波纹管284在底板276和底盘254之间连接并围绕空心轴278。波纹管284允许基板支撑组件218沿中心轴211垂直移动,并在流动模块206中的大气容积268和处理模块204中的处理区域212之间提供真空密封。
支撑板274可为具有卡紧电极286的静电卡盘(electrostatic chuck;ESC)。卡紧电极286可为单极4区域ESC、双极4区域ESC或高温ESC。可以设想,在基板216的解开夹紧(de-chucking)期间双极ESC可能不需要暴露于用于RF接地的等离子体。4区域ESC可利用四个同心加热区域(即,加热元件288)以在处理期间调制基板的从中心到边缘的加热曲线以提供改进的温度均匀性,所述基板设置在卡紧电极286上。高温ESC可适用于高达约600℃的温度。在一个实施方式中,由卡紧电极286产生的温度可适于基板层的副产物的升华,所述基板层被蚀刻以维持高的材料选择性。
支撑板274也可包括用于在处理期间加热基板216的加热元件288。底板276包括形成在底板276中的冷却通道290。卡紧电极286可通过空心轴278、大气容积268和通孔270中的一个通孔连接至偏压功率源287。加热元件288经由空心轴278、大气容积268和通孔270的一个通孔连接至加热功率源289。冷却通道290经由空心轴278、大气容积268和通孔270的一个通孔连接至冷却流体源291。在一个实施方式中,支撑板274经构造以将基板216加热一定温度,所述温度大于设置在基板216上的SAM材料的汽化温度。
在一个实施方式中,致动器组件292耦接至空心轴278以垂直地移动基板支撑组件218。致动器组件292可使得基板支撑组件218能够在处理区域212内移动并改变基板216的处理位置。例如,致动器组件292在距离板堆叠201约0.5英寸和约6英寸之间的距离处放置基板支撑组件218。与常规等离子体处理装置相比,板堆叠201和基板支撑组件218之间缩短的距离,在低压条件(regime)中(诸如小于20毫托,例如约1毫托)提供增大的电容耦合等离子体(capactively coupled plasma;CCP)产生窗口。因此,RF电极(即,板堆叠201)和RF接地之间的缝隙可被增大以通过降低CCP的击穿电压来实现低压CCP放电。在产生CCP的实施方式中,根据所需实施方式,基板支撑组件218也可经由接地垫片272充当电极(即,阴极)或地线。致动器组件292被设置在大气容积268中。提升销致动器294设置在大气容积268中以移动提升销296。
等离子体筛(plasma screen)298设置在处理区域212和排气通道214之间以将等离子体限制在处理区域212内。基板支撑衬垫299围绕基板支撑组件218设置以将基板支撑组件218与工艺化学物质屏蔽。
在操作期间,来自气源232的一种或多种处理气体可经由板堆叠201进入处理区域212。在低压条件中使用的合适的处理气体包括H2、He、Ar、O2、NF3、NH3、N2、N2O、H2O、SiF4、SiH4、SiCl4和各种氟碳前体。RF功率可施加在板堆叠201和基板支撑组件218之间以在处理区域212中激发并维持直接等离子体(离子产生)。RF功率也可经由气体入口管226施加在板堆叠201上,并且远程等离子体(自由基产生)可在板堆叠201内产生。可利用同步或步进RF脉冲化来控制离子能量和自由基密度。零DC偏压可被使用并且可提供减小的离子轰击,所述减小的离子轰击可实现粒子产生降低。可利用多个RF频率(即,400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz)来调整等离子体密度和离子能量。可利用定制波形和相位角调制来控制离子能量分布。可以设想,RF功率源224和RF匹配网络225可经构造以在低至约5W的功率下操作,同时维持稳定的等离子体放电。
在基板支撑组件218上设置的基板216可通过直接等离子体和远程等离子体两者而被处理。例如,利用在处理区域212中产生的直接等离子体来通过将材料暴露于离子中而将基板216的表面上的材料改性。从板堆叠201中的远程等离子体产生的自由基从远程等离子体中被提取,以将具有高选择性的改性材料去除到基板216上的非改性材料。因此,可实现高度选择性的材料去除工艺。
用以形成等离子体的一种或多种处理气体可被连续地供应至处理区域212或板堆叠201,并且通过对称流量阀280和流动模块206操作真空泵282,以在邻近基板216的位置产生对称和均匀等离子体分布。通过在独立的模块中界定处理区域212和排气通道214,本公开内容的实施方式提供具有简化腔室结构的均匀和对称的处理环境,因此,减少了制造成本并实现了具有高度材料选择性的无损伤的等离子体蚀刻工艺。
图3示出根据本文描述的一个实施方式的处理腔室104的横截面示意图。图3的实施方式示出了处理腔室的一个实施方式104A。处理腔室104A包括界定处理容积310的腔室主体302。基板支撑件304设置在处理容积310中,并且喷头312与基板支撑件304相对设置。泵衬垫350耦接至腔室主体302并且设置在基板支撑件304的径向外侧。盖板324耦接至喷头312并由腔室主体302支撑。背板314设置在喷头312和盖板324之间。注入组件326耦接至盖板324,并且所述注入组件可与处理容积310流体连通。
腔室主体302可由适于承受高达约300℃的温度的材料制造。例如,腔室主体302可由铝、铝合金、不锈钢和其他合适的金属材料形成。狭缝阀开口360形成在腔室主体302中以允许基板进入处理容积310和离开处理容积310。狭缝阀门358耦接至腔室主体302并且可移动地密封和启封狭缝阀开口360。在一个实施方式中,狭缝阀门358由与腔室主体302相同的材料形成。或者,狭缝阀门358可由与腔室主体302不同的材料形成。
基板支撑件304可以能够移动的方式设置在处理容积310内。如图所示,基板支撑件304设置在升高的处理位置中。基板支撑件304可降低使得基板支撑件304的基板支撑面与狭缝阀开口360共面或低于狭缝阀开口360,以允许将基板放置在基板支撑件304上。基板支撑件可由适于在升高的处理温度下操作的材料形成,且可为金属材料、陶瓷材料或上述材料的组合。例如,台座可由铝、铝合金、不锈钢或诸如氧化铝或氮化铝的陶瓷材料形成。
基板支撑件304具有设置在基板支撑件30中的加热构件306,且加热构件306耦接至功率源354。功率源354也可提供用于在处理容积310内升高和降低基板支撑件304的功率。加热构件306是电阻式加热器或类似的加热器,且可以在基板支撑件304内以任意的期望取向上设置。例如,加热构件306在基板支撑件304中以螺旋取向或其他合适的取向形成,上述取向经构造以均匀地加热所述基板支撑件,诸如歪曲路径取向。在一个实施方式中,加热构件306经构造以将基板支撑件304加热至约100℃和约300℃之间的温度。
泵衬垫350被调整大小以围绕基板支撑件304和处理容积310。类似于基板支撑件304,泵衬垫350可由金属材料、陶瓷材料或上述材料的组合物形成。例如,台座可由铝、铝合金、不锈钢或诸如氧化铝或氮化铝的陶瓷材料形成。泵衬垫350具有形成在泵衬垫350中的开口以允许基板进入处理容积310和离开处理容积310。开口362被放置成大体上与狭缝阀开口360共面的位置。多个孔352沿泵衬垫350的内径形成。多个孔352将气体和其他材料从处理容积310排至排放356。因此,处理容积310经由泵衬垫350的孔352与排放356流体连通。
喷头312(与基板支撑件304相对设置)直接耦接或间接地耦接至腔室主体302并由腔室主体302支撑。喷头312可由与用于基板支撑件304和泵衬垫350的材料类似的材料形成。喷头312具有在喷头312中形成的多个第一通道321,所述多个第一通道321从处理容积310延伸至第一气室320,第一气室320形成在喷头312和背板314之间。第一通道321实现了从第一气室320至处理容积310的流体连通和蒸汽传递。
喷头衬垫308也设置在处理容积310中。喷头衬垫308可由与喷头312相同或类似的材料形成,且喷头衬垫可耦接至喷头312。在一个实施方式中,喷头衬垫308为环形主体。喷头衬垫308具有内径,喷头衬垫308的内径大体上与基板支撑件304的外径类似。喷头衬垫308的内径也可被调整大小以使得喷头衬垫308的最内部表面在第一通道321的径向外侧,以免干扰蒸汽传递至处理容积310。喷头衬垫308占用处理容积310内的实体空间并减小处理容积310的容积,从而减少需要在基板上形成SAM分子的SAM前驱物的量。因而,可增大SAM形成工艺的效率。
喷头312也具有设置在喷头312中的加热器316。加热器316为电阻式加热器或类似的加热器,且可设置在第一通道321的径向外侧的喷头312内。在一个实施方式中,加热器316在大体上围绕第一通道321的圆周取向上设置在喷头312内。加热器316可耦接至功率源318以实现喷头312的电阻加热。在一个实施方式中,喷头312经构造以被加热至约150℃和约250℃之间的温度。
背板314(设置在喷头和盖板324之间,并部分地界定出第一气室320)具有设置在背板314中的多个第二通道323。第二气室322形成在背板314和盖板324之间。通道323使得第二气室322能够与第一气室320流体连通。多个第三通道325形成在第二气室322和注入组件326之间的盖板324中。
注入组件326经构造以传递汽化材料至处理容积310。在操作中,汽化材料(诸如SAM前驱物和/或共同反应前驱物)经由多个第三通道325从注入组件326传递至第二气室322。汽化材料穿过背板314的多个第二通道323行进至第一气室320,并穿过喷头312的多个第一通道321行进至处理容积310。在基板处理之后,汽化材料和其他排出物经由排放356穿过泵衬垫350的孔352从处理容积310去除。
注入组件326包括耦接至盖板324的外壳327和耦接至外壳327的注入器328。注入器328设置在外壳327内,且注入器328包括第三气室348。在一个实施方式中,第三气室348为漏斗状的。第三气室348的形状可经构造以促进和激励汽化材料在传递至处理容积310之前混合。尽管第三气室348表示为漏斗形状,但可设想促进汽化材料混合的其他形状。
第一安瓿330经由第一导管332耦接至注入组件326。更具体地说,第一安瓿330经由第一导管332与注入器328的第三气室348流体连通。第一导管332从第一安瓿330延伸至第三气室348。第一加热套334在设置在注入器328外的第一导管332的部分上包裹第一导管332。在一个实施方式中,电阻加热第一加热套334以将第一导管332的温度维持在约50℃和约250℃之间。
第一安瓿330经构造以汽化并传递SAM前驱物至处理容积310。SAM前驱物的合适实例包括膦酸材料,诸如丁基膦酸、己基膦酸、辛基膦酸、十二烷基膦酸和十八烷基膦酸。在另一实施方式中,SAM前驱物可为硫醇材料,诸如十二烷硫醇和十八烷硫醇。在另一实施方式中,SAM前驱物可为甲硅烷基胺材料,诸如三(二甲基氨基)辛基硅烷和三(二甲基氨基)十八烷基硅烷。在另一实施方式中,SAM前驱物可为氯硅烷材料,诸如十二烷三氯硅烷和十八烷基三氯硅烷。在另一实施方式中,SAM前驱物可为氧基硅烷材料,诸如十八烷基三乙氧基-硅烷和十八烷基三甲基硅烷。在另一实施方式中,SAM前驱物可具有氟化R基团,诸如(1,1,2,2-全氟癸基)三氯硅烷、三氯(1,1,2,2-全氟辛基)硅烷、(十三氟-1,1,2,2-四氢辛基)三氯硅烷、(十三氟-1,1,2,2-四氢化辛基)三乙氧基硅烷、(十三氟-1,1,2,2-四氢辛基)甲基二氯硅烷、(十三氟-1,1,2,2-四氢辛基)二甲基氯硅烷和(十七氟-1,1,2,2-四氢癸基)三氯硅烷等等。
第二安瓿336经由第二导管338耦接至注入组件326。更具体地说,第二安瓿336经由第二导管338与注入器328的第三气室348流体连通。第二导管338从第二安瓿336延伸至第三气室348。第二加热套340在设置在注入器328外的第二导管338的部分上包裹第二导管338。在一个实施方式中,电阻加热第二加热器夹套340以将第二导管338的温度维持在约50℃和约250℃之间。
第二安瓿336经构造以汽化并传递共同反应前驱物至处理容积310。共同反应前驱物的合适实例包括羟基部分材料,诸如周围空气、水溶液或蒸汽、过氧化氢溶液或蒸汽、有机酒精溶液或蒸汽(诸如甲醇、异丙醇、乙醇和二醇等)。氢气和氧气也可组合用于形成羟基部分。可以设想,根据本文描述实施方式,也可利用其他非羟基部分前驱物。非羟基部分前驱物可包括氮气、(二)异氰酸盐、硫化氢和氨气等。
在一个实施方式中,清洁气源342经由第三导管344耦接至注入组件326。更具体地说,清洁气源342经由第三导管344与注入器328的第三气室348流体连通。第三导管344从清洁气源342延伸至第三气室348。第三加热器夹套346可在设置在注入器328外的第三导管344的部分上选择性地包裹第三导管344。在一个实施方式中,电阻加热第三加热器夹套346以将第三导管344的温度维持在约50℃和约250℃之间。由清洁气源342提供的气体可包括含氯材料、含氟材料和适于清洁处理腔室104A的部件的其他材料。
在另一实施方式中,清洁气源342为远程等离子体源。在此实施方式中,远程等离子体源可激励清洁气体以产生自由基和/或离子,并将所述等离子体产物传递至处理容积310。在一个实施方式中,远程等离子体源是可选的。
在另一实施方式中,清洁气源342为载气源。载气可用于促进气相SAM前驱物的传递;并且根据处理容积310,载气可以适于促进传递SAM前驱物的流动速率下从第三气室348通过第三通道325、通过第二气室322和第二通道323、并通过第一气室320和第一通道321传递至处理容积310。合适的载气包括诸如惰性气体或类似气体的气体,这些气体在SAM吸附条件下通常是惰性的,所述SAM吸附条件促进SAM分子传递至基板表面。
加热喷头312和加热基板支撑件304可将处理容积310加热至约50℃和约250℃之间的温度。可将安瓿330、安瓿336和导管332、导管338加热至类似温度。喷头衬垫308、背板314、盖板324和注入组件326也可通过喷头312被传导加热。流动路径(SAM前驱物沿着该流动路径行进)的温度被维持在升高的温度下以阻止汽化的SAM前驱物在各装置上冷凝。处理容积310也可被维持在小于约600托的压力下,此举也可促进SAM前驱物和共同反应前驱物维持气态。
在可操作的实施方式中,SAM前驱物通过处理容积310从第一安瓿330持续地流动至排放356。在此实施方式中,处理容积310的压力被维持在等压状态中。在另一实施方式中,SAM前驱物填充处理容积110,并且在从处理容积310被排出之前在处理容积110中维持一段时间。在另一实施方式中,共同反应前驱物持续地流入处理容积310或以诸如脉冲的间断方式而被提供。在另一实施方式中,SAM前驱物和共同反应前驱物是以交替的方式持续地或静态地被提供至处理容积310。
图4示出根据本文描述的另一实施方式的处理腔室104的横截面示意图。图4的实施方式示出了处理腔室的一个实施方式104B。处理腔室104B包括界定处理容积406的腔室主体402。基板支撑件404设置在处理容积406内,并且加热器414设置在处理容积406中并与基板支撑件404相对。盖板416耦接至腔室主体402,且蒸汽产生组件418耦接至盖板416。
腔室主体402由与腔室主体302相同或类似的材料形成。类似地,基板支撑件404由与基板支撑件304相同或类似的材料形成。基板支撑件404包括设置在基板支撑件404中的加热构件408。加热构件408耦接至功率源410,并经构造以将基板支撑件404加热至约100℃和约500℃之间的温度。
与基板支撑件404相对设置的加热器414可在加热器414和基板支撑件404之间进一步界定出处理容积406。加热器414耦接至功率源428,并经构造以将加热器414加热至约100℃和约500℃之间的温度。在处理期间,处理容积406的温度可被维持在约50℃和约500℃之间,诸如约100℃和约250℃之间的温度。气源426也耦接至加热器414,且气源426与处理容积406流体连通。在一个实施方式中,气源426经构造以将共同反应前驱物传递至处理容积406。或者,根据所需实施例(implementation),气源426经构造以传递净化气体、载气或清洁气体至处理容积406。
蒸汽产生组件418(诸如蒸发器、直接液体注入蒸发器或类似的器件)耦接至盖板416。蒸汽产生组件418耦接至位于处理容积406径向外侧的盖板416。蒸汽产生组件418的位置,和蒸汽注入到处理容积406的注入位置向SAM前驱物提供了基板的交叉流动型暴露。蒸汽产生组件418包括蒸发器422和从蒸发器422延伸的注入器420。蒸发器422耦接至SAM前驱物源424并接收液态的SAM前驱物以用于汽化作用。蒸发器422被维持在约100℃和约500℃之间的温度以汽化所述SAM前驱物,并且蒸发器422的温度至少部分地由SAM前驱物的蒸汽压力决定。
汽化的SAM前驱物离开蒸发器422并经由注入器420行进。注入器420经由蒸汽产生组件418从蒸发器422延伸,所述注入器420通过加热套412被维持在升高的温度下以保持SAM前驱物处于气相,并延伸至盖板416。
歧管(manifold)436耦接至位于基板支撑件404和加热器414径向外侧的腔室主体402。歧管436由与基板支撑件404和加热器414相同或类似的材料形成。歧管436被调整大小以环绕处理容积406,以使得歧管436的内径大于基板支撑件404的外径和加热器414的外径。蒸汽可经由歧管436从注入器420流动至出口430,所述出口430与注入器420相对设置。排放432也耦接至处理容积406并与处理容积406流体连通。更具体地说,排放432经由出口430与处理容积406流体连通。因此,处理容积排出物可经由出口430从处理容积406排至排放432。
热绝缘体434耦接至在加热器414径向外侧的盖板416。热绝缘体434经调整尺寸而与歧管436的尺寸相似,并可设置在歧管436和盖板416之间。热绝缘体434也可耦接至腔室主体402或接触腔室主体402。热绝缘体434由热绝缘材料形成,诸如陶瓷材料或类似材料,经构造以减少或防止来自基板支撑件404、加热器414和歧管436的热传导至盖板416。在一个实施方式中,热绝缘体434是可选的。在此实施方式中,在盖板416和基板支撑件404、加热器414与歧管436之间空隙充当热阻断(thermal break)。
图5示出根据本文描述的一个实施方式的处理腔室104的横截面示意图。图5的实施方式示出了处理腔室的一个实施方式104C。处理腔室104C包括界定处理容积506的腔室主体502。基板支撑件504设置在处理容积506内,并且盖板516耦接至腔室主体502并与基板支撑件504相对。蒸汽产生组件518耦接至盖板516。
腔室主体502由与腔室主体402相同或类似的材料形成。类似地,基板支撑件504由与基板支撑件404相同或类似的材料形成。基板支撑件504包括设置在基板支撑件504中的加热构件508。加热构件508耦接至功率源510并且经构造以将基板支撑件504加热至约100℃和约500℃之间的温度。
蒸汽产生组件518(诸如蒸发器、直接液体注入蒸发器或类似器件)耦接至邻近处理容积506中心的盖板516。蒸汽产生组件518的位置,和蒸汽注入处理容积506的注入位置向SAM前驱物提供了基板的自顶向下型(top-down type)的暴露。蒸汽产生组件518包括蒸发器522和从蒸发器522延伸的一个或多个注入器512、514。蒸发器522耦接至SAM前驱物源524并且接收液态的SAM前驱物以用于汽化作用。蒸发器522被维持在约100℃和约500℃之间的温度以汽化SAM前驱物,并且蒸发器522的温度至少部分地由SAM前驱物的蒸汽压力决定。
汽化的SAM前驱物离开蒸发器522并经由注入器512、注入器514中的一个或两个行进。注入器512、注入器514通过蒸汽产生组件518从蒸发器522延伸,所述注入器512、514通过加热套528被维持在升高的温度下以保持SAM前驱物处于气相,并且延伸至盖板516。在一个实施方式中,来自源524的SAM前驱物通过出口530经由注入器512被引入处理容积。气源526也与处理容积506流体连通。气源526将液体或气体引入蒸汽产生组件518,并且产生的蒸汽经由注入器514和出口530被引入处理容积506。在一个实施方式中,气源526提供共同反应前驱物。在另一实施方式中,根据所需实施例,气源526提供净化气体、载气或清洁气体。
处理容积506也与排放532流体连通。因此,处理容积排出物可经由排放532从处理容积506排出。处理腔室104B和处理腔室104C两者可维持在小于约600托的压力下。在处理腔室104B、处理腔室104C中执行的工艺可为等压的或非等压的。类似地,在处理腔室104B、104C中执行的工艺可为等温的或非等温的。
图6示出根据本文描述的一个实施方式的处理腔室106的横截面示意图。处理腔室106包括气体分配系统630,气体分配系统630适于ALD或顺序层沉积。处理腔室106含有腔室主体602,所述腔室主体602具有侧壁604和底部606。狭缝阀608提供基板610进入处理腔室106和离开处理腔室106。
基板支撑件612在基板接收表面611上支撑基板610。基板支撑件612安装至升降电机614以升高和降低基板支撑件612以及设置在基板支撑件612上的基板610。连接至升降电机618的升降板616安装在处理腔室106中,并且升高和降低提升销620,提升销620以能够移动的方式穿过基板支撑件612而设置。提升销620升高和降低位于基板支撑件612表面上方的基板610。基板支撑件612可包括真空吸盘(未示出)、静电卡盘(未示出)或夹紧环(未示出),以用于在处理期间将基板610固定至基板支撑件612。
可加热基板支撑件612以加热在设置在基板支撑件612上的基板610。例如,可使用嵌入式加热元件来加热基板支撑件612,诸如电阻式加热器(未示出);或可使用辐射热来加热基板支撑件612,诸如设置在基板支撑件612上方的加热灯(未示出)。净化环622可设置在基板支撑件612上以界定净化通道624,所述净化通道624提供净化气体至基板610的外围部分以防止基板610上的沉积。
气体运输系统630设置在腔室主体602的上部以向处理腔室106提供气体,所述气体诸如处理气体和/或净化气体。真空系统678与泵通道679连通以将任意所需气体从处理腔室106排出,并且用以帮助在处理腔室106的泵区域666内部维持所需压力或所需压力范围。
在一个实施方式中,气体运输系统630含有腔室盖组件632。腔室盖组件632包括扩张通道634和下表面660,所述扩张通道634从腔室盖组件632的中央部分延伸,所述下表面660从扩张通道634延伸至腔室盖组件632的外围部分。调整下表面660的经调整尺寸和塑形以大体上覆盖设置在基板支撑件612上的基板610。扩张通道634具有气体入口636a、636b以从两个类似的阀对642a/652a、642b/652b提供气流,所述气流可被一起提供和/或单独提供。
在一个配置中,阀642a和阀642b耦接至单独的反应气源,但也可耦接至相同的净化气源。例如,阀642a耦接至反应气源638,且阀642b耦接至反应气源639,且阀642a、阀642b两者均耦接至净化气源640。每个阀642a、阀642b包括具有阀座组件644a、阀座组件644b的运输管线643a、输送管线643b,且阀652a、阀652b中的每个阀包括具有阀座组件646a、阀座组件646b的净化管线645a、净化管线645b。运输管线643a、运输管线643b与反应气源638、反应气源639流体连通,并且与扩张通道634的气体入口636a、气体入口636b流体连通。运输管线643a、运输管线643b的阀座组件644a、阀座组件644b控制反应气体从反应气源638、反应气源639至扩张通道634的流动。净化管线645a、净化管线645b与净化气源640流体连通,并与运输管线643a、运输管线643b的阀座组件644a、644b的运输管线643a、运输管线643b的下游相交。净化管线645a、净化管线645b的阀座组件646a、阀座组件646b控制净化气体从净化气源640至扩张通道634的流动。如果使用载气从自反应气源638、反应气源639传递反应气体,则优先使用相同气体作为载气和净化气体(即,使用氩气作为载气和净化气体)。
每个阀座组件644a、阀座组件644b、阀座组件646a、阀座组件646b可含有隔膜(diaphragm)(未示出)和阀座(未示出)。所述隔膜可分别偏置开启或关闭,且可分别被致动关闭或开启。所述隔膜可为气压致动的或电气致动的。气压致动阀包括可从Fujikin公司和Parker Hannifin公司的Veriflo部门获得的气压致动阀。电气致动阀包括可从Fujikin公司获得的电气致动阀。例如,可使用的ALD阀为Fujikin的型号为FPR-UDDFAT-21-6.35-PI-ASN的阀门或Fujikin的型号为FPR-NHDT-21-6.35-PA-AYT的阀门。可编程逻辑控制器648a、648b可耦接至阀642a、阀642b以控制阀642a、阀642b的阀座组件644a、阀座组件644b、阀座组件646a、阀座组件646b的隔膜的致动。气压致动阀可在低至约0.020秒的时段中提供气体脉冲。电气致动阀可在低至约0.005秒的时段中提供气体脉冲。电气致动阀通常需要利用在阀和可编程逻辑控制器之间耦接的驱动器。
每个阀642a、阀642b可为零死容积阀(zero dead volume valve)以当阀座组件644a、阀座组件644b关闭时实现来自运输管线643a、运输管线643b的反应气体的冲洗。例如,净化管线645a、净化管线645b可设置在运输管线643a、运输管线643b的阀座组件644a、阀座组件644b附近。当阀座组件644a、阀座组件644b关闭时,净化管线645a、净化管线645b可提供净化气体以冲洗运输管线643a、运输管线643b。在示出的实施方式中,净化管线645a、净化管线645b放置在与运输管线643a、运输管线643b的阀座组件644a、644b略微隔开的位置,以便当打开时净化气体不直接传递进入阀座组件644a、阀座组件644b中。如本文使用的零死容积阀被定义为具有可忽略的死容积(即,不需要零死容积)的阀门。
每个阀对642a/652a、阀对642b/652b可适于提供反应气体和净化气体的组合气流和/或单独气流。关于阀对642a/652a,反应气体和净化气体的组合气流的一个实例包括经由净化管线645a的来自净化气源640的净化气体的连续流动,和经由运输管线643a的来自反应气源638的反应气体的脉冲。净化气体的连续流动可通过使净化管线645a的阀座组件646a的隔膜保持开启来提供。来自反应气源638的反应气体的脉冲可通过打开和关闭运输管线643a的阀座组件644a的隔膜来提供。关于阀对642a/652a,反应气体和净化气体的单独气流的一个实例包括经由净化线645a的来自净化气源640的净化气体的脉冲,和经由运输管线643a的来自反应气源638的反应气体的脉冲。净化气体的脉冲可通过打开和关闭净化管线645a的阀座组件646a的隔膜来提供。来自反应气源638的反应气体的脉冲可通过打开和关闭运输管线643a的阀座组件644a的隔膜来提供。
阀642a、阀642b的运输管线643a、运输管线643b可经由气体导管650a、气体导管650b耦接至气体入口636a、气体入口636b。气体导管650a、气体导管650b可以集成在阀642a、阀642b中,或可与阀642a、阀642b分离。在一个构想中,阀642a、阀642b紧邻扩张通道634而与扩张通道634耦接,以减小在阀642a、阀642b和气体入口636a、气体入口636b之间的运输管线643a、运输管线643b和气体导管650a、650b的任何不必要的容积。
扩张通道634包含有具有内径的通道,所述内径从扩张通道634的上部637增加至扩张通道634的下部635,所述扩张通道634邻近于腔室盖组件632的下表面660。在一个实施方式中,扩张通道234可被塑形为截锥形状(包括类似截锥的形状)。无论是朝向扩张通道634的壁提供气体还是直接向下朝向基板610提供气体,由于气体的膨胀,气流的速度随气流穿过扩张通道634进行而降低。气流速度的降低有助于降低气流吹掉吸附在基板610的表面上的反应物的可能性。
不受理论所限,可以相信的是,扩张通道634的直径(从扩张通道634的上部637至下部635逐渐增大)允许穿过扩张通道634的气体的更少的绝热膨胀,这有助于控制气体的温度。例如,穿过气体入口636a、气体入口636b传递进入扩张通道634的气体的突然绝热膨胀可导致气体的温度降低,这可引起气体的冷凝和液滴的形成。另一方面,相信逐渐扩张通道634可提供气体的更少的绝热膨胀。因此,可将更多热量传递至气体或从气体传递更多热量,因此,可通过控制气体的周围温度(即,控制腔室盖组件632的温度)来更容易地控制气体的温度。渐扩张通道634可含有一个或多个锥形内表面,诸如锥形直表面、凹面、凸面或上述表面的组合,或可含有一个或多个锥形内表面的区段(即,部分锥形和部分非锥形)。
在一个实施方式中,气体入口636a、气体入口636b位于扩张通道634的上部637附近。在其他实施方式中,一个或多个气体入口636a、气体入口636b可沿扩张通道634的长度位于上部637和下部635之间。
腔室盖组件632的下表面660的至少一部分可从扩张通道634至腔室盖组件632的外围部分逐渐变细,以帮助提供来自扩张通道634的气流跨越基板610表面(即,从基板的中心至基板边缘)的改进的速度曲线。下表面660可含有一个或多个锥形表面,诸如直表面、凹面、凸面、或上述表面的组合。在一个实施方式中,下表面660是漏斗形状的锥形。
节流口(choke)662可位于基板610周边附近的腔室盖组件632的外围部分。当腔室盖组件632被组装以形成围绕基板610的处理区域时,节流口662包含在邻近基板610的周边的区域中限制气体流动穿过节流口662的任意构件。在一个实施方式中,节流口662和基板支撑件612之间的间距在约0.04英寸和约2.0英寸之间,且优选为在0.04英寸和约0.2英寸之间。所述间距可根据在沉积期间传递的气体和工艺条件而变化。节流口662帮助在容积或反应区664内提供更均匀的压力分布,通过将反应区664与泵送区域666的非均匀压力分布隔离而在腔室盖组件632和基板610之间界定所述容积或反应区664。
在一个构想中,因为反应区664与泵区域666隔离,所以反应气体或净化气体仅需要足够地填充反应区664以确保基板610充分暴露于反应气体或净化气体。处理腔室106顺序地将反应物引入到基板610的表面以提供反应物的交替薄层吸附在基板610表面上。因此,原子层沉积不需要反应物的流动,所述反应物同时地到达基板610的表面。反之,需要提供一定量的反应物的流动,所述反应物的流动的量足以在基板610的表面上吸附反应物的薄层。
腔室盖组件632根据穿过腔室盖组件632传递的特定气体可包括冷却元件和/或加热元件。控制腔室盖组件632的温度可用于防止气体在腔室盖组件632上的分解、沉积或冷凝。例如,可在腔室盖组件632中形成水通道(未示出)以冷却腔室盖组件632。在另一实例中,加热元件(未示出)可被嵌入到腔室盖组件632的部件中或可围绕腔室盖组件632的部件以加热腔室盖组件632。在一个实施方式中,腔室盖组件632的部件可被分别加热或冷却。例如,腔室盖组件632可含有盖板670和盖帽672,其中盖板670和盖帽672形成扩张通道634。盖帽672可维持在一个温度范围下,并且盖板670可维持在另一温度范围下。例如,盖帽672可通过被加热带缠绕而被加热或通过使用另一加热器而被加热以防止反应气体的冷凝,并且盖板670可维持在环境温度下。在另一实例中,盖帽672可被加热,并且盖板670可通过穿过盖板670形成的水通道而被冷却以防止反应气体在盖板670上热分解。
腔室盖组件632含有可由不锈钢、铝、镀镍铝、镍或与要执行的工艺相容的其他合适材料组成的部件。在一个实施方式中,盖帽672含有铝或不锈钢,且盖板670含有铝。
控制单元280(诸如可编程序个人电脑、工作站电脑或类似的控制单元)可耦接至处理腔室106以控制工艺条件。例如,控制单元680可经构造以在基板处理顺序的不同阶段期间控制来自气源638、气源639和气源640通过阀642a、阀262b的各种处理气体和净化气体的流动。可说明,控制单元680含有中央处理器(central processing unit;CPU)682、支持电路684和含有关联控制软件683的存储器686。
控制单元680可为通用电脑处理器的任意形式中的一种,所述控制单元680可用在工业设置中以用于控制各种腔室和子处理器。CPU 682可使用任意合适的存储器686,诸如随机存取存储器、只读存储器、软盘驱动器、硬盘或任意其他形式的数字储存器(本地的或远程的)。各种支持电路可耦接至CPU682用于支持处理腔室106。控制单元680可耦接至位于个别腔室部件附近的另一控制器,诸如阀642a、阀642b的可编程逻辑控制器648a、648b。控制单元680和处理腔室106的各种其他部件之间的双向通信可经由统称为信号总线688的众多信号电缆来处理。除了控制来自气源638、气源639、气源640和来自阀642a、阀642b的可编程逻辑控制器648a、648b的处理气体和净化气体之外,控制单元680可经构造以负责芯片工艺中使用的其他活动的自动化控制,所述活动诸如芯片传送、温度控制、腔室排气等。
在操作中,基板610经由狭缝阀608被机器人(未示出)传递至处理腔室106,所述机器人诸如传递机器人114(图1)。基板610经由提升销620和机器人的合作而放置在基板支撑件612上。基板支撑件612将基板610升高以与腔室盖组件632的下表面660紧密相对。第一气流可与第二气流一起或单独地(即,脉冲)通过阀642a来注入处理腔室106的扩张通道634中,所述第二气流通过阀642b来注入处理腔室106中。所述第一气流可含有来自净化气源640的净化气体的连续流和来自反应气源638的反应气体的脉冲,或可含有来自反应气源638的反应气体的脉冲和来自净化气源640的净化气体的脉冲。所述第二气流可含有来自净化气源640的净化气体的连续流和来自反应气源639的反应气体的脉冲,或可含有来自反应气源639的反应气体的脉冲和来自净化气源640的净化气体的脉冲。气流穿过扩张通道634作为涡流的图案行进,所述涡流的图案跨越扩张通道634的内表面提供清扫(sweeping)动作。涡流的图案消散以朝着基板610的表面向下流动。当气流穿过扩张通道634行进时气流的速度减小。接着,气流跨越基板610的表面并跨腔室盖组件632的下表面660行进。向下倾斜的腔室盖组件632的下表面660帮助减小跨越基板610的表面的气流的速度的变化。随后,气流通过节流口662行进并进入处理腔室106的泵送区域666。多余气体、副产物等流入泵送通道679并接着通过真空系统678从处理腔室106排出。在一个构想中,气流以层流方式穿过扩张通道634前进和在基板610的表面和腔室盖组件632的下表面660之间前进,这有助于反应气体均匀暴露于基板610的表面和腔室盖组件632的内表面的高效清洗。
图7示出根据本文描述的一个实施方式的处理腔室108的横截面示意图。在一个实施方式中,处理腔室108类似于处理腔室102。在此实施方式中,处理腔室108可用于热后ALD工艺、等离子体后ALD工艺或上述工艺的组合。对于使用等离子体后ALD工艺的实施方式,可执行等离子体蚀刻工艺以从基板上的不期望位置去除ALD材料。可以设想,在ALD处理期间,如果不良的SAM工艺导致基板的非期望区域保持暴露,则可利用等离子体蚀刻工艺。
在另一实施方式中,处理腔室108不同于处理腔室102。在图7中示出了不同腔室,所述不同腔室具有界定处理容积704的腔室主体702。基板支撑件706设置在处理容积704内,并且加热器708(诸如电阻式加热器)设置在基板支撑件706内。加热器708耦接至功率源710,并且所述加热器经构造以将设置在基板支撑件706上的基板加热至一定温度,该温度大于设置在所述基板上的SAM材料的汽化温度。因此,处理腔室108挥发来自所述基板的SAM材料并且挥发的材料经由排放从处理容积704排出。
图8示出根据本文描述的一个实施方式的用于处理基板的方法800的操作。在操作810处,基板被传递至预清洁腔室,诸如处理腔室102。在基板上执行预清洁工艺,并且在操作820处,经由传递腔室(即,传递腔室110)将所述基板从预清洁腔室传递至SAM处理腔室,诸如处理腔室104。
对基板执行SAM材料沉积工艺并且在操作830处,经由所述传递腔室将所述基板从SAM处理腔室传递至ALD腔室,诸如处理腔室106。对基板执行ALD处理,并且在操作840处,经由所述传递腔室将所述基板从ALD腔室传递至后处理腔室,诸如处理腔室108。对基板执行后处理并且可从后处理腔室传递所述基板以用于后续处理。
总而言之,当组合使用SAM处理工艺与后续沉积工艺(诸如ALD处理)时,集成集群工具装置提供改进的原位处理和增加的产量。根据本文描述的实施方式,额外处理能力(诸如基板的预处理和后处理)提供各种优势,诸如改进的材料沉积选择性。
尽管上述内容针对本公开内容的实施方式,但也可在不脱离本发明的基本范围的情况下设计本公开内容的其他和进一步的实施方式,并且本发明的范围由随附的权利要求书所确定。

Claims (20)

1.一种基板处理装置,包含:
集群工具,所述集群工具具有中心设置的传递腔室;
第一处理腔室,所述第一处理腔室耦接至所述传递腔室,所述第一处理腔室经构造以执行表面改性工艺;
第二处理腔室,所述第二处理腔室耦接至所述传递腔室,所述第二处理腔室经构造以执行自组装单层处理工艺,所述第二处理腔室包括:
腔室主体,所述腔室主体界定处理容积;
基板支撑件,所述基板支撑件设置在所述处理容积内;
盖板,所述盖板耦接至所述腔室主体;
加热器,所述加热器设置在所述处理容积内;
环形歧管,所述环形歧管耦接至位于所述基板支撑件和所述加热器径向外侧的所述腔室主体;
注入组件,所述注入组件与所述环形歧管流体连通,所述注入组件被构造为沿着所述基板支撑件的顶表面在处理容积内提供自组装单层前驱物的交叉流动型暴露;以及
蒸汽产生组件,所述蒸汽产生组件与所述注入组件流体连通;
第三处理腔室,所述第三处理腔室耦接至所述传递腔室,所述第三处理腔室经构造以执行原子层沉积工艺;以及
第四处理腔室,所述第四处理腔室耦接至所述传递腔室,所述第四处理腔室经构造以执行退火工艺。
2.如权利要求1所述的装置,其中所述传递腔室具有设置在所述传递腔室中的传递机器人。
3.如权利要求2所述的装置,其中所述传递机器人是单叶片机器人。
4.如权利要求2所述的装置,其中所述传递机器人是双叶片机器人。
5.如权利要求1所述的装置,其中所述第一处理腔室经构造以在所述第一处理腔室中产生等离子体,用以执行所述表面改性工艺。
6.如权利要求1所述的装置,其中所述第一处理腔室具有设置在所述第一处理腔室中的加热台座。
7.如权利要求6所述的装置,其中所述加热台座经构造以将基板加热至大于自组装单层材料的汽化温度的温度。
8.如权利要求1所述的装置,其中所述第一处理腔室和所述第四处理腔室是相同的。
9.如权利要求1所述的装置,其中所述第二处理腔室可操作地被维持在大于自组装单层材料的汽化温度的温度。
10.如权利要求1所述的装置,其中所述第三处理腔室经构造以执行循环原子层沉积工艺。
11.如权利要求1所述的装置,其中所述第四处理腔室具有设置在所述第四处理腔室中的加热台座。
12.如权利要求11所述的装置,其中所述加热台座经构造以将基板加热至大于自组装单层材料的汽化温度的温度。
13.如权利要求1所述的装置,进一步包含:
耦接至所述传递腔室的一个或多个负载锁定腔室。
14.如权利要求13所述的装置,其中所述负载锁定腔室经构造以执行退火工艺。
15.一种基板处理装置,包含:
集群工具,所述集群工具具有中心设置的传递腔室;
第一处理腔室,所述第一处理腔室耦接至所述传递腔室,所述第一处理腔室经构造以执行表面改性工艺;
第二处理腔室,所述第二处理腔室耦接至邻近所述第一处理腔室的所述传递腔室,所述第二处理腔室经构造以执行自组装单层处理工艺,所述第二处理腔室包括:
腔室主体,所述腔室主体界定处理容积;
基板支撑件,所述基板支撑件设置在所述处理容积内;
盖板,所述盖板耦接至所述腔室主体;
加热器,所述加热器设置在所述处理容积内;
环形歧管,所述环形歧管耦接至位于所述基板支撑件和所述加热器径向外侧的所述腔室主体;
注入组件,所述注入组件与所述环形歧管流体连通,所述注入组件被构造为沿着所述基板支撑件的顶表面在处理容积内提供自组装单层前驱物的交叉流动型暴露;以及
蒸汽产生组件,所述蒸汽产生组件与所述注入组件流体连通;
第三处理腔室,所述第三处理腔室耦接至邻近所述第二处理腔室的所述传递腔室,所述第三处理腔室经构造以执行原子层沉积工艺;以及
第四处理腔室,所述第四处理腔室耦接至邻近所述第三处理腔室的所述传递腔室,所述第四处理腔室经构造以执行退火工艺。
16.如权利要求15所述的装置,其中所述第一处理腔室和所述第四处理腔室中的每一个处理腔室具有设置在处理腔室中的加热台座。
17.如权利要求16所述的装置,其中所述第一处理腔室和所述第四处理腔室是相同的。
18.一种基板处理方法,包含:
将基板传递至第一处理腔室,并在所述第一处理腔室中的所述基板上执行表面改性工艺;
经由传递腔室将所述基板从所述第一处理腔室传递至第二处理腔室;
在所述第二处理腔室中的所述基板上执行自组装单层处理工艺,所述第二处理腔室包括:
腔室主体,所述腔室主体界定处理容积;
基板支撑件,所述基板支撑件设置在所述处理容积内;
盖板,所述盖板耦接至所述腔室主体;
加热器,所述加热器设置在所述处理容积内;
环形歧管,所述环形歧管耦接至位于所述基板支撑件和所述加热器径向外侧的所述腔室主体;
注入组件,所述注入组件与所述环形歧管流体连通,所述注入组件被构造为沿着所述基板支撑件的顶表面在处理容积内提供自组装单层前驱物的交叉流动型暴露;以及
蒸汽产生组件,所述蒸汽产生组件与所述注入组件流体连通;
经由所述传递腔室将所述基板从所述第二处理腔室传递至第三处理腔室;在所述第三处理腔室中的所述基板上执行原子层沉积工艺;
经由所述传递腔室将所述基板从所述第三处理腔室传递至第四处理腔室;以及
在所述第四处理腔室中的所述基板上执行退火工艺。
19.如权利要求18所述的方法,其中在每个所述基板传递处理期间将所述传递腔室维持在真空下。
20.如权利要求18所述的方法,其中所述退火工艺是在大于自组装单层材料的汽化温度的温度下执行的。
CN201710364977.5A 2016-06-03 2017-05-22 用于选择性区域沉积的集成集群工具 Active CN107464766B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311016325.4A CN117219539A (zh) 2016-06-03 2017-05-22 用于选择性区域沉积的集成集群工具

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/173,356 2016-06-03
US15/173,356 US10358715B2 (en) 2016-06-03 2016-06-03 Integrated cluster tool for selective area deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311016325.4A Division CN117219539A (zh) 2016-06-03 2017-05-22 用于选择性区域沉积的集成集群工具

Publications (2)

Publication Number Publication Date
CN107464766A CN107464766A (zh) 2017-12-12
CN107464766B true CN107464766B (zh) 2023-08-29

Family

ID=60482711

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202311016325.4A Pending CN117219539A (zh) 2016-06-03 2017-05-22 用于选择性区域沉积的集成集群工具
CN201710364977.5A Active CN107464766B (zh) 2016-06-03 2017-05-22 用于选择性区域沉积的集成集群工具

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202311016325.4A Pending CN117219539A (zh) 2016-06-03 2017-05-22 用于选择性区域沉积的集成集群工具

Country Status (4)

Country Link
US (2) US10358715B2 (zh)
JP (2) JP7158829B2 (zh)
KR (2) KR102404126B1 (zh)
CN (2) CN117219539A (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
JP7145031B2 (ja) * 2017-12-25 2022-09-30 東京エレクトロン株式会社 基板を処理する方法、プラズマ処理装置、及び基板処理装置
CN110010464B (zh) 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
CN109994358B (zh) * 2017-12-29 2021-04-27 中微半导体设备(上海)股份有限公司 一种等离子处理系统和等离子处理系统的运行方法
WO2019139043A1 (ja) * 2018-01-10 2019-07-18 Jsr株式会社 パターン形成方法
JP6799550B2 (ja) * 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
US10815561B2 (en) 2018-03-10 2020-10-27 Applied Materials, Inc. Method and apparatus for asymmetric selective physical vapor deposition
US10636655B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for asymmetric deposition of metal on high aspect ratio nanostructures
WO2019182916A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
WO2019182913A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
US11328928B2 (en) * 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
JP7189321B2 (ja) * 2018-08-10 2022-12-13 アプライド マテリアルズ インコーポレイテッド 自己組織化単分子層を使用する選択的堆積のための方法
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US10879107B2 (en) 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects
US20200161171A1 (en) * 2018-11-16 2020-05-21 Applied Materials, Inc. Scaled liner layer for isolation structure
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
JP7267015B2 (ja) * 2019-01-09 2023-05-01 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7109397B2 (ja) * 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
KR102178593B1 (ko) * 2019-05-17 2020-11-16 무진전자 주식회사 플라즈마와 증기를 이용한 건식 세정 방법
KR102179717B1 (ko) * 2019-05-17 2020-11-17 무진전자 주식회사 플라즈마와 증기를 이용한 건식 세정 장치
KR20200143605A (ko) 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
JP7378293B2 (ja) * 2019-12-26 2023-11-13 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
JP7359000B2 (ja) * 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
KR20220129599A (ko) 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
US11889740B2 (en) * 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US20210375600A1 (en) * 2020-06-02 2021-12-02 Applied Materials, Inc. Self-assembled monolayer deposition from low vapor pressure organic molecules
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices
US20210407824A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Spm processing of substrates
CN111876752A (zh) * 2020-08-03 2020-11-03 中国科学院长春光学精密机械与物理研究所 一种mocvd装置及半导体材料生产设备
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
CN115125514B (zh) * 2022-08-01 2023-06-09 拓荆科技股份有限公司 腔内抽气结构及半导体沉积设备
WO2024090275A1 (ja) * 2022-10-28 2024-05-02 東京エレクトロン株式会社 成膜方法及び成膜装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08148480A (ja) * 1994-11-22 1996-06-07 Mitsubishi Electric Corp 半導体製造装置およびこれによる半導体製造方法
JP2002237486A (ja) * 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
WO2010098875A2 (en) * 2009-02-27 2010-09-02 Cambridge Nanotech Inc. Ald systems and methods
JP2010245449A (ja) * 2009-04-09 2010-10-28 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2012138562A (ja) * 2010-12-06 2012-07-19 Toshiba Corp 基板処理装置、及び成膜システム
CN104040708A (zh) * 2011-11-21 2014-09-10 高通Mems科技公司 机电系统的处理和用于机电系统处理的装备
JP2014531508A (ja) * 2011-09-01 2014-11-27 メムススター リミテッドMemsstar Limited デバイス上にコーティングを堆積させる改善された堆積法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP4959333B2 (ja) * 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7071022B2 (en) 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7390739B2 (en) 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
WO2008054398A2 (en) 2005-11-09 2008-05-08 The Trustees Of Columbia University In The City Of New York Photochemical methods and photoactive compounds for modifying surfaces
KR101169058B1 (ko) 2006-03-10 2012-07-26 엘지디스플레이 주식회사 박막 트랜지스터 및 그 제조방법
US7976898B2 (en) * 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR101138869B1 (ko) 2006-12-22 2012-05-14 삼성전자주식회사 유기발광 디스플레이의 단위 화소부 구동소자의 제조방법
JP5412294B2 (ja) * 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
US20090057266A1 (en) 2007-08-27 2009-03-05 Eda Tuncel Line edge roughness control
KR101078309B1 (ko) 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
KR101067345B1 (ko) 2009-08-06 2011-09-23 한국과학기술원 패턴형성방법 및 패턴형성장치
WO2011036816A1 (ja) 2009-09-28 2011-03-31 株式会社 東芝 パターン形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
TW201204868A (en) 2010-07-12 2012-02-01 Applied Materials Inc Compartmentalized chamber
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2014236148A (ja) 2013-06-04 2014-12-15 東京エレクトロン株式会社 有機分子膜の形成装置および形成方法
US9153457B2 (en) 2013-06-14 2015-10-06 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity using direct current positioning
US9525082B2 (en) 2013-09-27 2016-12-20 Sunpower Corporation Solar cell contact structures formed from metal paste
US9257330B2 (en) * 2013-11-27 2016-02-09 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6263450B2 (ja) 2014-07-24 2018-01-17 東京エレクトロン株式会社 有機単分子膜形成方法
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
US10026887B2 (en) * 2015-11-12 2018-07-17 Board Of Regents, The University Of Texas System Methods of tailoring the deposition of metals using self-assembled monolayers
US11081342B2 (en) * 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08148480A (ja) * 1994-11-22 1996-06-07 Mitsubishi Electric Corp 半導体製造装置およびこれによる半導体製造方法
JP2002237486A (ja) * 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
WO2010098875A2 (en) * 2009-02-27 2010-09-02 Cambridge Nanotech Inc. Ald systems and methods
JP2010245449A (ja) * 2009-04-09 2010-10-28 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2012138562A (ja) * 2010-12-06 2012-07-19 Toshiba Corp 基板処理装置、及び成膜システム
JP2014531508A (ja) * 2011-09-01 2014-11-27 メムススター リミテッドMemsstar Limited デバイス上にコーティングを堆積させる改善された堆積法
CN104040708A (zh) * 2011-11-21 2014-09-10 高通Mems科技公司 机电系统的处理和用于机电系统处理的装备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
晶化多晶硅氢等离子钝化处理的优化研究;罗;李娟;李鹤;孟志国;熊绍珍;郭海成;张志林;;光电子技术(第03期);第30-36页 *

Also Published As

Publication number Publication date
KR102404126B1 (ko) 2022-05-30
KR102477152B1 (ko) 2022-12-13
JP2018026532A (ja) 2018-02-15
US11725274B2 (en) 2023-08-15
JP2023015052A (ja) 2023-01-31
CN117219539A (zh) 2023-12-12
KR20170137653A (ko) 2017-12-13
CN107464766A (zh) 2017-12-12
US20170350004A1 (en) 2017-12-07
US20190301009A1 (en) 2019-10-03
KR20220094222A (ko) 2022-07-05
JP7503610B2 (ja) 2024-06-20
US10358715B2 (en) 2019-07-23
JP7158829B2 (ja) 2022-10-24

Similar Documents

Publication Publication Date Title
CN107464766B (zh) 用于选择性区域沉积的集成集群工具
KR102360220B1 (ko) 듀얼 다마신 구조에서 유전체 배리어 층을 에칭하기 위한 방법들
TWI642104B (zh) 蝕刻方法及電漿處理裝置
US9093390B2 (en) Conformal oxide dry etch
US8268684B2 (en) Method and apparatus for trench and via profile modification
US20150214066A1 (en) Method for material removal in dry etch reactor
TW201719719A (zh) 用於原位清洗銅表面以及沉積與移除自組裝單層的方法與設備
TWI790265B (zh) 改良之金屬接觸定位結構
TW201440138A (zh) 用於鹵化物驅氣的處理系統及方法
TWI775839B (zh) 具有選擇性阻隔層的結構
CN108630578B (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
JP2021125675A (ja) 基板処理装置及び基板処理方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US20220165567A1 (en) Systems and methods for deposition residue control
CN116978782A (zh) 在基板和腔室部件上沉积金属硅化物层
TWI774754B (zh) 自對準觸點與閘極處理流程
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
TWI670391B (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant