KR102500194B1 - 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버 - Google Patents

가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버 Download PDF

Info

Publication number
KR102500194B1
KR102500194B1 KR1020227019130A KR20227019130A KR102500194B1 KR 102500194 B1 KR102500194 B1 KR 102500194B1 KR 1020227019130 A KR1020227019130 A KR 1020227019130A KR 20227019130 A KR20227019130 A KR 20227019130A KR 102500194 B1 KR102500194 B1 KR 102500194B1
Authority
KR
South Korea
Prior art keywords
processing chamber
batch processing
curing
exhaust
showerhead
Prior art date
Application number
KR1020227019130A
Other languages
English (en)
Other versions
KR20220104184A (ko
Inventor
아디브 칸
산카르 벤카타라만
제이 디. 3세 핀슨
장규 양
니틴 크리쉬나라오 잉글
퀴웨이 리앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237004775A priority Critical patent/KR102588544B1/ko
Publication of KR20220104184A publication Critical patent/KR20220104184A/ko
Application granted granted Critical
Publication of KR102500194B1 publication Critical patent/KR102500194B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Polyurethanes Or Polyureas (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로, 다수의 기판들을 한 번에 동시에 경화시키도록 적응되는 배치 프로세싱 챔버에 관한 것이다. 배치 프로세싱 챔버는, 각각이 독립적으로 온도 제어되는 다수의 프로세싱 서브-구역들을 포함한다. 배치 프로세싱 챔버는, 각각이 배치 프로세싱 챔버 외부의 기판 수송 디바이스에 의해 서비스되는 제 1 및 제 2 서브-프로세싱 구역을 포함할 수 있다. 부가하여, 배치 경화 챔버의 로딩 개구부 상에 탑재된 슬롯형 커버는, 로딩 및 언로딩 동안 챔버에 진입하는 주변 공기의 효과를 감소시킨다.

Description

가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버{BATCH CURING CHAMBER WITH GAS DISTRIBUTION AND INDIVIDUAL PUMPING}
[0001] 본 개시내용의 실시예들은 일반적으로, 다수의 기판들, 이를테면 반도체 웨이퍼들을 프로세싱하기 위한 장치 및 방법에 관한 것으로, 더 상세하게는, 다수의 기판들 상에 배치된 유전체 재료를 경화(curing)시키는 장치 및 방법에 관한 것이다.
[0002] 반도체 디바이스 기하형상들은, 수십년 전 이들이 도입된 이후로, 사이즈가 급격히 감소되었다. 현대의 반도체 제조 장비는 32 nm, 28 nm, 및 22 nm의 피처(feature) 사이즈들을 갖는 디바이스들을 일상적으로 생산하며, 심지어 더 작은 기하형상들을 갖는 디바이스들을 제조하기 위한 새로운 장비가 개발 및 구현되고 있다. 피처 사이즈들의 감소는, 디바이스 상의 구조적 피처들이 감소된 공간 치수들을 갖는 것을 초래한다. 그 결과, 디바이스 상의 구조들의 폭들(예컨대, 갭(gap)들, 트렌치(trench)들 등)은, 갭 깊이 대 갭 폭의 종회비가 너무 높아져서 유전체 재료로 그러한 갭들을 충진(fill)시키는 것을 어렵게 만들 정도의 지점까지 협소해질 수 있다. 이것은, 증착되는 유전체 재료가 "핀치-오프(pinch-off)"로서 알려져 있는 현상을 겪기 쉬운 경향이 있기 때문인데, 이러한 현상에서, 바텀-업(bottom-up) 충진이 완료되기 이전에 높은 종횡비 갭 또는 다른 구조의 엔트리 구역(entry region)이 폐쇄될 수 있어서, 구조 내에 공극(void)들 또는 약한 스폿(spot)들을 남기게 된다.
[0003] 수년에 걸쳐, 핀치-오프를 회피하거나 또는 핀치-오프의 결과로서 형성된 공극들 또는 시임(seam)들을 "치유(heal)"하기 위한 많은 기술들이 개발되어 왔다. 하나의 접근법은, 회전하는 기판 표면에 액상으로 적용될 수 있는 고도의 유동성 전구체(precursor) 재료들로 시작하는 것(예컨대, SOG 증착 기술들)이었다. 이러한 유동성 전구체들은, 공극들 또는 약한 시임들을 형성함이 없이 매우 작은 기판 갭들 내로 유동되어 그 갭들을 충진할 수 있다. 그러나, 일단 이러한 고도의 유동성 재료들이 증착되면, 그 재료들은 고체 유전체 재료로 하드닝(harden)되어야 한다.
[0004] 많은 예시들에서, 하드닝 프로세스는, 처음에 증착된 막을 유동성이게 만들기 위해 필요한 휘발성 컴포넌트들을 증착된 재료로부터 제거하기 위해서 열 처리를 포함한다. 이러한 컴포넌트들의 제거 이후에, 높은 식각 저항(etch resistance)을 갖는 하드닝된 조밀한 유전체 재료, 이를테면 실리콘 산화물이 그 뒤에 남게 된다.
[0005] 그러한 막들의 유동성은 그 막들 내에 포함된 다양한 화학 컴포넌트들로부터 기인할 수 있지만, 이들 동일한 화학 컴포넌트들의 제거를 통해 막들을 하드닝 및 조밀화(densify)하는 것은, 유동성 증착 기술들의 수행에 걸쳐 거의 균일하게 유익하다. 이러한 하드닝 및 조밀화 프로세스들은 시간 소모가 클 수 있다. 따라서, 현재 이용가능하거나 또는 개발 중에 있는 광범위하게 다양한 유동성 막들을 조밀화하기 위한 새로운 사후-프로세싱(post-processing) 기술들 및 장치에 대한 필요성이 존재한다. 이들 및 다른 필요성들이 본 개시내용에서 다루어진다.
[0010] 본 개시내용의 실시예들은 일반적으로, 반도체 웨이퍼들과 같은 기판들을 프로세싱하기 위한 장치 및 방법에 관한 것으로, 더 상세하게는, 다수의 기판들에 배치된 유전체 재료를 배치 경화(batch curing)시키는 장치 및 방법에 관한 것이다.
[0011] 본 개시내용의 실시예들은, 기판의 표면 상에 유전체 재료를 형성하기 위한 시스템들을 제공할 수 있으며, 시스템은, 메인프레임, 적어도 하나의 대기 로봇(atmospheric robot)을 포함하고 그리고 기판들의 하나 또는 그 초과의 카세트(cassette)들을 수용하도록 구성되는 팩토리 인터페이스(factory interface), 메인프레임에 커플링되고 그리고 팩토리 인터페이스의 적어도 하나의 대기 로봇으로부터 하나 또는 그 초과의 기판들을 수신하도록 구성되는 로드 록 챔버(load lock chamber), 메인프레임에 각각 커플링되는 다수의 유동성 CVD 증착 챔버들, 및 팩토리 인터페이스에 커플링되는 배치 프로세싱 챔버를 포함하며, 배치 프로세싱 챔버는, 각각이 적어도 하나의 대기 로봇으로부터 기판을 수신하고 그리고 대기 로봇으로부터 수신된 기판 상에서 경화 프로세스를 수행하도록 구성되는 다수의 서브-프로세싱 구역들, 배치 프로세싱 챔버의 벽에 형성되는 로딩 개구부(loading opening), 및 다수의 슬롯형(slotted) 개구부들을 포함하고 그리고 로딩 개구부 위에 배치되는 커버 플레이트를 포함하며, 다수의 슬롯형 개구부들 각각은, 적어도 하나의 대기 로봇이 배치 프로세싱 챔버 외부의 포지션으로부터 다수의 서브-프로세싱 구역들 중 하나로 암(arm)을 연장시키게 하도록 구성되고, 다수의 슬롯형 개구부들 각각은, 로딩 개구부가 개방된 경우 로딩 개구부의 자유 면적(free area)을 감소시키도록 구성된다.
[0006] 본 개시내용의 실시예들은 추가로, 배치 기판 프로세싱 챔버를 제공할 수 있으며, 배치 기판 프로세싱 챔버는, 각각이 대기 로봇으로부터 기판을 수신하고 그리고 대기 로봇으로부터 수신된 기판 상에서 경화 프로세스를 수행하도록 구성되는 다수의 서브-프로세싱 구역들, 배치 프로세싱 챔버의 벽에 형성되는 로딩 개구부, 및 다수의 슬롯형 개구부들을 포함하는 로딩 개구부 위에 배치되는 커버 플레이트를 포함하고, 슬롯형 개구부들 각각은, 적어도 하나의 대기 로봇이 배치 프로세싱 챔버 외부의 포지션으로부터 다수의 서브-프로세싱 구역들 중 하나로 암을 연장시키게 하도록 구성되고, 다수의 슬롯형 개구부들 각각은, 로딩 개구부가 개방된 경우 로딩 개구부의 자유 면적을 감소시키도록 구성된다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은, 본 개시내용의 실시예에 따라 구성되는 배치 경화 챔버를 갖춘 팩토리 인터페이스를 포함하는 프로세싱 툴의 상단 평면도이다.
[0009] 도 2는, 파티셔닝된 플라즈마 생성 구역들을 갖는 유동성 화학 기상 증착 챔버의 일 실시예의 단면도이다.
[0010] 도 3은, 도 1에 예시된 배치 경화 챔버(103) 및 프로세스 챔버(200)에서 실시될 수 있는 프로세스의 일 실시예의 흐름도이다.
[0011] 도 4a-4c는, 도 3에 예시된 프로세스의 다양한 스테이지들에 대응하는 기판의 부분의 개략적인 단면도들이다.
[0012] 도 5는, 본 개시내용의 실시예에 따라 구성되는 배치 경화 챔버의 측단면도이다.
[0013] 도 6은 본 개시내용의 실시예에 따라 구성되는, 도 5에 예시된 배치 경화 챔버에 대한 슬롯형 개구부 커버의 등각도(isometric view)이다.
[0014] 도 7은, 본 개시내용의 실시예에 따라 구성되는 다수의 경화 스테이션들의 부분들의 부분 단면도이다.
[0015] 도 8a는, 본 개시내용의 실시예에 따라 배열되는 배기 유입구(exhaust inlet) 어레이들의 다수의 그룹들의 등각도이다.
[0016] 도 8b는, 도 8a에 도시된 배기 유입구 어레이들의 다수의 그룹들의 평면도이다.
[0017] 도 8c는, 도 8a에 도시된 배기 유입구 어레이들의 다수의 그룹들의 측면도이다.
[0018] 도 9는, 도 5에 예시된 다중 기판 리프트(lift) 어셈블리의 리프트 핀 인덱서(indexer)들의 부분들 및 챔버 리드(lid)의 등각도이다.
[0019] 도 10은, 본 개시내용의 실시예에 따라 구성되는 리프트 핀 인덱서의 단면도이다.
[0020] 이해를 용이하게 하기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 부호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들은 추가적인 언급없이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0021] 본 개시내용의 실시예들은 일반적으로, 다수의 기판들을 한 번에 동시에 경화시키도록 적응(adapt)되는 배치 프로세싱 챔버에 관한 것이다. 챔버는, 각각이 배치 프로세싱 챔버 외부의 기판 수송 디바이스에 의해 서비스(service)되는 제 1 및 제 2 서브-프로세싱 구역들을 포함하며, 각각의 서브-프로세싱 구역은 기판을 지지할 수 있다. 일 실시예에서, 제 1 서브-프로세싱 구역은 제 2 서브-프로세싱 구역 바로 아래에 있고, 여기서, 제 1 및 제 2 서브-프로세싱 구역들은, 챔버에 형성되는 로딩 개구부의 부분을 커버하는 커버 플레이트를 통해 기판 수송 디바이스에 의해 액세스가능하다.
[0022] 도 1은, 본 개시내용의 실시예에 따라 구성되는 배치 경화 챔버(103)를 갖춘 팩토리 인터페이스(105)를 포함하는 프로세싱 툴의 일 실시예의 상단 평면도이다. 프로세싱 툴(100)은 일반적으로, 팩토리 인터페이스(105), 배치 경화 챔버(103), 전달 챔버(112), 대기 홀딩(atmospheric holding) 스테이션(109), 및 복수의 트윈(twin) 프로세싱 챔버들(108a-b, 108c-d, 및 108e-f)을 포함한다. 프로세싱 툴(100)에서, FOUP(front opening unified pod)들의 쌍(102)은, 대기 로봇(104)의 암들에 의해 수신되어 로드 록 챔버들(106) 내에 위치되는 기판들(예컨대, 300 mm 직경 웨이퍼들)을 공급한다. 제 2 로봇 암(110)은, 로드 록 챔버들(106)에 커플링되는 전달 챔버(112)에 배치된다. 제 2 로봇 암(110)은, 로드 록 챔버들(106)로부터 기판들을 전달 챔버(112)에 커플링되는 프로세싱 챔버들(108a-f)로 수송하는데 사용된다.
[0023] 프로세싱 챔버들(108a-f)은, 기판 상에 유동성 유전체 막을 증착, 어닐링(annealing), 경화, 및/또는 식각하기 위한 하나 또는 그 초과의 시스템 컴포넌트들을 포함할 수 있다. 일 구성에서, 프로세싱 챔버들의 3개의 쌍들(예컨대, 108a-b, 108c-d 및 108e-f)은, 기판 상에 유동성 유전체 재료를 증착하는데 사용될 수 있다.
[0024] 몇몇 실시예들에서, 배치 경화 챔버(103)는, 그 상부에 유동성 유전체 재료가 증착되어 있는 다수의 기판들 상에서 동시에 배치 경화 프로세스를 수행하도록 구성된다. 이러한 실시예들에서, 배치 경화 챔버(103)는 일반적으로, 트윈 프로세싱 챔버들(108a-b, 108c-d 및 108e-f)에서 막 증착을 동시에 겪을 수 있는 다수의 기판들 상에서 경화 프로세스를 수행하도록 구성된다. 따라서, 도 1에 예시된 구성에서, 배치 경화 챔버(103)는, 경화 프로세스 동안 한 번에 6개의 기판들을 수용하도록 유리하게 사이징(size)된다. 그 결과, 트윈 프로세싱 챔버들((108a-b, 108c-d 및 108e-f))에 의해 프로세싱된 기판들 전부가 동시에 경화 프로세싱을 겪을 수 있으며, 그에 의해, 프로세싱 툴(100)의 기판 스루풋이 최대화된다.
[0025] 또한, 다수의 프로세싱 챔버들이 상이한 프로세싱 레시피(recipe) 시작 및 종료 시간들을 갖는 경우들에서, 현저하게 상이한 시간량 동안 배치 경화 챔버(103) 내에 기판들이 남아있는 것을 방지하기 위해, 프로세싱 툴(100)은, 이미 프로세싱된 기판들을, 다른 후속 프로세싱되는 기판들에 대한 그들의 증착 프로세싱이 완료될 때까지 홀딩하는데 사용되는 대기 홀딩 스테이션(109)을 포함할 수 있다. 대기 홀딩 스테이션은, 기판들 전부가 동시에 배치 경화 챔버(103) 내에 배치되게 한다. 예를 들어, 대기 홀딩 스테이션(109)은, 원하는 수의 기판들이 배치 경화 챔버(103)에서의 프로세싱에 대해 이용가능할 때까지, 배치 경화 챔버(103) 외부에 임시로 기판들을 저장하도록 구성된다. 그 후, 대기 로봇(104)이 기판들을 연달아 배치 경화 챔버(103) 내에 로딩함으로써, 비교적 높은 온도의 배치 경화 챔버(103) 내에, 어떠한 막-증착된 기판도 임의의 다른 막-증착된 기판보다 몇 초를 초과하여 더 오랫동안 남아있지 않게 된다. 그 결과, 경화 프로세스에서의 기판 간(substrate-to-substrate) 편차가 최소화되거나 또는 감소될 수 있다.
[0026] 배치 경화 챔버(103)는 일반적으로, 챔버 바디(body)(103B) 및 슬릿(slit) 밸브(103A)를 포함한다. 슬릿 밸브(103A)는, 기판들이 대기 로봇들(104)에 의해 챔버 바디(103B)의 내부 구역에 포지셔닝된 이후에 이들을 밀봉하는데 사용된다. 배치 경화 프로세스 및 배치 경화 챔버(103)는, 도 4-10을 참조하여 아래에서 추가로 설명된다.
유동성 CVD 챔버 및 증착 프로세스 예들
[0027] 도 2는, 파티셔닝된 플라즈마 생성 구역들을 갖는 유동성 화학 기상 증착 챔버(200)의 일 실시예의 단면도이다. 프로세스 챔버(200)는, 기판 상에 적어도 유동성 유전체 재료를 증착시키도록 구성되는 프로세싱 툴(100)의 프로세싱 챔버들(108a-f) 중 임의의 프로세싱 챔버일 수 있다. 몇몇 실시예들에서, 프로세싱 툴(100)은, 프로세스 챔버(200) 대신 임의의 다른 적절한 화학 기상 증착 챔버를 포함할 수 있다.
[0028] 막 증착(예컨대, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시나이트라이드 또는 실리콘 옥시카바이드 증착) 동안, 가스 유입구 어셈블리(205)를 통해 프로세스 가스가 제 1 플라즈마 구역(215) 내로 유동될 수 있다. 프로세스 가스는, 원격 플라즈마 시스템(RPS; remote plasma system)(201) 내의 제 1 플라즈마 구역(215)에 진입하기에 앞서 여기(excite)될 수 있다. 프로세스 챔버(200)는 리드(212) 및 샤워헤드(showerhead)(225)를 포함한다. 제 1 플라즈마 구역(215)의 플라즈마 생성과 일치하여, 리드(212)는 인가된 AC 전압 소스를 갖는 것으로 도시되고, 샤워헤드(225)는 접지된다. 리드(212)와 샤워헤드(225) 사이에 절연 링(220)이 포지셔닝되어, 제 1 플라즈마 구역(215)에 CCP(capacitively coupled plasma)가 형성될 수 있게 된다. 리드(212) 및 샤워헤드(225)는 그들 사이에 절연 링(220)을 갖는 것으로 도시되며, 절연 링은 AC 전위가 샤워헤드(225)에 대해 리드(212)에 인가되게 한다.
[0029] 리드(212)는, 프로세싱 챔버에 대해 사용하기 위한 듀얼-소스 리드일 수 있다. 가스 유입구 어셈블리(205) 내에서 2개의 별개의 가스 공급 채널들을 볼 수 있다. 제 1 채널(202)은 원격 플라즈마 시스템(RPS)(201)을 통과하는 가스를 전달하는 한편, 제 2 채널(204)은 RPS(201)를 우회(bypass)한다. 제 1 채널(202)은 프로세스 가스를 위해 사용될 수 있고, 제 2 채널(204)은 처리 가스를 위해 사용될 수 있다. 제 1 플라즈마 구역(215) 내로 유동하는 가스들은 배플(baffle)(206)에 의해 분산될 수 있다.
[0030] 전구체와 같은 유체는, 샤워헤드(225)를 통해 프로세스 챔버(200)의 제 2 플라즈마 구역(233) 내로 유동될 수 있다. 제 1 플라즈마 구역(215)의 전구체로부터 유도된(derived) 여기된 종은 샤워헤드(225)의 애퍼쳐(aperture)(214)를 통해 이동하고, 샤워헤드(225)로부터 제 2 플라즈마 구역(233) 내로 유동하는 전구체와 반응한다. 제 2 플라즈마 구역(233)에는 플라즈마가 거의 존재하지 않거나 어떠한 플라즈마도 존재하지 않는다. 전구체의 여기된 유도체(derivative)들은, 기판 상에 유동성 유전체 재료를 형성하기 위해 제 2 플라즈마 구역(233)에서 결합된다. 유전체 재료가 성장함에 따라, 더 최근에 부가된 재료는 기저 재료보다 더 높은 이동도(mobility)를 보유한다. 증발에 의해 유기 함유물(organic content)이 감소됨에 따라, 이동도가 감소한다. 이러한 기술을 사용하여, 증착이 완료된 후에 유전체 재료 내에 종래의 밀도들의 유기 함유물을 남기지 않으면서, 유동성 유전체 재료에 의해 갭들이 충진될 수 있다. 증착된 유전체 재료로부터 유기 함유물을 더 감소시키거나 또는 제거하기 위해 경화 단계(아래에 설명됨)가 사용될 수 있다.
[0031] 단독으로 또는 원격 플라즈마 시스템(RPS)(201)과의 결합으로, 제 1 플라즈마 구역(215)에서 전구체를 여기시키는 것은 여러 이득들을 제공한다. 제 1 플라즈마 구역(215)에서의 플라즈마로 인해 전구체로부터 유도된 여기된 종의 농도가 제 2 플라즈마 구역(233) 내에서 증가될 수 있다. 이러한 증가는 제 1 플라즈마 구역(215)에서의 플라즈마의 위치로부터 기인할 수 있다. 제 2 플라즈마 구역(233)이 원격 플라즈마 시스템(RPS)(201)보다 제 1 플라즈마 구역(215)에 더 가깝게 로케이팅되어, 여기된 종이 다른 가스 분자들, 챔버의 벽들, 및 샤워헤드의 표면들과의 충돌들을 통해 여기된 상태들에서 벗어날 시간이 더 줄게 된다.
[0032] 전구체로부터 유도되는 여기된 종의 농도의 균일성이 또한 제 2 플라즈마 구역(233) 내에서 증가될 수 있다. 이는, 제 2 플라즈마 구역(233)의 형상과 더 유사한 제 1 플라즈마 구역(215)의 형상으로부터 기인할 수 있다. 원격 플라즈마 시스템(RPS)(201)에서 생성된 여기된 종들은, 샤워헤드(225)의 중심 근방의 애퍼쳐들(214)을 통과하는 종에 비하여 샤워헤드(225)의 엣지들 근방의 애퍼쳐들(214)을 통과하기 위해 더 먼 거리들을 이동한다. 더 먼 거리는, 여기된 종의 감소된 여기를 초래하고, 예를 들어, 기판의 엣지 근방에서 더 느린 성장률을 초래할 수 있다. 제 1 플라즈마 구역(215)에서 전구체를 여기시키는 것은 이러한 편차를 완화시킨다.
[0033] 전구체들에 부가하여, 다양한 목적들을 위해 다양한 시간들에 도입되는 다른 가스들이 존재할 수 있다. 챔버 벽들, 기판, 증착된 막, 및/또는 증착 동안의 막으로부터 원하지 않는 종을 제거하기 위해 처리 가스가 도입될 수 있다. 처리 가스는, H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, 및 수증기를 포함하는 그룹으로부터의 가스들 중 적어도 하나를 포함할 수 있다. 처리 가스는 플라즈마로 여기될 수 있고, 그 후, 증착된 막으로부터 잔여 유기 함유물을 감소시키거나 또는 제거하기 위해 사용될 수 있다. 다른 실시예들에서, 처리 가스는 플라즈마 없이 사용될 수 있다. 처리 가스가 수증기를 포함하는 경우, 질량 유량계(MFM; mass flow meter) 및 주입 밸브(injection valve)를 사용하거나, 또는 다른 적절한 수증기 생성기들에 의해 전달이 달성될 수 있다.
[0034] 일 실시예에서, 유전체 층은, 유전체 재료 전구체들, 예컨대 실리콘 함유 전구체를 도입하고 그리고 제 2 플라즈마 구역(233)에서 프로세싱 전구체들과 반응시킴으로써 증착될 수 있다. 유전체 재료 전구체들의 예들은, 실란, 디실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 테트라에톡시실란(TEOS), 트리에톡시실란(TES), 옥타메틸시클로테트라실록산(OMCTS), 테트라메틸-디실록산(TMDSO), 테트라메틸시클로테트라실록산(TMCTS), 테트라메틸-디에톡실-디실록산(TMDDSO), 디메틸-디메톡실-실란(DMDMS), 또는 이들의 결합들을 포함하는 실리콘-함유 전구체들이다. 실리콘 질화물의 증착을 위한 부가적인 전구체들은, 실릴-아민, 및 트리실릴아민(TSA) 및 디실릴아민(DSA)을 포함하는 그 실릴-아민의 유도체들과 같은 SixNyHz-함유 전구체들, SixNyHzOzz-함유 전구체들, SixNyHzClzz-함유 전구체들, 또는 이들의 결합들을 포함한다.
[0035] 프로세싱 전구체들은, 수소-함유 화합물들, 산소-함유 화합물들, 질소-함유 화합물들, 또는 이들의 결합들을 포함한다. 적절한 프로세싱 전구체들의 예들은, H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, N2, N2H4 증기를 포함하는 NxHy 화합물들, NO, N2O, NO2, 수증기, 또는 이들의 결합들을 포함하는 그룹으로부터 선택된 화합물들 중 하나 또는 그 초과를 포함한다. 프로세싱 전구체들은, N* 및/또는 H* 및/또는 O* 함유 라디칼(radical)들 또는 플라즈마, 예를 들어 NH3, NH2 *, NH*, N*, H*, O*, N*O*, 또는 이들의 결합들을 포함하도록, 예컨대 RPS 유닛에서 플라즈마 여기될 수 있다. 프로세스 전구체들은 대안적으로, 본원에서 설명되는 전구체들 중 하나 또는 그 초과를 포함할 수 있다.
[0036] 프로세싱 전구체들은, N* 및/또는 H* 및/또는 O* 함유 라디칼들 또는 플라즈마, 예를 들어 NH3, NH2 *, NH*, N*, H*, O*, N*O*, 또는 이들의 결합들을 포함하는 프로세스 가스 플라즈마 및 라디칼들을 생성하도록, 제 1 플라즈마 구역(215)에서 플라즈마 여기될 수 있다. 대안적으로, 프로세싱 전구체들은, 제 1 플라즈마 구역(215)으로의 도입에 앞서 원격 플라즈마 시스템을 통과한 이후에 이미 플라즈마 상태에 있을 수 있다.
[0037] 여기된 프로세싱 전구체(290)는 그 후, 전구체들과의 반응을 위해 애퍼쳐들(214)을 통해 제 2 플라즈마 구역(233)으로 전달된다. 일단 프로세싱 볼륨(volume)에 있으면, 프로세싱 전구체들은 혼합되고 반응하여 유전체 재료들을 증착할 수 있다.
[0038] 일 실시예에서, 프로세스 챔버(200)에서 수행되는 유동성 CVD 프로세스는, 폴리실라잔 기반 실리콘 함유 막(PSZ-형 막)으로서 유전체 재료들을 증착할 수 있는데, 이는 트렌치(trench)들, 피처들, 비아(via)들, 또는 폴리실라잔 기반 실리콘 함유 막이 증착된 기판에 정의된 다른 애퍼쳐들 내부에서 재유동가능하고(reflowable) 충진가능할 수 있다.
[0039] 유전체 재료 전구체들 및 프로세싱 전구체들에 부가하여, 다양한 목적들을 위해 다양한 시간들에 도입되는 다른 가스들이 존재할 수 있다. 챔버 벽들, 기판, 증착된 막, 및/또는 증착 동안의 막으로부터 원하지 않는 종을 제거하기 위해, 수소, 탄소, 및 불소와 같은 처리 가스가 도입될 수 있다. 프로세싱 전구체 및/또는 처리 가스는, H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, N2, N2H4 증기, NO, N2O, NO2, 수증기, 또는 이들의 결합들을 포함하는 그룹으로부터의 가스들 중 적어도 하나를 포함할 수 있다. 처리 가스는 플라즈마로 여기될 수 있고, 그 후, 증착된 막으로부터 잔여 유기 함유물을 감소시키거나 또는 제거하기 위해 사용될 수 있다. 다른 실시예들에서, 처리 가스는 플라즈마 없이 사용될 수 있다. 처리 가스는, RPS 유닛을 통하거나 또는 RPS 유닛을 우회하여 제 1 프로세싱 구역 내로 도입될 수 있고, 제 1 플라즈마 구역에서 추가로 여기될 수 있다.
[0040] 실리콘 질화물 재료들은, 실리콘 질화물(SixNy), 수소-함유 실리콘 질화물들(SixNyHz), 수소-함유 실리콘 옥시나이트라이드들(SixNyHzOzz)을 포함하는 실리콘 옥시나이트라이드들, 및 염소화 실리콘 질화물들(SixNyHzClzz)을 포함하는 할로겐-함유 실리콘 질화물들을 포함한다. 그 후, 증착된 유전체 재료는 실리콘 산화물과 같은 재료로 변환될 수 있다.
증착 및 배치 경화 프로세싱 시퀀스 예
[0041] 도 3은, 배치 경화 챔버(103) 및 프로세스 챔버(200)에서 실시될 수 있는 프로세스(300)의 일 실시예의 흐름도이다. 도 4a-4c는, 프로세스(300)의 다양한 스테이지들에 대응하는 기판의 부분의 개략적인 단면도들이다. STI(shallow trench isolation) 구조 제조 프로세스와 같이 기판 내에 또는 기판 상에 정의되는 트렌치들에 유전체 재료를 형성하기 위한 프로세스(300)가 예시되지만, 프로세스(300)는, 기판 상에 ILD(interlayer dielectric) 구조들과 같은 다른 구조들을 형성하는데 이용될 수 있다.
[0042] 프로세스(300)는, 단계(302)에서, 도 4a에 도시된 바와 같이, 도 2에 도시된 유동성 화학 기상 증착(CVD) 챔버(200)와 같은 증착 프로세스 챔버에 기판(400)을 전달함으로써 시작된다. 일 실시예에서, 기판(400)은, STI(shallow trench isolation) 구조(404)와 같은 구조를 형성하기 위해 이용되는 층 또는 층들이 그 상부에 형성되어 있는 실리콘 기판일 수 있다. 다른 실시예에서, 기판(400)은, 상이한 패턴들 및/또는 피처들을 형성하기 위해 이용되는 다수의 층들, 예컨대, 막 스택을 갖는 실리콘 기판일 수 있다. 기판(400)은, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드(strained) 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼 SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 실리콘 상에 배치된 금속 층들 등과 같은 재료일 수 있다. 기판(400)은, 200 mm, 300 mm, 또는 450 mm 직경 웨이퍼들 또는 장방형 또는 정방형 패널들과 같이 다양한 형상들 및 치수들 중 임의의 형상 및 치수를 가질 수 있다.
[0043] 도 4a에 예시된 실시예에서, 층(402)이 기판(400) 상에 배치되고, 이 층은 유동성 유전체 재료의 증착을 통한 STI 구조(404)의 제조에 적합하다. 몇몇 실시예들에서, 층(402)은, 집적 회로에서 디바이스들을 서로로부터 전기적으로 격리시키기 위해 사용될 수 있는 STI(shallow trench isolation) 구조를 형성하기 위해 층(402) 내부에 트렌치들(406)을 형성하도록 식각 또는 패터닝될 수 있다. 대안적으로, 층(402)이 존재하지 않는 실시예들에서, 층(402) 상에서 수행되는 것으로 본원에서 설명되는 프로세스들은 기판(400) 상에서 수행될 수 있다.
[0044] 단계(304)에서, 도 4b에 도시된 바와 같이, 유전체 재료(408)가 기판(400) 상에 증착되어, 층(402) 내부에 정의된 트렌치들(406)을 충진시킨다. 유전체 재료(408)는, 도 2를 참조하여 위에서 설명된 바와 같이, 프로세스 챔버(200)에서 수행되는 유동성 화학 기상 증착 프로세스에 의해 증착될 수 있다. 일 실시예에서, 유전체 재료(408)는, 프로세스 챔버(200)에 공급되는 가스 혼합물에 의해 증착되는 실리콘-함유 재료이다.
[0045] 일 실시예에서, 유전체 재료(408)를 형성하기 위해 프로세스 챔버(200)에 공급되는 가스 혼합물은, 위에 논의된 바와 같이, 유전체 재료 전구체 및 프로세싱 전구체를 포함할 수 있다. 부가하여, 프로세싱 전구체들의 적절한 예들은, 위에 논의된 바와 같이, 질소 함유 전구체를 포함할 수 있다. 더욱이, 프로세싱 전구체들은 또한, 수소-함유 화합물들, 산소-함유 화합물들 또는 이들의 결합들, 이를테면 NH3 가스를 포함할 수 있다. 프로세싱 전구체는 대안적으로, 필요한 경우, 전구체들 중 하나 또는 그 초과를 포함할 수 있다.
[0046] 일 실시예에서, 증착 프로세스 동안의 기판 온도는 미리결정된 온도 범위 내에서 유지된다. 일 실시예에서, 기판 온도는, 기판 상에 형성된 유전체 재료(408)가 유동성이게 됨으로써 트렌치들(406) 내부로 재유동되어 충진시키도록, 약 섭씨 200도 미만, 이를테면 섭씨 100도 미만으로 유지된다. 섭씨 100도 미만과 같은 비교적 낮은 기판 온도는, 기판 상에 형성되는 결과적인 막의 유동성 및 점도를 보존하기 위해, 액체와 같은 유동성 상태로 기판 표면 상에 처음에 형성된 막을 유지하는 것을 보조할 수 있는 것으로 믿어진다. 특정 정도의 유동성 및 점도를 갖는 결과적인 막이 기판 상에 형성됨에 따라, 막의 접합 구조는, 후속하는 열적 프로세스 및 습식 프로세스 이후에 상이한 작용기(function group)들 또는 접합 구조로 변환, 전환, 또는 대체될 수 있다. 일 실시예에서, 프로세스 챔버에서의 기판 온도는, 대략 실온 내지 약 섭씨 200도의 범위, 이를테면 약 섭씨 100도 미만, 예컨대, 약 섭씨 30도 내지 약 섭씨 80도의 범위로 유지된다.
[0047] 유전체 재료 전구체는 약 1 sccm 내지 약 5000 sccm의 유량(flow rate)으로 프로세싱 챔버 내에 공급될 수 있다. 프로세싱 전구체들은 약 1 sccm 내지 약 1000 sccm의 유량으로 프로세싱 챔버 내에 공급될 수 있다. 대안적으로, 프로세싱 동안 공급되는 가스 혼합물은 또한, 약 0.1 내지 약 100의 유전체 재료 전구체 대 프로세싱 전구체의 유동 비율(flow ratio)로 제어될 수 있다. 프로세스 압력은, 약 0.10 Torr 내지 약 10 Torr, 예컨대 약 0.1 Torr 내지 약 1 Torr, 이를테면 약 0.5 Torr 내지 약 0.7 Torr로 유지된다.
[0048] 프로세스 챔버(200)에 제공되는 가스 혼합물에 대해 하나 또는 그 초과의 비활성(inert) 가스들이 또한 포함될 수 있다. 비활성 가스는, 이를테면 Ar, He, Xe 등과 같은 희가스(noble gas)를 포함할 수 있지만 이에 제한되지 않는다. 비활성 가스는 약 1 sccm 내지 약 50000 sccm의 유량으로 프로세싱 챔버에 공급될 수 있다.
[0049] 증착 동안 플라즈마를 유지하기 위해 RF 전력이 인가된다. 약 100 kHz 내지 약 100 MHz, 이를테면 약 350 kHz 또는 약 13.56 MHz의 RF 전력이 제공된다. 대안적으로, 최대 약 27 MHz 내지 약 200 MHz의 주파수를 제공하기 위해 VHF 전력이 이용될 수 있다. 일 실시예에서, 약 1000 와트 내지 약 10000 와트의 RF 전력이 공급될 수 있다. 샤워헤드(225)에 대한 기판의 간격은 기판 치수에 따라 제어될 수 있다. 일 실시예에서, 프로세싱 간격은 약 100 밀(mil) 내지 약 5 인치로 제어된다.
[0050] 일 실시예에서, 기판(400) 상에 형성된 유전체 재료(408)는, SixNyHz 또는 ─Si─N─H─ 접합들과 같은, 그 내부에 형성되는 질화물 또는 수소 원자들을 갖는 실리콘 함유 재료이며, 여기서, x는 1 내지 200의 정수이고, y, z는 0 내지 400의 정수들이다. 가스 혼합물에 공급된 프로세싱 전구체가 증착 동안 질소 및 수소 종들을 제공할 수 있으므로, 유전체 재료(408)에 형성된 실리콘 원자들은 ─Si─N─H─, ─Si─N─, 또는 ─Si─H─, 또는 다른 상이한 접합을 포함할 수 있다. Si─N, N─H, Si─H 접합들은, 실리콘 산화물 층으로서 유전체 재료(408)를 형성하기 위한 후속하는 열적 프로세스 및 습식 프로세스에 의해 Si─O─Si 접합으로 추가로 대체될 것이다.
[0051] 단계(306)에서, 기판(400) 상에 유전체 재료(408)가 형성된 이후, 기판(400)은 경화 및/또는 열적 프로세싱된다. 경화 프로세스는, 증착된 유전체 재료(408)로부터 수분 및 다른 휘발성 컴포넌트들을 제거하여 도 4c에 도시된 바와 같이 고상(solid phase) 유전체 재료(408)를 형성한다. 유전체 재료(408)가 경화되기 때문에, 증착된 유전체 재료(408)의 수분 및 용매가 배출(outgas)되어, 증착된 유전체 재료(408)로 하여금 기판(400) 내에 정의된 트렌치들(406) 내부를 재충진 및 재유동하게 하며, 그에 의해, 실질적으로 평탄한 표면(410)이 기판(400) 상에 형성된다. 일 실시예에서, 경화 단계(306)는 배치 경화 챔버(103)에서 수행될 수 있다.
[0052] 몇몇 실시예들에서, 경화 온도는, 섭씨 150도 미만, 이를테면 섭씨 100도 미만, 예컨대 약 섭씨 50도의 온도로 제어될 수 있다. 경화 시간은 약 1초 내지 약 10시간으로 제어될 수 있다. 예를 들어, 일 실시예에서, 경화 프로세스는, 8 내지 10분 동안 약 섭씨 90도의 온도에서 수행된다. 몇몇 실시예들에서, 아르곤(Ar) 또는 질소(N2)와 같은 가열된 퍼지(purge) 가스들 및/또는 비활성 캐리어 가스들이 경화 프로세스 동안 사용되는데, 예를 들어, 가열된 샤워헤드를 통해 기판 위에 유동된다. 다른 실시예들에서, 경화 프로세스 동안, 오존(O3)과 결합된 캐리어 가스가 사용될 수 있다. 어느 경우든, 유동성 유전체 막이 형성된 기판의 표면 위에서의 고온 프로세스 가스들의 유동뿐만 아니라 기판의 가열은, 막으로부터 휘발성 컴포넌트들을 효과적으로 제거할 수 있다. 이러한 방식에서, 유동성 CVD 프로세스를 통해 형성된 막, 예컨대 단계(304)에서 증착된 막은, 높은 종횡비 피처들을 갖는 기판 상에 형성된 경우라 하더라도, 공극들이 거의 없거나 어떠한 공극들도 없는 조밀한 고체 유전체 막으로 변환될 수 있다. 몇몇 실시예들에서, 경화 프로세스는, 프로세스 가스들의 유동에 앞서 특정 지속기간 동안(예컨대, 약 1초 내지 약 10 분) 가열된 페디스털(pedestal) 상에 기판이 놓이는 예열(pre-heat) 단계를 포함한다.
[0053] 단계(310)에서, 경화 프로세스가 완료된 이후, 유전체 재료(408)가 열 어닐링(thermal annealing) 프로세스에 선택적으로 노출되어 어닐링된 유전체 재료(408)를 형성할 수 있다. 일반적으로, 열 어닐링 프로세스는, 위에 설명된 경화 프로세스와 별개의 프로세싱 챔버에서 수행된다. 단계(310)가 수행될 수 있는 적절한 열 어닐링 챔버의 예는, 다른 것들 중에서도, Applied Materials, Inc.로부터 입수가능한 CENTURA® RADIANCE® RTP 챔버이다. 다른 제작자들로부터의 챔버들을 비롯하여 다른 타입들의 어닐링 챔버들 또는 RTP 챔버들이 또한 단계(310)에서 설명된 열 어닐링 프로세스를 수행하는데 이용될 수 있다는 것을 유의한다.
배치 경화 프로세싱 시퀀스 예
[0054] 도 5는, 본 개시내용의 실시예에 따라 구성되는 배치 경화 챔버(500)의 측단면도이다. 배치 경화 챔버(500)는, 도 1의 배치 경화 챔버(103)로서 이용될 수 있고, 위의 단계(306)에서 설명된 배치 경화 프로세스를 수행하는데 사용될 수 있다. 배치 경화 챔버(500)는 일반적으로, 챔버 바디(510), 챔버 바디(510) 내부에 배치된 다수의 경화 스테이션들(530), 및 챔버 바디(510) 내부에 부분적으로 배치된 다중 기판 리프트 어셈블리(540)를 포함한다.
[0055] 챔버 바디(510)는, 챔버 리드(511) 및 챔버 플로어(floor)(513)에 커플링되는 챔버 벽들(512)을 포함한다. 챔버 바디(510)로부터 프로세스 및 퍼지 가스들을 펌핑하도록 구성되는 진공 펌프 포어라인(foreline)(514)은, 챔버 플로어(513)를 통해 챔버(510)를 관통한다. 다른 실시예들에서, 진공 펌프 포어라인(514)은, 챔버 리드(511) 및/또는 챔버 벽들(512) 중 하나 또는 그 초과를 통해 챔버(510)를 관통할 수 있다. 진공 펌프 포어라인(514)은, 개구부들(521)을 통해 챔버(510)의 프로세싱 구역(522)에 그리고 다수의 경화 스테이션들(530) 각각에 인접하게 배치된 다수의 배기 유입구 어레이들(523) 각각에 유동가능하게(fluidly) 커플링된다. 따라서, 경화 프로세스 동안 기판들로부터 배출되는 프로세스 가스들, 퍼지 가스들, 및 휘발성 화합물들이 프로세싱 구역(522)에서 그리고 다수의 경화 스테이션들(530) 사이에 로케이팅되는 각각의 프로세싱 서브구역(524)에서 제거될 수 있다. 다수의 배기 유입구 어레이들(523)은 도 8과 함께 아래에서 더 상세히 설명된다.
[0056] 챔버 바디(510)는, 챔버 벽들(512) 중 하나에 커플링되는 RPS 매니폴드(manifold)(515)를 또한 포함할 수 있다. RPS 매니폴드(515)는, 주기적인 세정 프로세스 동안, 다수의 세정 가스 개구부들(516)을 통해 세정 가스들을 각각의 프로세싱 서브구역(524)으로 지향시키도록 구성된다. 세정 가스들은 원격 플라즈마 소스(550)에 의해 생성될 수 있다. 예를 들어, NH3 또는 임의의 다른 세정 가스는 원격 플라즈마 소스를 통과할 수 있고, 그 후, 챔버 바디 및 다수의 경화 스테이션들(530)의 하나 또는 그 초과의 내부 표면들 상에서의 원하지 않는 증착 축적(deposition build-up)을 제거하기 위해 사용될 수 있다. 이러한 프로세스는, 미리결정된 양의 경화된 막이 배치 경화 챔버(500)에 의해 프로세싱된 이후 또는 미리결정된 수의 기판들이 배치 경화 챔버(500)에 의해 프로세싱된 이후 특정 시간 간격들로 수행될 수 있다.
[0057] 챔버 바디(510)는 또한 일반적으로, 챔버 벽들(512) 중 하나에 형성되는 로딩 개구부(517), 다수의 기판 슬릿들(519)로 구성되는 슬롯형 개구부 커버(518)(도 6에 더 상세히 도시됨), 및 경화 프로세스 동안 로딩 개구부(517)를 밀봉하도록 구성되는 로딩 개구부 도어(520)를 포함한다. 통상적으로, 기판 슬릿들(519) 각각은, 경화 스테이션들(530) 중 개별적인 하나에 대응하고, 실질적으로, 로딩 개구부 도어(520)가 개방 포지션에 있는 경우 대기 로봇(104)이 다수의 서브-프로세싱 구역들(524) 각각으로 암을 연장시키는 것을 허용하도록 경화 스테이션들과 정렬된다. 로딩 개구부 도어(520)는 도 5에서 폐쇄된 포지션으로 도시된다.
[0058] 로딩 개구부(517)는, 로딩 개구부를 다수의 경화 스테이션들(530) 또는 팩토리 인터페이스(105)에 관하여 재포지셔닝(repositioning)하지 않고 기판이 다수의 경화 스테이션들(530) 각각에 로딩되게 하도록 구성된다. 예를 들어, 다수의 경화 스테이션들(530)이 도 5에 예시된 바와 같이 스택형 어레이로 배열되는 경우, 로딩 개구부(517)는, 2 차원(즉, 높이 및 폭)으로 스택형 어레이에 걸치도록 구성되어, 스택형 어레이 내의 다수의 경화 스테이션들(530) 중 대부분 또는 전부가 대기 로봇(104)에 의해 액세스가능하다. 그 결과, 경화 스테이션들(530)이 수직적인 스택형 어레이로 배열되는 경우, 다수의 경화 스테이션들(530)의 결합된 높이를 수용하기 위해 로딩 개구부(517)의 높이(525)는 비교적 크다. 슬롯형 개구부 커버(518)는, (예컨대, 기판들의 로딩 및 언로딩(unloading) 동안) 로딩 개구부(517)가 개방된 경우에 로딩 개구부(517)의 개방 면적을 최소화하거나 또는 감소시키도록 구성되는 플레이트(plate) 또는 다른 구조일 수 있다. 로딩 개구부(517)가 비교적 큰 높이(525)를 갖기 때문에, 로딩 개구부의 자유 면적이 상응하게 크며, 이는, 슬롯형 개구부 커버(518)의 부재 시에 팩토리 인터페이스(105)로부터 배치 경화 챔버(500)로의 상당한 양의 주변 공기를 허용할 수 있다. 배치 경화 챔버(500)로 진입되는 상당한 양의 주변 공기는, 배치 경화 챔버(500)의 원하지 않는 냉각, 또는 배치 경화 챔버(500)의 내부 컴포넌트들의 산화 및/또는 오염을 야기할 수 있고, 또한, 배치 경화 챔버(500) 내의 프로세스 가스들 및 배출된 생성물들이 팩토리 인터페이스(105)로 누출되는 것을 야기할 수 있다. 따라서, 슬롯형 개구부 커버(518)는, 입자들 및/또는 원하지 않는 가스들 또는 프로세싱 부산물들이 배치 경화 챔버(500)로 전달되거나 또는 그로부터 전달되는 것을 방지하도록 돕는다.
[0059] 도 6은 본 개시내용의 실시예에 따라 구성되는, 도 5에 예시된 배치 경화 챔버(500)에 대한 슬롯형 개구부 커버(518)의 등각도이다. 슬롯형 개구부 커버(518)는, (예컨대, 기판들의 로딩 및 언로딩 동안) 로딩 개구부(517)(도 5에 도시됨)가 개방된 경우에 로딩 개구부(517)의 개방 면적을 최소화하거나 또는 감소시키도록 구성되는 플레이트 또는 다른 구조일 수 있다. 예를 들어, 다수의 기판 슬릿들(519)의 치수들은, 기판들이 로딩 개구부(517)를 통해 로딩 및 언로딩되는 것에 대해 간섭을 일으키는 것을 가능하게 하지 않으면서 실현가능한 한 작게 되도록 선택될 수 있다. 그러한 실시예들에서, 다수의 기판 슬릿들(519)의 치수들은, 대기 로봇들(104)(도 1에 도시됨), 슬롯형 개구부 커버(518), 로딩 개구부(517), 및 대기 로봇들(104)에 관한 다수의 기판 슬릿들(519)의 개별적인 포지션들에 대해 영향을 미칠 수 있는 배치 경화 챔버(500)의 임의의 컴포넌트들의 포지션의 챔버 간(chamber-to-chamber) 편차 및 누적 공차(tolerance stack-up)에 기초하여 결정될 수 있다. 따라서, 이러한 실시예들에서, 다수의 기판 슬릿들은, 대기 로봇(104)의 암 상에 놓이는 기판의 단면과 부합하는 것에 더하여, 배치 경화 챔버(500), 팩토리 인터페이스(105), 대기 로봇(104) 등의 컴포넌트들의 누적 공차를 수용하기 위한 부가적인 자유 면적에 부합하도록 구성될 수 있다.
[0060] 기판들이 배치 경화 챔버(500) 내로 로딩되는 동안 로딩 개구부(517)의 자유 면적을 최소화함으로써, 슬롯형 개구부 커버(518)는, 배치 경화 챔버(500) 내로의 주변 공기의 진입 및 그 외부로의 프로세스 및 퍼지 가스들의 누출을 크게 감소시키거나 또는 최소화한다. 따라서, 로딩 개구부(517)의 비교적 큰 사이즈에도 불구하고, 기판 로딩 및 언로딩 동안 프로세스 가스들 및/또는 휘발성 화합물들이 배치 경화 챔버(500)에서 거의 누출되지 않거나 또는 전혀 누출되지 않는다. 더욱이, 팩토리 인터페이스(105)로부터의 주변 공기의 진입 또는 배치 경화 챔버(500)로부터 나오는 열 방사선에 의해 야기되는 배치 경화 챔버(500)의 원하지 않는 냉각이 회피된다.
[0061] 도 7은, 본 개시내용의 실시예에 따라 구성되는 다수의 경화 스테이션들(530)의 부분들의 부분 단면도이다. 챔버 바디(510) 내부에 배치된 다수의 경화 스테이션들(530) 각각은, 가열된 기판 페디스털(531), 가열된 페디스털(531) 위에 포지셔닝되는 샤워헤드(532), 가열된 페디스털(531)과 샤워헤드(532) 사이에 형성되는 샤워헤드 플레넘(plenum)(533), 샤워헤드 플레넘(533) 및 프로세스 가스 패널(도시되지 않음)에 유동가능하게 커플링되는 환형 플레넘(534), 경화 스테이션 가열기(535), 및 열전대(thermocouple)(537)를 포함한다. 명확화를 위해, 경화 스테이션(530)에 인접하게 배치될 수 있는 배기 유입구 어레이(523)는 도 7에서 생략된다. 다수의 경화 스테이션들(530) 각각의 사이에 프로세싱 서브구역(524)이 로케이팅된다.
[0062] 가열된 기판 페디스털(531)은, 기판을 지지하고 그리고 몇몇 실시예들에서는 경화 프로세스 동안 기판을 가열하도록 구성된다. 샤워헤드(532)는, 샤워헤드 플레넘(533)으로 진입하는 프로세스 가스들(즉, 경화 가스들) 및 퍼지 가스들의 유동을 인접한 프로세싱 서브구역(524)으로 균일하게 분배하도록 구성된다. 부가하여, 가열된 기판 페디스털(531) 및 샤워헤드(532)는, 도시된 바와 같이 샤워헤드 플레넘(533)을 형성하도록 구성된다. 샤워헤드 플레넘(533)을 통과하고 그리고 프로세싱 서브구역(524)에 진입하는 가스들은, 가스들이 유동되는 프로세싱 서브구역(524)과 상이하면서 그에 인접한 프로세싱 서브구역(524)과 연관된 가열된 기판 페디스털(531)에 의해 가열될 수 있다는 것을 유의한다. 대안적으로 또는 부가적으로, 샤워헤드 플레넘(533)을 통과하고 그리고 프로세싱 서브구역(524)에 진입하는 가스들은, 샤워헤드(532)(이를 통해 가스들이 통과함)에 의해 가열될 수 있다.
[0063] 몇몇 실시예들에서, 샤워헤드 플레넘(533)을 통과하고 그리고 프로세싱 서브구역(524)에 진입하는 프로세스 및/또는 퍼지 가스들은, 도 7에 도시된 바와 같이, 샤워헤드 플레넘(533)에 유동가능하게 커플링되는 환형 플레넘(534)을 먼저 통과할 수 있다. 환형 플레넘(534)은, 프로세스 가스들(702)이 샤워헤드 플레넘(533)을 통해 유동하는 경우에 프로세스 가스들(702) 상에 생성된 유동 저항보다 더 큰 유동 저항(즉, 압력 강하)을 프로세스 가스들(702) 상에 생성하도록 사이징된 복수의 오리피스(orifice)들(701)로 구성될 수 있다. 이러한 방식에서, 환형 플레넘(534)이 단일 유입구 또는 적은 수의 유입구들을 통해 프로세스 가스 패널에 커플링될 수 있다 하더라도, 샤워헤드 플레넘(533)으로의 프로세스 가스들(702)의 유동은 샤워헤드(532)의 원주 둘레에서 실질적으로 균일할 것이다. 일반적으로, 샤워헤드 플레넘(533)으로의 프로세스 가스들(702)의 균일한 유동은, 샤워헤드(532)를 통한 프로세싱 서브구역(524)으로의 균일한 유동을 가능하게 한다. 프로세스 가스들(702)의 균일한 유동을 추가적으로 가능하게 하기 위해, 환형 플레넘(534)의 내부 둘레 주변에 오리피스(701)들이 대칭적으로 분포될 수 있다.
[0064] 샤워헤드 플레넘(533)으로의 프로세스 가스들(702)의 균일한 유동을 계속 가능하게 하는, 오리피스들(701)의 최대 자유 면적은, 다른 것들 중에서도, 오리피스들(701)의 수, 샤워헤드 플레넘(533)의 치수들, 샤워헤드(532)에 의해 생성되는 유동 저항, 및 프로세스 가스들(702)의 적절한 유량에 기초하여 결정될 수 있다. 오리피스들(701)의 그러한 최대 자유 면적은, 위에-설명된 팩터들에 대한 정보가 주어진 당업자에 의해 결정될 수 있다.
[0065] 배치 경화 챔버(500)는 경화 스테이션 가열기(535) 및 열전대(537)를 포함할 수 있으며, 이들은 함께, 다수의 경화 스테이션들(530) 각각에 대한 개별적인 폐쇄-루프 온도 제어를 가능하게 한다. 따라서, 배치 경화 챔버(500)는, 다수의 경화 스테이션들(530) 간의 온도 편차에 의해 야기되는 기판 간 편차들의 위험성 없이 다수의 기판들을 프로세싱할 수 있다. 배치 경화 챔버(500)의 상단 및 하단 프로세싱 서브구역들(524)에서 프로세싱되는 기판들은 통상적으로, 경화 스테이션 가열기(535)의 개별적인 온도 제어 없이, 중앙의 프로세싱 서브구역들(524)에서 프로세싱되는 기판들보다 더 낮은 온도들에 노출되며, 이는, 경화 프로세스의 웨이퍼 간(wafer-to-wafer) 배치 프로세싱 결과들에 상당한 영향을 미칠 수 있다.
[0066] 몇몇 실시예들에서, 열전대(537) 및 경화 스테이션 가열기(535) 둘 모두는, 도 7에 도시된 바와 같이, 가열된 기판 페디스털(531) 내에 배치된다. 그러한 실시예들에서, 샤워헤드(532) 및 환형 플레넘(534)의 벽들은, 전도성 및 방사성 열 전달을 통해, 가열된 기판 페디스털(531)의 온도에 가까운 온도로 가열된다. 그 결과, 환형 플레넘(534), 샤워헤드 플레넘(533), 및 샤워헤드(532)를 통과하는 프로세스 가스들이 또한, 가열된 기판 페디스털(531)의 온도에 근사적인 온도로 가열된다. 열전대(537)는, 가열된 기판 페디스털(531)의 온도, 및 그에 따라 프로세싱 서브구역들(524) 중 하나에 진입하는 프로세스 가스들의 온도의 폐쇄-루프 제어를 위한 온도 피드백을 제공한다. 대안적으로, 열전대(537)는, 샤워헤드(532)와 접촉하여 그리고/또는 프로세싱 서브구역들(524) 중 하나에 진입하고 있는 프로세스 가스들과 접촉하여 배치될 수 있다.
[0067] 위에 언급된 바와 같이, 다수의 경화 스테이션들(530) 각각에 인접하게 다수의 배기 유입구 어레이들(523)이 배치된다. 프로세싱 서브구역들(524) 중 하나에서 기판 상에 수행되는 몇몇 경화 프로세스들에서, 기판 상에 형성된 유전체 막으로부터 배출되는 휘발성 컴포넌트들은, SiO2 미립자들과 같은 미립자들을 형성할 수 있다. 이들 미립자들은 프로세싱되고 있는 기판 상에 남아 있게 될 수 있으며, 이는 매우 바람직하지 않다. 그 결과, 배치 경화 챔버(500) 내의 퍼지 가스 및 프로세스 가스의 유동 패턴은, 프로세싱 서브구역(524)에 있는 기판 상의 입자 오염에 영향을 미칠 수 있다. 배기 유입구 어레이들(523)은, 배출된 휘발성 컴포넌트들 및 미립자들이 형성되면, 프로세싱되고 있는 기판으로부터 떨어지게 그들을 드로잉(draw)하도록 구성된다. 몇몇 실시예들에서, 도 7 및 도 8a-8c에 예시된 바와 같이, 각각의 경화 스테이션(530)에 인접하게, 예를 들어, 대칭적 어레인지먼트(arrangement)로 2개 또는 그 초과의 배기 유입구 어레이들(523)이 배치된다.
[0068] 도 8a는, 본 개시내용의 실시예에 따라 배열되는 배기 유입구 어레이들(523)의 다수의 그룹들의 등각도이다. 도 8b는, 도 8a에 도시된 배기 유입구 어레이들(523)의 다수의 그룹들의 평면도이고, 도 8c는, 도 8a에 도시된 배기 유입구 어레이들(523)의 다수의 그룹들의 측면도이다. 명확화를 위해, 배치 경화 챔버(500)의 대부분의 다른 엘리먼트들은 생략된다. 도시된 바와 같이, 도 8a-8c에 예시된 실시예에서, 4개의 배기 유입구 어레이들(523)의 그룹은, 4개의 배기 유입구 어레이들(523)의 총 6개의 그룹들에 대해 특정 경화 스테이션(530)에 인접하도록 포지셔닝된다. 다른 실시예들에서, 배기 유입구 어레이들(523) 중 4개보다 더 많거나 또는 더 적은 배기 유입구 어레이들의 그룹이 단일 경화 스테이션(530)에 인접하게 포지셔닝될 수 있다.
[0069] 각각의 배기 유입구 어레이(523)는, 배기 유입구 어레이(523) 내부에 로케이팅되는 배기 플레넘(802)에 유동가능하게 커플링되는 복수의 배기 유입구들(801)을 포함한다. 몇몇 실시예들에서, 각각의 배기 유입구 어레이(523)는, 지지 부재(810)(이에 커플링된 배기 유입구 어레이(523)를 구조적으로 지지하고 포지셔닝함)에 기계적으로 커플링된다. 도 8a-c에 예시된 실시예에서는 배치 경화 챔버(500)가 4개의 별개의 지지 부재들(810)을 포함하는 반면, 다른 실시예들에서, 배치 경화 챔버(500)는 4개보다 더 많거나 또는 더 적은 총 지지 부재들(810)로 구성될 수 있다. 부가하여, 각각의 배기 유입구 어레이(523)는 배기 매니폴드(명확화를 위해 도시되지 않음)에 유동가능하게 커플링되며, 배기 매니폴드는 결국, 배치 경화 챔버(500)(도 5에 도시됨)의 포어라인(514)에 유동가능하게 커플링된다. 몇몇 실시예들에서, 지지 부재들(810) 중 하나 또는 그 초과가 또한 배기 매니폴드로서 구성될 수 있다.
[0070] 몇몇 실시예들에서, 배기 유입구 어레이들(523) 중 일부 또는 그 전부는, 유동-밸런싱(flow-balancing) 오리피스(811)를 포함할 수 있다. 그러한 실시예들에서, 각각의 유동-밸런싱 오리피스(811)는, 각각의 배기 유입구 어레이(523)를 통한 프로세스 가스들 및 배출 컴포넌트들의 유동이 인접한 배기 유입구 어레이들(523)에 대해 동등하게 되거나 또는 실질적으로 동등하게 되도록, 연관된 배기 유입구 어레이(523)로의 유동을 제한하도록 구성된다. 몇몇 실시예들에서, 유동-밸런싱 오리피스들(811)은 고정형 오리피스들이다. 그러한 실시예들에서, 각각의 고정형 오리피스의 특정 사이즈는, 컴퓨터 시뮬레이션들, 유동 시각화, 시행 착오 방법들, 또는 이들의 임의의 결합을 사용하여 결정될 수 있다. 다른 실시예들에서, 유동-밸런싱 오리피스들(811) 중 일부 또는 그 전부는 조정가능한 오리피스들(이를테면, 니들 밸브(needle valve)들)이며, 이들은, 제조 시에, 현장에서, 그리고/또는 배치 경화 챔버(500)의 배기 밸런스 이슈들에 대한 응답으로 셋팅될 수 있다.
[0071] 다중 기판 리프트 어셈블리(540)는, 로딩 및 언로딩 동안, 대기 로봇(104)으로부터 개별적인 기판들을 제거하고 그리고 대기 로봇(104) 상에 개별적인 기판들을 배치하도록 구성된다. 부가하여, 다중 기판 리프트 어셈블리(540)는, 배치 경화 챔버(500)에서의 프로세싱 동안 다수의 기판들을 동시에 포지셔닝시키도록 구성된다. 예를 들어, 몇몇 실시예들에서, 다중 기판 리프트 어셈블리(540)는, 프로세싱되는 각각의 기판을 프로세싱 포지션으로 그리고 예열 포지션으로 동시에 포지셔닝시키도록 구성된다. 일반적으로, 기판은, 프로세싱 포지션에 있는 경우에는 샤워헤드(532)에 근접하게 포지셔닝되고, 예열 포지션에 있는 경우에는 가열된 기판 페디스털(531) 상에 포지셔닝된다.
[0072] 다중 기판 리프트 어셈블리(540)는, 다수(예컨대, 3개 또는 그 초과)의 리프트 핀 인덱서들(541)을 포함한다. 도 5에 예시된 실시예에서, 다중 기판 리프트 어셈블리(540)는 3개의 리프트 핀 인덱서들(541)을 포함하지만, 하나만이 가시적이다. 도 9는 다중 기판 리프트 어셈블리(540)의 3개의 리프트 핀 인덱서들(541) 전부의 부분들 및 챔버 리드(511)의 등각도이다. 명확화를 위해, 챔버 벽들(512) 및 챔버 플로어(513)는 도 9에서 생략된다. 3개의 리프트 핀 인덱서들(541) 각각은, 챔버 바디(510) 내부에 부분적으로 배치되고 리프트 메커니즘(544)(도 5에 도시되며 명확화를 위해 도 9에서는 생략됨)에 커플링된다. 리프트 메커니즘(544)은, 위에 설명된 바와 같이, 로딩, 언로딩, 예열, 및 프로세싱 포지션들로 기판들을 포지셔닝시키기에 적절한 임의의 기계적 액츄에이터(actuator)일 수 있다. 예를 들어, 리프트 메커니즘은, 압축공기식(pneumatic) 액츄에이터, 스텝퍼(stepper) 모터 등을 포함할 수 있다.
[0073] 도 10은, 본 개시내용의 실시예에 따라 구성되는 리프트 핀 인덱서(541)의 단면도이다. 도시된 바와 같이, 리프트 핀 인덱서(541)는 일반적으로, 배치 경화 챔버(500)의 프로세싱 서브구역들(524) 각각에 대해 하나의 리프트 핀(542)을 포함한다. 따라서, 도 5, 도 9, 및 도 10에 예시된 예에서, 각각의 리프트 핀 인덱서(541)는, 수직 샤프트(shaft)(543)에 커플링되는 6개의 리프트 핀들(542)을 포함한다. 3개의 리프트 핀 인덱서들(541)은, 6개의 기판들을 프로세싱 포지션에 동시에 포지셔닝시킬 수 있거나 또는 6개의 기판들을 예열 포지션에 있는 개별적인 가열된 기판 페디스털(531) 상에 동시에 셋팅할 수 있다.
[0074] 몇몇 실시예들에서, 각각의 리프트 핀(542)은, 프로세싱 동안 기판으로부터 리프트 핀들(542)로의 열 전달을 감소시키고 그리고/또는 최소화하기 위해, 저-접촉(low-contact) 열적 격리 접촉 표면(1001)으로 구성된다. 이러한 방식으로, 프로세싱 동안 기판 상의 소위 "콜드 스폿(cold spot)들"이 감소되거나 또는 제거되며, 그에 의해, 배치 경화 챔버(500)에서 경화되는 유전체 막의 균일성이 개선된다. 몇몇 실시예들에서, 접촉 표면(1001)은, 기판과 접촉 표면(1001) 사이의 접촉 영역이 선 접촉 또는 점 접촉으로 감소되도록, 원통형 엘리먼트(1002)로 형성된다. 부가하여, 원통형 엘리먼트(1002)는, 리프트 핀들(542)을 형성하는데 통상적으로 사용되는 재료들보다 더 낮은 열 전도 계수를 갖는 재료, 이를테면 알루미늄 및 스테인리스 강으로 형성될 수 있다. 예를 들어, 몇몇 실시예들에서, 원통형 엘리먼트(1002)는 사파이어(Al2O3)로 형성될 수 있다.
[0075] 요약하면, 본 개시내용의 하나 또는 그 초과의 실시예들은, 일반적으로 배치 프로세싱과 연관된 기판 간 편차 없이 다수의 기판들 상에 배치된 유전체 재료를 경화시키기 위한 시스템들 및 방법들을 제공한다. 구체적으로는, 배치 경화 챔버는, 각각이 독립적으로 온도 제어되는 다수의 프로세싱 서브-구역들을 포함한다. 부가하여, 챔버의 로딩 개구부 상에 탑재된 슬롯형 커버는, 로딩 및 언로딩 동안 챔버에 진입하는 주변 공기의 효과를 크게 감소시킨다.
[00010] 전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (20)

  1. 배치(batch) 프로세싱 챔버로서,
    스택(stack)에 배열된 복수의 경화(curing) 스테이션들 ― 각각의 경화 스테이션은 가열된 페디스털(pedestal), 상기 가열된 페디스털 위에 배치된 샤워헤드, 및 상기 샤워헤드와 상기 가열된 페디스털 사이에 배치된 프로세싱 영역을 포함함 ―을 포함하고,
    각각의 샤워헤드는 제1 플레넘(plenum)을 포함하며,
    각각의 경화 스테이션의 상기 샤워헤드의 상기 제1 플레넘은 해당 경화 스테이션의 상기 프로세싱 영역에 유동적으로(fluidly) 커플링되고,
    상기 샤워헤드를 위한 상기 제1 플레넘은 상기 스택의 다음(next) 경화 스테이션의 일부인 상기 가열된 페디스털의 하나 또는 그 초과의 표면들에 의해 부분적으로 형성되는,
    배치 프로세싱 챔버.
  2. 제 1 항에 있어서,
    각각의 경화 스테이션은, 상기 제1 플레넘을 둘러싸고 상기 제1 플레넘에 유동적으로 커플링되는 환형 플레넘을 더 포함하는,
    배치 프로세싱 챔버.
  3. 제 2 항에 있어서,
    각각의 환형 플레넘은, 상기 샤워헤드의 하나 또는 그 초과의 표면들 및 상기 스택의 상기 다음 경화 스테이션의 일부인 상기 가열된 페디스털의 하나 또는 그 초과의 표면들에 의해 형성되는,
    배치 프로세싱 챔버.
  4. 제 2 항에 있어서,
    각각의 환형 플레넘은 상기 제1 플레넘에 커플링되는 복수의 오리피스(orifice)들을 포함하는,
    배치 프로세싱 챔버.
  5. 제 4 항에 있어서,
    상기 복수의 오리피스들은 상기 제1 플레넘 주위에 대칭적으로 배열되는,
    배치 프로세싱 챔버.
  6. 제 4 항에 있어서,
    상기 복수의 오리피스들은 가스가 상기 제1 플레넘을 통해 상기 프로세싱 영역으로 유동할 때, 상기 가스 상에 생성된 유동 저항보다 더 큰 유동 저항을 상기 가스 상에 생성하도록 사이징(sized)되는,
    배치 프로세싱 챔버.
  7. 제 1 항에 있어서,
    각각의 가열된 페디스털 주위에 배치되는 복수의 배기 유입구 어레이(exhaust inlet array)들을 더 포함하는,
    배치 프로세싱 챔버.
  8. 제 1 항에 있어서,
    각각의 가열된 페디스털은 가열기 및 온도 센서를 포함하는,
    배치 프로세싱 챔버.
  9. 제 8 항에 있어서,
    각각의 가열된 페디스털의 상기 가열기는, 해당 가열된 페디스털의 상기 온도 센서로부터의 측정들에 기초하여 상기 가열기에 의해 제공되는 열을 조정하도록 구성되는,
    배치 프로세싱 챔버.
  10. 제 1 항에 있어서,
    경화 스테이션의 상기 샤워헤드의 상기 제1 플레넘 내의 가스는 상기 다음 경화 스테이션의 상기 가열된 페디스털에 의해 가열되도록 구성되는,
    배치 프로세싱 챔버.
  11. 배치 프로세싱 챔버로서,
    스택에 배열된 복수의 경화 스테이션들 ― 각각의 경화 스테이션은 가열된 페디스털, 상기 가열된 페디스털 위에 배치된 샤워헤드, 및 상기 샤워헤드와 상기 가열된 페디스털 사이에 배치된 프로세싱 영역을 포함함 ―; 및
    복수의 배기 어셈블리들 ― 각각의 배기 어셈블리는 상이한 가열된 페디스털 주위에서 연장되고, 각각의 배기 어셈블리는 상기 가열된 페디스털의 상이한 각진 부분 주위에서 각각 연장되는 복수의 배기 어레이들을 포함하고, 각각의 배기 어셈블리는 상기 경화 스테이션들 중 상이한 하나의 경화 스테이션의 상기 프로세싱 영역으로부터 가스를 배기하도록 구성됨 ―을 포함하고,
    각각의 배기 어레이는 해당 배기 어셈블리의 하나 또는 그 초과의 다른 배기 어레이들을 통한 가스의 유동에 대해 상기 배기 어레이를 통한 가스의 유동을 독립적으로 제어하는 유동-밸런싱(flow-balancing) 메커니즘을 포함하는,
    배치 프로세싱 챔버.
  12. 제 11 항에 있어서,
    각각의 배기 어셈블리의 상기 복수의 배기 어레이들은 4개의 배기 어레이들을 포함하고,
    각각의 배기 어레이는 상기 다른 배기 어레이들과 이격되어 있는,
    배치 프로세싱 챔버.
  13. 제 11 항에 있어서,
    각각의 배기 어레이는 복수의 배기 유입구들을 포함하는,
    배치 프로세싱 챔버.
  14. 제 11 항에 있어서,
    각각의 유동-밸런싱 메커니즘은 조정가능한,
    배치 프로세싱 챔버.
  15. 제 11 항에 있어서,
    각각의 유동-밸런싱 메커니즘은 니들 밸브(needle valve)를 포함하는,
    배치 프로세싱 챔버.
  16. 배치 프로세싱 챔버로서,
    하나 또는 그 초과의 벽들과 상기 하나 또는 그 초과의 벽들에 고정되는 리드(lid);
    스택에 배열된 복수의 경화 스테이션들 ― 각각의 경화 스테이션은 가열된 페디스털, 상기 가열된 페디스털 위에 배치된 샤워헤드, 및 상기 샤워헤드와 상기 가열된 페디스털 사이에 배치된 프로세싱 영역을 포함함 ―; 및
    복수의 리프트 핀 인덱서(indexer)들을 포함하는 다중 기판 리프트 어셈블리 ― 각각의 리프트 핀 인덱서는 상기 리드를 통해 연장되고, 각각의 리프트 핀 인덱서는 샤프트 및 상기 샤프트에 연결되는 복수의 리프트 핀들을 포함함 ―를 포함하고,
    각각의 리프트 핀은 상기 복수의 경화 스테이션들 중 상이한 경화 스테이션의 프로세싱 영역으로 연장되고,
    각각의 리프트 핀 인덱서의 상기 샤프트는 각각의 경화 스테이션의 상기 샤워헤드를 통해 연장되는,
    배치 프로세싱 챔버.
  17. 제 16 항에 있어서,
    상기 스택은 상기 복수의 경화 스테이션들의 수직 스택이고,
    상기 리프트 핀 인덱서의 상기 샤프트는 경화 스테이션들의 상기 스택을 통해 수직으로 연장되며,
    각각의 리프트 핀은 상기 샤프트에서 수평으로 연장되는,
    배치 프로세싱 챔버.
  18. 제 17 항에 있어서,
    상기 복수의 경화 스테이션들은 4개 또는 그 초과의 경화 스테이션들을 포함하고,
    상기 다중 기판 리프트 어셈블리는 3개 또는 그 초과의 리프트 핀 인덱서들을 포함하는,
    배치 프로세싱 챔버.
  19. 제 17 항에 있어서,
    각각의 리프트 핀은 상기 리프트 핀의 최상부 표면을 형성하는 원통형 엘리먼트를 포함하는,
    배치 프로세싱 챔버.
  20. 제 16 항에 있어서,
    각각의 리프트 핀은 기판을 지지하도록 구성된 원통형 엘리먼트를 포함하는,
    배치 프로세싱 챔버.

KR1020227019130A 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버 KR102500194B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237004775A KR102588544B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201461996817P 2014-05-14 2014-05-14
US61/996,817 2014-05-14
US14/577,828 US10113236B2 (en) 2014-05-14 2014-12-19 Batch curing chamber with gas distribution and individual pumping
US14/577,828 2014-12-19
KR1020167034905A KR102407734B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
PCT/US2015/026937 WO2015175175A1 (en) 2014-05-14 2015-04-21 Batch curing chamber with gas distribution and individual pumping

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167034905A Division KR102407734B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237004775A Division KR102588544B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버

Publications (2)

Publication Number Publication Date
KR20220104184A KR20220104184A (ko) 2022-07-26
KR102500194B1 true KR102500194B1 (ko) 2023-02-14

Family

ID=54480423

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227019130A KR102500194B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
KR1020237004775A KR102588544B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
KR1020167034905A KR102407734B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020237004775A KR102588544B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
KR1020167034905A KR102407734B1 (ko) 2014-05-14 2015-04-21 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버

Country Status (5)

Country Link
US (3) US10113236B2 (ko)
JP (2) JP6592012B2 (ko)
KR (3) KR102500194B1 (ko)
CN (3) CN117198935A (ko)
WO (1) WO2015175175A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
JP6950737B2 (ja) * 2017-04-17 2021-10-13 東京エレクトロン株式会社 絶縁膜の成膜方法、絶縁膜の成膜装置及び基板処理システム
KR20230130177A (ko) * 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
JP6947914B2 (ja) * 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US11101163B2 (en) * 2018-01-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated robotic arm sensing
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
JP7333762B2 (ja) * 2020-02-05 2023-08-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7156332B2 (ja) * 2020-05-21 2022-10-19 株式会社安川電機 搬送装置、搬送方法および搬送システム
US20240112931A1 (en) * 2022-10-03 2024-04-04 Applied Materials, Inc. Cassette structures and related methods for batch processing in epitaxial deposition operations
US20240120220A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Load lock chambers and related methods and structures for batch cooling or heating

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030173347A1 (en) * 2002-03-15 2003-09-18 Guiver Harold Chris Vacuum thermal annealer
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
JPH07297194A (ja) * 1994-04-25 1995-11-10 Sony Corp マルチチャンバー装置及び半導体装置の製造方法
JP3421483B2 (ja) * 1995-08-25 2003-06-30 株式会社東芝 半導体装置の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
KR100491161B1 (ko) * 2002-11-26 2005-05-24 주식회사 테라세미콘 반도체 제조장치
KR101127294B1 (ko) * 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
JP4319434B2 (ja) * 2003-03-11 2009-08-26 東京エレクトロン株式会社 ゲートバルブ及び真空容器
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20070084408A1 (en) 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
WO2008004278A1 (fr) * 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Procédé et dispositif de concentration / dilution de gaz spécifique
JP2010047818A (ja) * 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
KR101458195B1 (ko) 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
KR101223489B1 (ko) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 기판 가공 장치
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9076644B2 (en) * 2011-01-18 2015-07-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus, substrate supporter and method of manufacturing semiconductor device
JP5976776B2 (ja) * 2011-04-08 2016-08-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uv処理、化学処理、および堆積のための装置および方法
JPWO2013054652A1 (ja) * 2011-10-11 2015-03-30 株式会社日立国際電気 基板処理装置、基板処理方法、半導体装置の製造方法、および記録媒体
KR101940580B1 (ko) * 2012-05-24 2019-01-22 에이씨엠 리서치 (상하이) 인코포레이티드 로드록 챔버와, 그를 이용하여 기판을 처리하는 방법
JP5977274B2 (ja) * 2013-03-21 2016-08-24 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具
US20150044619A1 (en) * 2013-08-07 2015-02-12 International Business Machines Corporation Carrier for Ultra-Thin Substrates and Method of Use

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030173347A1 (en) * 2002-03-15 2003-09-18 Guiver Harold Chris Vacuum thermal annealer
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system

Also Published As

Publication number Publication date
CN106463362B (zh) 2019-11-26
US20190048470A1 (en) 2019-02-14
US20150329970A1 (en) 2015-11-19
CN106463362A (zh) 2017-02-22
KR20220104184A (ko) 2022-07-26
KR102407734B1 (ko) 2022-06-10
CN117198935A (zh) 2023-12-08
KR20230025035A (ko) 2023-02-21
CN110828346B (zh) 2023-10-03
JP6592012B2 (ja) 2019-10-16
US20220341042A1 (en) 2022-10-27
WO2015175175A1 (en) 2015-11-19
US11408075B2 (en) 2022-08-09
US10113236B2 (en) 2018-10-30
JP6928043B2 (ja) 2021-09-01
JP2020036015A (ja) 2020-03-05
JP2017522718A (ja) 2017-08-10
CN110828346A (zh) 2020-02-21
KR102588544B1 (ko) 2023-10-11
KR20170007411A (ko) 2017-01-18

Similar Documents

Publication Publication Date Title
KR102500194B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
US11725274B2 (en) Integrated cluster tool for selective area deposition
KR101568748B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
US7989365B2 (en) Remote plasma source seasoning
US20090277587A1 (en) Flowable dielectric equipment and processes
US7772130B2 (en) Insulation film forming method, insulation film forming system, and semiconductor device manufacturing method
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
KR20130130035A (ko) Hdp-cvd에 의한 폴리실리콘 필름
US20150079799A1 (en) Method for stabilizing an interface post etch to minimize queue time issues before next processing step
KR20090127323A (ko) 고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법
US20080233764A1 (en) Formation of Gate Insulation Film
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
TWI837045B (zh) 具有氣體分佈及個別泵送的批次固化腔室
TWI813375B (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant