JP6592012B2 - ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ - Google Patents

ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ Download PDF

Info

Publication number
JP6592012B2
JP6592012B2 JP2016567489A JP2016567489A JP6592012B2 JP 6592012 B2 JP6592012 B2 JP 6592012B2 JP 2016567489 A JP2016567489 A JP 2016567489A JP 2016567489 A JP2016567489 A JP 2016567489A JP 6592012 B2 JP6592012 B2 JP 6592012B2
Authority
JP
Japan
Prior art keywords
substrate
sub
chamber
processing
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016567489A
Other languages
English (en)
Other versions
JP2017522718A (ja
JP2017522718A5 (ja
Inventor
アディーブ カーン,
アディーブ カーン,
シャンカー ヴェンカタラマン,
シャンカー ヴェンカタラマン,
ジェイ ディー., サード ピンソン,
ジェイ ディー., サード ピンソン,
チャン‐ギュ ヤン,
チャン‐ギュ ヤン,
ニティン クリシュナラーオ イングル,
ニティン クリシュナラーオ イングル,
チーウェイ リャン,
チーウェイ リャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017522718A publication Critical patent/JP2017522718A/ja
Publication of JP2017522718A5 publication Critical patent/JP2017522718A5/ja
Priority to JP2019169941A priority Critical patent/JP6928043B2/ja
Application granted granted Critical
Publication of JP6592012B2 publication Critical patent/JP6592012B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Polyurethanes Or Polyureas (AREA)

Description

本開示の実施形態は、概して、半導体ウェハなどの複数の基板を処理する装置及び方法に関し、より詳細には、複数の基板上に配置された誘電体材料を硬化する装置及び方法に関する。
半導体デバイスの形状寸法は、数十年前の導入以来、サイズが劇的に縮小して来た。現代の半導体製造設備は、特徴サイズが32nm,28nm,及び22nmであるデバイスを日常的に生産し、更に小さな形状寸法を有するデバイスを作るために、新たな設備が開発され、実施されている。特徴サイズの減少により、デバイス上の構造的特徴の空間的寸法が減少する。その結果、間隙の幅に対する間隙の深さのアスペクト比が大きくなって、当該間隙を誘電体材料で充填することが問題となるような点まで、デバイス上の構造(例えば、間隙、トレンチ等)の幅が、狭くなり得る。これは、堆積されている誘電体材料が、ピンチオフとして知られる現象を生じやすいからであり、ピンチオフでは、ボトムアップフィルが完了する前に、高アスペクト比の間隙又は他の構造の入口領域が閉じて、構造内にボイド又は弱いスポットが残ることがある。
長年にわたって、ピンチオフを回避するため、又はピンチオフの結果として形成されたボイド若しくはシームを「治す」ために、多くの技法が開発されて来た。一つの方法は、回転している基板表面に液相で塗布され得る高流動性の前駆体材料から開始することであった(例えば、SOG堆積法)。これらの流動性の前駆体は、ボイド又は弱いシームを形成することなく、非常に小さい基板間隙の中に流入し、充填することができる。しかしながら、ひとたびこれらの高流動性の材料が堆積されると、それらは、固体誘電体材料へと硬化されなければならない。
多くの例において、硬化プロセスは、最初に堆積された膜を流動性にするのに必要な揮発性成分を堆積材料から除去するための加熱処理を含む。これらの成分の除去の後に、酸化ケイ素などの、高いエッチング耐性を有する硬化した高密度の誘電体材料が、残される。
そのような膜の流動性は、膜に含まれる様々な化学的成分からもたらされ得るが、これらの同じ化学的成分の除去によって膜を硬化し圧密化することは、一連の流動性堆積技法にわたって、ほぼ一様に有利である。これらの硬化及び圧密化プロセスは、時間がかかり得る。それ故、現在利用可能又は開発中の幅広い種類の流動性膜を圧密化する新たな後処理技法及び装置に対する必要性が存在する。この及び他の必要性が、本開示において対処される。
本開示の実施形態は、概して、半導体ウェハなどの基板を処理する装置及び方法に関し、より詳細には、複数の基板上に配置された誘電体材料をバッチ硬化する装置及び方法に関する。
本開示の実施形態は、基板の表面上に誘電体材料を形成するシステムであって、メインフレーム、少なくとも1つの大気ロボットを含み、1つ以上の基板カセットを受け取るように構成されるファクトリインターフェース、メインフレームに連結され、ファクトリインターフェースにおける少なくとも1つの大気ロボットから1つ以上の基板を受け取るように構成されるロードロックチャンバ、各々がメインフレームに連結される複数の流動性CVD堆積チャンバ、及びファクトリインターフェースに連結されたバッチ処理チャンバを備え、バッチ処理チャンバは、各々が、少なくとも1つの大気ロボットから基板を受け取り、大気ロボットから受け取った基板上に硬化プロセスを実施するように構成される複数のサブ処理領域、バッチ処理チャンバの壁に形成されたローディング開口、及び複数のスロット開口を含み、ローディング開口を覆って配置されるカバープレートを備え、複数のスロット開口の各々が、少なくとも1つの大気ロボットに、バッチ処理チャンバの外側の位置から複数のサブ処理領域の1つにアームを伸ばすことを可能にさせるように構成され、複数のスロット開口の各々が、ローディング開口が開いているときに、ローディング開口のフリーエリアを減少させるように構成される、システムを提供し得る。
本開示の実施形態は、各々が、大気ロボットから基板を受け取り、大気ロボットから受け取った基板上に硬化プロセスを実施するように構成される複数のサブ処理領域、バッチ処理チャンバの壁に形成されたローディング開口、及び複数のスロット開口を含み、ローディング開口を覆って配置されるカバープレートを備えるバッチ基板処理チャンバであって、スロット開口の各々が、少なくとも1つの大気ロボットに、バッチ処理チャンバの外側の位置から複数のサブ処理領域の1つにアームを伸ばすことを可能にさせるように構成され、複数のスロット開口の各々が、ローディング開口が開いているときに、ローディング開口のフリーエリアを減少させるように構成される、バッチ基板処理チャンバを提供し得る。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得ることができ、実施形態の幾つかは、添付の図面に示される。しかしながら、添付の図面は、例示的な実施形態のみを示しており、従って、その範囲を限定すると見なすべきではなく、他の等しく有効な実施形態を許容しうることに留意されたい。
本開示の実施形態により構成されたバッチ硬化チャンバを有するファクトリインターフェースを含む、処理ツールの平面図である。 区分されたプラズマ生成領域を有する流動性化学気相堆積チャンバの一実施形態の断面図である。 処理チャンバ200と図1に示されたバッチ硬化チャンバ103において実施され得るプロセスの一実施形態のフロー図である。 図3に示されたプロセスの様々な段階に対応する、基板の一部分の概略断面図である。 本開示の実施形態により構成されたバッチ硬化チャンバの側面断面図である。 本開示の実施形態により構成された、図5に示されたバッチ硬化チャンバ用のスロット開口カバーの等角図である。 本開示の実施形態により構成された、複数の硬化ステーションの一部分の部分断面図である。 本開示の実施形態により構成された、排気吸入アレイの複数のグループの等角図である。 図8Aに示された排気吸入アレイの複数のグループの平面図である。 図8Aに示された排気吸入アレイの複数のグループの側面図である。 チャンバリッドと図5に示された複数基板リフトアセンブリのリフトピンインデクサの一部分の等角図である。 本開示の実施形態により構成されたリフトピンインデクサの断面図である。
理解を容易にするため、可能な場合には、図に共通する同一の要素を示すのに同一の参照番号を使用した。一つの実施形態の要素および特徴は、さらなる記述がなくても、他の実施形態に有益に組み込まれうることが企図される。
本開示の実施形態は、概して、複数の基板を一度に同時に硬化するように適合されるバッチ処理チャンバに関する。チャンバは、第一のサブ処理領域及び第二のサブ処理領域を含み、各々が、バッチ処理チャンバの外側の基板輸送デバイスによって扱われ、各サブ処理領域が、基板を支持し得る。一実施形態において、第一のサブ処理領域は、第二のサブ処理領域の直下にあり、第一のサブ処理領域及び第二のサブ処理領域は、チャンバに形成されたローディング開口の一部分を覆うカバープレートを通って基板輸送デバイスによってアクセス可能である。
図1は、本開示の実施形態により構成されたバッチ硬化チャンバ103を有するファクトリインターフェース105を含む、処理ツールの一実施形態の平面図である。処理ツール100は、概して、ファクトリインターフェース105、バッチ硬化チャンバ103、移送チャンバ112、大気保持ステーション109、並びに複数の対の処理チャンバ108a−b,108c−d及び108e−fを含む。処理ツール100において、1対のFOUP(前方開口型統一ポッド)102が、基板(例えば、300mm直径ウェハ)を供給し、基板は、大気ロボット104のアームによって受け取られ、ロードロックチャンバ106の中に配置される。第二のロボットアーム110が、ロードロックチャンバ106に連結された移送チャンバ112内に配置される。第二のロボットアーム110は、ロードロックチャンバ106から、移送チャンバ112に連結された処理チャンバ108a−fに、基板を輸送するのに用いられる。
処理チャンバ108a−fは、基板上に流動性誘電体膜を堆積し、アニールし、硬化し、及び/又はエッチングするための1つ以上のシステム構成要素を含み得る。一つの構成において、3対の処理チャンバ(例えば、108a−b,108c−d及び108e−f)は、基板上に流動性誘電体材料を堆積するために用いられ得る。
幾つかの実施形態において、バッチ硬化チャンバ103は、流動性誘電体材料が上に堆積された複数の基板上に同時にバッチ硬化プロセスを実行するように構成される。そのような実施形態において、バッチ硬化チャンバ103は、対の処理チャンバ108a−b,108c−d及び108e−f内で膜堆積を同時に受けることができる幾つかの基板に硬化プロセスを実行するように、概して構成される。従って、図1に示された構成において、バッチ硬化チャンバ103は、有利には、硬化プロセス中に一度に6個の基板を収容するような大きさに作られる。その結果、対の処理チャンバ108a−b,108c−d及び108e−fによって処理された全ての基板が、同時に硬化処理を受けることができ、それにより処理ツール100の基板スループットを最大化する。
更に、複数の処理チャンバが、異なる処理レシピの開始及び終了時間を有する場合、基板が、著しく異なる時間の間、バッチ硬化チャンバ103内に残るのを防ぐために、処理ツール100は、大気保持ステーション109を含むことができ、大気保持ステーション109は、すでに処理された基板を、次に処理される他の基板が堆積処理を終了するまで、保持するために用いられる。大気保持ステーションは、基板の全てが一度にバッチ硬化チャンバ103内に配置されることを可能にする。例えば、大気保持ステーション109は、所望の数の基板が、バッチ硬化チャンバ103内での処理に利用可能になるまで、バッチ硬化チャンバ103の外側で基板を一時的に保管するように構成される。その後、大気ロボット104は、基板をバッチ硬化チャンバ103内に矢継ぎ早にロードし、そのため、膜堆積した他のいかなる基板よりも数秒より長く、比較的高い温度のバッチ硬化チャンバ103内に残る膜堆積した基板はない。その結果、硬化プロセスにおける基板間ばらつきは、最小化又は減少され得る。
バッチ硬化チャンバ103は、概して、チャンバ本体103Bとスリットバルブ103Aを含む。基板が大気ロボット104によって中に配置された後に、スリットバルブ103Aは、チャンバ本体103Bの内部領域を密閉するために用いられる。バッチ硬化プロセスとバッチ硬化チャンバ103が、以下の図4〜図10を参照して、更に記載される。
流動性CVDチャンバと堆積プロセスの例
図2は、区分されたプラズマ生成領域を有する流動性化学気相堆積チャンバ200の一実施形態の断面図である。処理チャンバ200は、基板上に流動性誘電体材料を堆積させるように少なくとも構成される、処理ツール100の任意の処理チャンバ108a−fであってよい。幾つかの実施形態において、処理ツール100は、処理チャンバ200ではなく、任意の他の適当な化学気相堆積チャンバを含んでよい。
膜堆積(例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素又はオキシ炭化ケイ素の堆積)の間、プロセスガスが、ガス吸入アセンブリ205を通って第一のプラズマ領域215内に流れ得る。プロセスガスは、遠隔プラズマシステム(RPS)201内で、第一のプラズマ領域215に入る前に、励起され得る。処理チャンバ200は、リッド212とシャワーヘッド225を含む。リッド212は、印加されるAC電圧源とともに描かれており、シャワーヘッド225は接地されており、第一のプラズマ領域215内のプラズマ生成と整合する。絶縁リング220が、リッド212とシャワーヘッド225の間に配置されており、容量結合プラズマ(CCP)が第一のプラズマ領域215に形成されることを可能にする。リッド212及びシャワーヘッド225が、その間の絶縁リング220とともに示されており、AC電位がシャワーヘッド225に対してリッド212に印加されることを可能にする。
リッド212は、処理チャンバとともに使用するデュアルソースリッドであってもよい。2つの別個のガス供給チャネルが、ガス吸入アセンブリ205の中に見える。第一のチャネル202は、遠隔プラズマシステム(RPS)201を通過するガスを運び、他方、第二のチャネル204は、RPS201を迂回する。第一のチャネル202は、プロセスガス用に使用され得、第二のチャネル204は、トリートメントガス用に使用され得る。第一のプラズマ領域215の中に流れるガスは、バッフル206によって分散され得る。
前駆体などの流体が、シャワーヘッド225を通って処理チャンバ200の第二のプラズマ領域233の中に流れ得る。第一のプラズマ領域215内で前駆体から誘導された励起種が、シャワーヘッド225の開孔214を通って進み、シャワーヘッド225から第二のプラズマ領域233内に流れる前駆体と反応する。第二のプラズマ領域233には、プラズマは、ほとんど又は全く存在しない。前駆体の励起された誘導体が、第二のプラズマ領域233内で結合し、基板上に流動性誘電体材料を形成する。誘電体材料が成長するにつれ、より新しく追加された材料が、下にある材料よりも高い可動性を有する。有機含有物が蒸発によって減少すると、可動性が低下する。間隙は、堆積が終了した後に、誘電体材料内に従来の密度の有機含有物を残さずに、この技法を用いて、流動性誘電体材料によって充填され得る。(下記の)硬化ステップが、堆積された誘電体材料から有機含有物を更に減少させる又は除去するために、用いられ得る。
第一のプラズマ領域215内だけで又は遠隔プラズマシステム(RPS)201と組合わせて前駆体を励起することは、幾つかの利益を提供する。前駆体から誘導された励起種の濃度は、第一のプラズマ領域215のプラズマのため、第二のプラズマ領域233内で増加し得る。この増加は、第一のプラズマ領域215のプラズマの場所から生じ得る。第二のプラズマ領域233は、遠隔プラズマシステム(RPS)201よりも、第一のプラズマ領域215に近接した位置にあるので、励起種が、他のガス分子、チャンバの壁及びシャワーヘッドの表面との衝突により励起状態から離れるための時間がより少なくなる。
前駆体から誘導された励起種の濃度の均一性もまた、第二のプラズマ領域233内で増加し得る。これは、第二のプラズマ領域233の形状により類似している、第一のプラズマ領域215の形状から生じ得る。遠隔プラズマシステム(RPS)201内で作り出された励起種は、シャワーヘッド225の中心近くの開孔214を通過する種と比べて、シャワーヘッド225のエッジ近くの開孔214を通過するために、より長い距離を進む。より長い距離により、励起種の励起が減少し、例えば、基板のエッジ近くで成長速度を遅くし得る。第一のプラズマ領域215で前駆体を励起することは、この変動を緩和する。
前駆体に加えて、様々な目的で様々な時間に他のガスが導入され得る。トリートメントガスが、チャンバ壁、基板、堆積された膜及び/又は堆積中の膜から不要な種を除去するために、導入され得る。トリートメントガスは、H,H/N混合物,NH,NHOH,O,O,H及び水蒸気からなる群からのガスのうちの少なくとも1つを含み得る。トリートメントガスは、プラズマ内で励起され、その後、堆積された膜から残余の有機含有物を減少させる又は除去するために用いられ得る。他の実施形態において、トリートメントガスは、プラズマなしで用いられ得る。トリートメントガスが水蒸気を含む場合、供給は、質量流量計(MFM)と注入バルブを用いて又は他の適当な水蒸気発生器により達成され得る。
一実施形態において、誘電体層は、誘電体材料前駆体、例えばケイ素含有前駆体、を導入し、第二のプラズマ領域233で処理前駆体を反応させることによって、堆積させることができる。誘電体材料前駆体の例は、シラン、ジシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、テトラエトキシシラン(TEOS)、トリエトキシシラン(TES)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチル−ジシロキサン(TMDSO)、テトラメチルシクロテトラシロキサン(TMCTS)、テトラメチル−ジエトキシ−ジシロキサン(TMDDSO)、ジメチル−ジメトキシ−シラン(DMDMS)又はそれらの組合せを含むケイ素含有前駆体である。窒化ケイ素の堆積のための追加の前駆体は、シリル−アミン並びにトリシリルアミン(TSA)及びジシリルアミン(DSA)を含むその誘導体などのSixNyHz含有前駆体、SixNyHzOzz含有前駆体、SixNyHzClzz含有前駆体、又はそれらの組合せを含む。
処理前駆体は、水素含有化合物、酸素含有化合物、窒素含有化合物、又はそれらの組合せを含む。適当な処理前駆体の例は、H,H/N混合物,NH,NHOH,O,O,H,N,N蒸気を含むN化合物,NO,NO,NO,水蒸気、又はそれらの組合せからなる群から選択された1つ以上の化合物を含む。処理前駆体は、RPSユニット内などでプラズマ励起され、N及び/又はH及び/又はO含有ラジカル又はプラズマ、例えば、NH,NH ,NH,N,H,O,N,又はそれらの組合せ、を含み得る。処理前駆体は、代替的に、本書に記載の前駆体のうちの1つ以上を含み得る。
処理前駆体は、第一のプラズマ領域215内でプラズマ励起され、N及び/又はH及び/又はO含有ラジカル又はプラズマ、例えば、NH,NH ,NH,N,H,O,N,又はそれらの組合せ、を含むプロセスガスプラズマ及びラジカルを生成し得る。代替的に、処理前駆体は、遠隔プラズマシステムを通過した後で、第一のプラズマ領域215への導入の前に、すでにプラズマ状態であってもよい。
励起された処理前駆体290は、その後、第二のプラズマ領域233に供給され、開孔214を通る前駆体と反応する。ひとたび処理容積の中に入ると、処理前駆体は、混合し、反応し、誘電体材料を堆積し得る。
一実施形態において、処理チャンバ200内で実行される流動性CVDプロセスは、ポリシラザン系のケイ素含有膜(PSZに類似の膜)として誘電体材料を堆積し得、この膜は、このポリシラザン系のケイ素含有膜が堆積される基板内に画定されるトレンチ、特徴部、ビア、又は他の開孔の中でリフロー可能及び充填可能であり得る。
誘電体材料前駆体及び処理前駆体に加えて、様々な目的で様々な時間に他のガスが導入され得る。トリートメントガスは、チャンバ壁、基板、堆積された膜及び/又は堆積中の膜から不要な種、例えば、水素、炭素及びフッ素など、を除去するために、導入され得る。処理前駆体及び/又はトリートメントガスは、H,H/N混合物,NH,NHOH,O,O,H、N,N蒸気,NO,NO,NO,水蒸気、又はそれらの組合せを含む群からのガスのうちの少なくとも1つを含み得る。トリートメントガスは、プラズマ内で励起され、その後、堆積された膜から残余の有機含有物を減少させる又は除去するために用いられ得る。他の実施形態において、トリートメントガスは、プラズマなしで用いられ得る。トリートメントガスは、RPSユニットを通って又はRPSユニットを迂回して、第一の処理領域内に導入され、第一のプラズマ領域内で更に励起され得る。
窒化ケイ素材料は、窒化ケイ素、SixNy、水素含有窒化ケイ素、SixNyHz、水素含有酸窒化ケイ素、SixNyHzOzzを含む酸窒化ケイ素、及び塩素化窒化ケイ素、SixNyHzClzzを含むハロゲン含有窒化ケイ素、を含む。堆積された誘電体材料は、その後、酸化ケイ素に類似の材料に変えられ得る。
堆積及びバッチ硬化処理シーケンスの例
図3は、処理チャンバ200とバッチ硬化チャンバ103において実施され得るプロセス300の一実施形態のフロー図である。図4A〜図4Cは、プロセス300の様々な段階に対応する、基板の一部分の概略断面図である。プロセス300は、シャロートレンチアイソレーション(STI)構造製造プロセスのように、基板内又は基板上に画定されたトレンチ内に誘電体材料を形成するように示されているが、プロセス300は、層間誘電体(ILD)構造などの他の構造を基板上に形成するのに利用され得る。
プロセス300は、ステップ302において、図4Aに描かれているような基板400を、図2に描かれた流動性化学気相堆積(CVD)チャンバ200などの堆積処理チャンバに移送することにより、開始する。一実施形態において、基板400は、シャロートレンチアイソレーション(STI)構造404などの構造を形成するために利用される層(複数可)がその上に形成されたシリコン基板であり得る。他の実施形態において、基板400は、様々なパターン及び/又は特徴部を形成するために利用される複数の層、例えば、膜スタックを有するシリコン基板であり得る。基板400は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はされていないポリシリコン、ドープされた又はされていないシリコンウェハ及びパターニングされた又はされていないウェハ、シリコン・オン・インシュレーター(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、シリコン上に配置された金属層などの材料であり得る。基板400は、200mm、300mm若しくは450mm直径ウェハ、又は長方形若しくは正方形パネルなどの、様々な形状及び寸法のうちの任意のものであってよい。
図4Aに示された実施形態において、層402が、基板400上に配置され、流動性誘電体材料の堆積によるSTI構造404の製造にとって適当である。幾つかの実施形態において、シャロートレンチアイソレーション(STI)構造を形成するため、層402が、エッチングされ又はパターニングされ、層402の中にトレンチ406を形成し得る。シャロートレンチアイソレーション(STI)構造は、集積回路内のデバイスをお互いから電気的に分離するために用いられ得る。代替的に、層402が存在しない実施形態において、層402に実施されるような本書に記載のプロセスが、基板400に実施され得る。
ステップ304において、誘電体材料408が、基板400上に堆積され、図4Bに示されるように、層402の中に画定されるトレンチ406を充填する。図2を参照して上で記載されたように、誘電体材料408が、処理チャンバ200内で実施される流動性化学気相堆積プロセスによって堆積され得る。一実施形態において、誘電体材料408は、処理チャンバ200内に供給される混合ガスによって堆積されるケイ素含有材料である。
一実施形態において、誘電体材料408を形成するために処理チャンバ200内に供給される混合ガスは、上記のように、誘電体材料前駆体及び処理前駆体を含み得る。加えて、処理前駆体の適当な例は、上記のように、窒素含有前駆体を含み得る。更に、処理前駆体は、水素含有化合物、酸素含有化合物又はそれらの組合せ、例えばNHガスを含んでもよい。代替的に、処理前駆体は、必要に応じて、前駆体のうちの1つ以上を含み得る。
一実施形態において、堆積プロセス中の基板温度は、所定の温度範囲内に維持される。一実施形態において、基板上に形成される誘電体材料408が、リフローし、トレンチ406内を充填する流動性を有するようにするために、基板温度は、摂氏約200度未満、例えば、摂氏100度未満に維持される。摂氏100度未満などの比較的低い基板温度は、基板表面上に最初に形成される膜を液体状の流動性状態に維持し、その上に形成される、結果として生じる膜の流動性と粘度を維持するのに役立つことができる。結果として生じる膜は、ある程度の流動性と粘度を有して、基板上に形成されるので、膜の結合構造は、その後の熱プロセス及びウェットプロセスの後で、異なる官能基又は結合構造に変換、転換、又は置換され得る。一実施形態において、処理チャンバ内の基板温度は、おおよそ室温から摂氏約200度、例えばおおよそ摂氏100度未満の間、例えば、摂氏約30度から摂氏約80度の間の範囲に維持される。
誘電体材料前駆体は、約1sccmから約5000sccmの間の流量で処理チャンバ内に供給され得る。処理前駆体は、約1sccmから約1000sccmの間の流量で処理チャンバ内に供給され得る。代替的に、処理中に供給される混合ガスは、処理前駆体に対する誘電体材料前駆体の流量比が約0.1から約100の間で制御されてもよい。処理圧力は、約0.10トールから約10トールの間、例えば、約0.1トールから約1トールの間、例えば、約0.5トールから約0.7トールの間に維持される。
1つ以上の不活性ガスが、処理チャンバ200に供給される混合ガスとともに含まれてもよい。不活性ガスは、限定されないが、Ar、He、Xeなどの希ガスを含み得る。不活性ガスは、約1sccmから約50000sccmの間の流量で処理チャンバに供給され得る。
RF電力が、堆積中にプラズマを維持するために印加される。RF電力は、約350kHz又は約13.56MHzなどの、約100kHzから約100MHzの間で供給される。代替的に、VHF電力が、約27MHzから約200MHzの間までの周波数を供給するために、用いられ得る。一実施形態において、RF電力は、約1000ワットから約10000ワットの間で供給され得る。基板からシャワーヘッド225までの間隔は、基板寸法に従って、制御され得る。一実施形態において、処理間隔は、約100ミルから約5インチの間で制御される。
一実施形態において、基板400上に形成される誘電体材料408は、その中に形成された窒化物又は水素原子を有するケイ素含有材料であり、例えば、SixNyHz又は-Si-N-H-結合であり、ここでxは1から200までの整数であり、y、zは、0から400までの整数である。混合ガスに供給される処理前駆体は、堆積中に窒素種及び水素種を供給し得るので、誘電体材料408の中に形成されるケイ素原子は、-Si-N-H-,-Si-N-,又は-Si-H-又は他の異なる結合を含み得る。Si-N,N-H,Si-H結合は、その後の熱プロセス及びウェットプロセスによって、Si-O-Si結合に更に置換され、酸化ケイ素層としての誘電体材料408を形成するであろう。
ステップ306において、誘電体材料408が基板400上に形成された後に、基板400は、硬化及び/又は熱処理される。硬化プロセスは、堆積された誘電体材料408から湿気及び他の揮発性成分を除去し、図4Cに示されるように、固相の誘電体材料408を形成する。誘電体材料408が硬化されると、堆積された誘電体材料408内の湿気及び溶媒がガス放出され、堆積された誘電体材料408を、基板400内に画定されたトレンチ406内でリフィル及びリフローさせ、それにより、基板400上にほぼ平坦な表面410を形成する。一実施形態において、硬化ステップ306は、バッチ硬化チャンバ103内で実行され得る。
幾つかの実施形態において、硬化温度は、摂氏150度未満、例えば、摂氏100度未満、例えば、摂氏約50度、の温度に制御され得る。硬化時間は、約1秒から約10時間の間で制御され得る。例えば、一実施形態において、硬化プロセスは、摂氏約90度の温度で8分間から10分間、実行される。幾つかの実施形態において、アルゴン(Ar)又は窒素(N)などの、加熱されたパージガス及び/又は不活性キャリアガスが、硬化プロセス中に使用され、例えば加熱されたシャワーヘッドによって基板上に流される。他の実施形態において、オゾン(O)と組み合わされたキャリアガスが、硬化プロセス中に使用され得る。いずれの場合においても、流動性誘電体膜が上に形成された基板の表面上の高温のプロセスガスの流れ、及び基板の加熱が、膜から揮発性成分を効果的に除去することができる。このように、ステップ304において堆積された膜などの、流動性CVDプロセスによって形成された膜は、高アスペクト比の特徴部を有する基板上に形成されている場合でさえも、ほとんど又は全くボイドのない高密度の固体誘電体膜に転換することができる。幾つかの実施形態において、硬化プロセスは、予熱ステップを含み、ここで、基板は、プロセスガスの流れの前に、ある特定の時間の間(例えば、約1秒から約10分の間)、加熱されたペデスタル上にある。
ステップ310において、硬化プロセスが完了した後、誘電体材料408は、任意選択で、熱アニールプロセスに曝され、アニールされた誘電体材料408を形成し得る。一般に、熱アニールプロセスは、上記の硬化プロセスとは別の処理チャンバ内で実行される。ステップ310が実行され得る適当な熱アニールチャンバの例は、Applied Materials,Inc.等から入手可能な、CENTURA(登録商標)RADIANCE(登録商標)RTPチャンバである。他の製造業者からのものを含む、他のタイプのアニールチャンバ又はRTPチャンバが、ステップ310に記載されるような熱アニールプロセスを実行するために、利用されてもよい、ということが留意される。
バッチ硬化処理シーケンスの例
図5は、本開示の実施形態により構成されたバッチ硬化チャンバ500の側面断面図である。バッチ硬化チャンバ500は、図1のバッチ硬化チャンバ103として用いられてもよく、上記のステップ306に記載されたバッチ硬化プロセスを実行するために用いられてもよい。一般に、バッチ硬化チャンバ500は、チャンバ本体510、チャンバ本体510内に配置された複数の硬化ステーション530、及びチャンバ本体510内に部分的に配置された複数基板リフトアセンブリ540を含む。
チャンバ本体510は、チャンバリッド511及びチャンバフロア513に連結されたチャンバ壁512を含む。チャンバ本体510からプロセスガス及びパージガスをポンプ排出するように構成される真空ポンプフォアライン514が、チャンバフロア513を通ってチャンバ510を貫通する。他の実施形態において、真空ポンプフォアライン514は、1つ以上のチャンバ壁512及び/又はチャンバリッド511を通ってチャンバ510を貫通し得る。真空ポンプフォアライン514は、開口521を介して、チャンバ510の処理領域522、及び複数の硬化ステーション530の各々に隣接して配置された複数の排気吸入アレイ523の各々に流体連結される。このようにして、プロセスガス、パージガス、及び硬化プロセス中に基板からガス放出される揮発性化合物が、処理領域522から、及び複数の硬化ステーション530間に配置される各処理サブ領域524から除去され得る。複数の排気吸入アレイ523が、図8と共に以下により詳細に記載される。
チャンバ本体510はまた、チャンバ壁512のうちの1つに連結されたRPSマニホールド515を含み得る。RPSマニホールド515は、周期的な洗浄プロセス中に、複数の洗浄ガス開口516を通って洗浄ガスを各処理サブ領域524の中に向けるように構成される。洗浄ガスは、遠隔プラズマ源550によって生成され得る。例えば、NH又は任意の他の洗浄ガスが、遠隔プラズマ源を通って、その後、チャンバ本体と複数の硬化ステーション530の1つ以上の内部表面上の不要な堆積物の集積を除去するために用いられ得る。そのようなプロセスが、特定の時間間隔で、所定の量の硬化膜がバッチ硬化チャンバ500によって処理された後に、又は所定の数の基板がバッチ硬化チャンバ500によって処理された後に、実行され得る。
チャンバ本体510はまた、一般に、チャンバ壁512のうちの1つに形成されたローディング開口517、複数の基板スリット519を備えるスロット開口カバー518(図6に詳細に示される)、及び硬化プロセス中にローディング開口517を密閉するように構成されたローディング開口ドア520を含む。通常、基板スリット519の各々は、硬化ステーション530のうちのそれぞれのステーションに対応し、それとほぼ整列されて、ローディング開口ドア520が開放位置にあるときに、大気ロボット104が、複数のサブ処理領域524の各々の中にアームを伸ばすことを可能にする。ローディング開口ドア520は、図5において閉鎖位置に示される。
ローディング開口517は、複数の硬化ステーション530又はファクトリインターフェース105に対してローディング開口を位置決めし直すことなく、複数の硬化ステーション530の各々の中に基板がロードされることを可能にするように構成される。例えば、複数の硬化ステーション530が、図5に示されるように、スタックアレイで配列される場合、ローディング開口517は、2次元(すなわち、高さ及び幅)でスタックアレイに広がるように構成され、そのため、スタックアレイにおける複数の硬化ステーション530の全て又は少なくとも大きな割合が、大気ロボット104によってアクセス可能である。その結果、硬化ステーション530が垂直スタックアレイで配列される場合、ローディング開口517の高さ525は、複数の硬化ステーション530の合計の高さを収容するために、比較的大きい。スロット開口カバー518は、ローディング開口517が(例えば、基板のローディング中及びアンローディング中に)開いているときに、ローディング開口517のオープンエリアを最小化又は減少させるように構成されたプレート又は他の構造であり得る。ローディング開口517は比較的大きい高さ525を有するので、ローディング開口のフリーエリアはそれに応じて大きく、スロット開口カバー518がない場合、ファクトリインターフェース105からバッチ硬化チャンバ500内へ相当な量の外気を入れることを可能にする。外気が相当な量でバッチ硬化チャンバ500に入ることは、バッチ硬化チャンバ500の望ましくない冷却又はバッチ硬化チャンバ500内の内部構成要素の酸化及び/又は汚染を引き起こすことがあり、またバッチ硬化チャンバ500内のプロセスガス及びガス放出した生成物を、ファクトリインターフェース105の中に漏出させることがある。このように、スロット開口カバー518は、粒子及び/又は不要なガス又は処理副生成物が、バッチ硬化チャンバ500に又はバッチ硬化チャンバ500から移動するのを防止することに役立つ。
図6は、本開示の実施形態により構成された、図5に示されたバッチ硬化チャンバ500用のスロット開口カバー518の等角図である。スロット開口カバー518は、ローディング開口517が(例えば、基板のローディング中及びアンローディング中に)開いているときに、(図5に示された)ローディング開口517のオープンエリアを最小化又は減少させるように構成されたプレート又は他の構造であり得る。例えば、複数の基板スリット519の寸法は、ローディング開口517を通ってロード及びアンロードされている基板の妨げとなることなく、可能な限り小さくなるように選択され得る。そのような実施形態において、複数の基板スリット519の寸法は、(図1に示される)大気ロボット104、スロット開口カバー518、ローディング開口517、及び大気ロボット104に対する複数の基板スリット519のそれぞれの位置に影響を与え得るバッチ硬化チャンバ500の任意の構成要素の位置についての公差の積み重ね及びチャンバ間ばらつきに基づいて決定され得る。それ故、そのような実施形態において、複数の基板スリットは、大気ロボット104のアームに載っている基板の断面積に、バッチ硬化チャンバ500の構成要素、ファクトリインターフェース105、大気ロボット104、等の公差の積み重ねを収容できる追加のフリーエリアを加えたものに一致するように構成され得る。
基板がバッチ硬化チャンバ500内にロードされる間に、ローディング開口517のフリーエリアを最小化するにあたり、スロット開口カバー518は、バッチ硬化チャンバ500の中へ外気が入ること、及びバッチ硬化チャンバ500からプロセスガス及びパージガスが出ることを大きく減少させ又は最小化する。従って、ローディング開口517の比較的大きなサイズにもかかわらず、プロセスガス及び/又は揮発性化合物は、基板のローディング及びアンローディング中にバッチ硬化チャンバ500からほとんど又は全く漏れない。更に、ファクトリインターフェース105から入る外気又はバッチ硬化チャンバ500から出る熱放射によって引き起こされるバッチ硬化チャンバ500の望ましくない冷却が、回避される。
図7は、本開示の実施形態により構成された、複数の硬化ステーション530の一部分の部分断面図である。チャンバ本体510内に配置された複数の硬化ステーション530の各々が、加熱された基板ペデスタル531、加熱されたペデスタル531の上に配置されたシャワーヘッド532、加熱されたペデスタル531とシャワーヘッド532との間に形成されたシャワーヘッドプレナム533、シャワーヘッドプレナム533及びプロセスガスパネル(図示せず)に流体連結された環状プレナム534、硬化ステーションヒータ535、並びに熱電対537を含む。明瞭さのため、硬化ステーション530に隣接して配置され得る排気吸入アレイ523が、図7から省略される。処理サブ領域524が、複数の硬化ステーション530の各々の間に配置される。
加熱された基板ペデスタル531は、硬化プロセス中に基板を支持し、幾つかの実施形態において、加熱するように構成される。シャワーヘッド532が、シャワーヘッドプレナム533に入るプロセスガス(すなわち、硬化ガス)及びパージガスの流れを、隣接する処理サブ領域524の中に均一に分配するように構成される。加えて、加熱された基板ペデスタル531及びシャワーヘッド532が、示されているように、シャワーヘッドプレナム533を形成するように構成される。シャワーヘッドプレナム533を通り、処理サブ領域524に入るガスは、ガスが流入する処理サブ領域524と異なる、隣接する処理サブ領域524に関連付けられた加熱された基板ペデスタル531によって加熱され得るということが、留意される。代替的に又は追加的に、シャワーヘッドプレナム533を通り、処理サブ領域524に入るガスは、ガスが通るシャワーヘッド532によって加熱され得る。
幾つかの実施形態において、シャワーヘッドプレナム533を通り、処理サブ領域524に入るプロセスガス及び/又はパージガスは、図7に示されるように、シャワーヘッドプレナム533に流体連結された環状プレナム534を最初に通り得る。環状プレナム534は、プロセスガス702がシャワーヘッドプレナム533を通って流れるときに、プロセスガス702に対して生成される流れ抵抗よりも大きな流れ抵抗(すなわち、圧力低下)をプロセスガス702に対して生成するような大きさの複数のオリフィス701とともに構成され得る。このように、環状プレナム534は、単一の吸入口又は少数の吸入口を介してプロセスガスパネルと連結され得るけれども、シャワーヘッドプレナム533内へのプロセスガス702の流れは、シャワーヘッド532の周囲でほぼ均一であろう。一般に、シャワーヘッドプレナム533内へのプロセスガス702の均一な流れは、シャワーヘッド532を通る処理サブ領域524内への均一な流れを促進する。プロセスガス702の均一な流れを更に促進するために、オリフィス701が、環状プレナム534の内周の周りに対称に分布され得る。
シャワーヘッドプレナム533内へのプロセスガス702の均一な流れを更に促進するオリフィス701の最大フリーエリアは、オリフィス701の数、シャワーヘッドプレナム533の寸法、シャワーヘッド532によって生成される流れ抵抗、及びプロセスガス702の概算の流量、等に基づいて、決定され得る。オリフィス701のそのような最大フリーエリアは、上記要因についての知識を有する当業者によって決定され得る。
バッチ硬化チャンバ500は、硬化ステーションヒータ535及び熱電対537を含んでもよく、それらは、共同で、複数の硬化ステーション530の各々に対する個別の閉ループ温度制御を可能にする。このようにして、バッチ硬化チャンバ500は、複数の硬化ステーション530間の温度ばらつきによって引き起こされる基板間ばらつきの危険なしに、複数の基板を処理することができる。硬化ステーションヒータ535の個別の温度制御がないと、バッチ硬化チャンバ500の一番上及び一番下の処理サブ領域524内で処理された基板は、通常、中央の処理サブ領域524内で処理された基板よりも低い温度に曝され、これは、硬化プロセスのウェハ間のバッチ処理結果に著しく影響を与え得る。
幾つかの実施形態において、熱電対537及び硬化ステーションヒータ535は、両方とも、図7に示されるように、加熱された基板ペデスタル531の中に配置される。そのような実施形態において、シャワーヘッド532及び環状プレナム534の壁は、伝導性及び放射性の熱伝達により加熱された基板ペデスタル531の温度に近い温度に加熱される。その結果、環状プレナム534、シャワーヘッドプレナム533、及びシャワーヘッド532を通るプロセスガスもまた、加熱された基板ペデスタル531の温度に近い温度に加熱される。熱電対537は、加熱された基板ペデスタル531及び、それ故に、処理サブ領域524の1つに入るプロセスガスの温度の閉ループ制御のための温度フィードバックを提供する。代替的に、熱電対537は、シャワーヘッド532と接触して、及び/又は処理サブ領域524の1つに入っているプロセスガスと接触して配置され得る。
上述したように、複数の排気吸入アレイ523が、複数の硬化ステーション530の各々に隣接して配置される。処理サブ領域524の1つの中の基板上で実行される幾つかの硬化プロセスにおいて、基板上に形成される誘電体膜からガス放出される揮発性成分が、SiO微粒子などの微粒子を形成し得る。これらの微粒子は、処理されている基板上にやって来て留まることがあり、これは非常に望ましくない。その結果、バッチ硬化チャンバ500内のパージガス及びプロセスガスの流れのパターンは、処理サブ領域524内にある基板上の粒子汚染に影響を与え得る。排気吸入アレイ523は、処理されている基板から、ガス放出された揮発性成分及び形成された場合の微粒子を引き離すように構成される。幾つかの実施形態において、図7及び図8A〜図8Cに示されるように、2つ以上の排気吸入アレイ523が、例えば対称的な配置で、各硬化ステーション530に隣接して配置される。
図8Aは、本開示の実施形態により構成された、排気吸入アレイ523の複数のグループの等角図である。図8Bは、図8Aに示された排気吸入アレイ523の複数のグループの平面図であり、図8Cは、図8Aに示された排気吸入アレイ523の複数のグループの側面図である。明瞭さのため、バッチ硬化チャンバ500の他のほとんどの要素が省略されている。示されているように、図8A〜図8Cに示された実施形態において、4つの排気吸入アレイ523のグループが、ある特定の硬化ステーション530に隣接するように配置され、合計で、4つの排気吸入アレイ523のグループが6つある。他の実施形態において、4つより多い又は少ない排気吸入アレイ523のグループが、単一の硬化ステーション530に隣接して配置され得る。
各排気吸入アレイ523は、排気プレナム802に流体連結されている複数の排気吸入口801を含み、排気プレナム802は排気吸入アレイ523の内部に配置されている。幾つかの実施形態において、各排気吸入アレイ523は、連結された排気吸入アレイ523を構造的に支持及び配置する支持部材810に機械的に連結される。図8A〜図8Cに示された実施形態において、バッチ硬化チャンバ500は、4つの分離した支持部材810を含み、他方、他の実施形態において、バッチ硬化チャンバ500は、合計で4つより多い又は少ない支持部材810を備え得る。加えて、各排気吸入アレイ523は、排気マニホールド(明瞭さのために図示せず)に流体連結され、排気マニホールドは、次に、バッチ硬化チャンバ500(図5に示される)のフォアライン514に流体連結される。幾つかの実施形態において、支持部材810のうちの1つ以上が、排気マニホールドとして構成されてもよい。
幾つかの実施形態において、排気吸入アレイ523のうちの一部又は全てが、流れバランスオリフィス811を含み得る。そのような実施形態において、各流れバランスオリフィス811は、対応する排気吸入アレイ523への流れを制限するように構成され、その結果、各排気吸入アレイ523を通るプロセスガス及び放出ガス成分の流れが、隣接する排気吸入アレイ523に対して均等化又はほぼ均等化される。幾つかの実施形態において、流れバランスオリフィス811は、固定されたオリフィスである。そのような実施形態において、各固定されたオリフィスの特定のサイズは、コンピュータシミュレーション、流れの可視化、試行錯誤法、又はそれらの任意の組合せを用いて、決定され得る。他の実施形態において、流れバランスオリフィス811の一部又は全てが、(ニードルバルブなどの)調整可能なオリフィスであり、それらは、製造時に、現場で、及び/又はバッチ硬化チャンバ500内の排気バランスの問題に応じて、設定することができる。
複数基板リフトアセンブリ540が、ローディング及びアンローディング中に大気ロボット104から個々の基板を取り除き、大気ロボット104に個々の基板を置くように構成される。加えて、複数基板リフトアセンブリ540は、バッチ硬化チャンバ500内での処理中に複数の基板を同時に配置するように構成される。例えば、幾つかの実施形態において、複数基板リフトアセンブリ540は、処理されている各基板を、処理位置及び予熱位置に同時に配置するように構成される。一般に、基板は、処理位置にある場合、シャワーヘッド532に近接して配置され、予熱位置にある場合、加熱された基板ペデスタル531上に配置される。
複数基板リフトアセンブリ540は、例えば3つ以上の、複数のリフトピンインデクサ541を含む。図5に示された実施形態において、複数基板リフトアセンブリ540は、3つのリフトピンインデクサ541を含むが、1つのみが見える。図9は、チャンバリッド511と複数基板リフトアセンブリ540の全3個のリフトピンインデクサ541の一部分の等角図である。明瞭にするために、チャンバ壁512及びチャンバフロア513は、図9から省かれている。3つのリフトピンインデクサ541の各々が、チャンバ本体510の中に部分的に配置され、リフト機構544(図5に示されているが、明瞭にするため図9から省かれている)に連結される。リフト機構544は、上記のように、ロード、アンロード、予熱、及び処理位置に基板を配置するのに適した任意の機械的アクチュエータであり得る。例えば、リフト機構は、空気圧アクチュエータ、ステッピングモータ、等を含み得る。
図10は、本開示の実施形態により構成されたリフトピンインデクサ541の断面図である。示されているように、リフトピンインデクサ541は、一般に、バッチ硬化チャンバ500内の処理サブ領域524の各々に対して1つのリフトピン542を含む。従って、図5、図9及び図10に示された例において、各リフトピンインデクサ541は、垂直シャフト543に連結された6つのリフトピン542を含む。3つのリフトピンインデクサ541は、6つの基板を処理位置に同時に配置することができ、又は6つの基板を予熱位置においてそれぞれの加熱された基板ペデスタル531上に同時に配置することができる。
幾つかの実施形態において、各リフトピン542は、基板からリフトピン542への処理中の熱伝達を減少及び/又は最小化するために、低接触の断熱接触面1001を備える。このようにして、基板上のいわゆる「コールドスポット」が、処理中に減少又は除去され、それにより、バッチ硬化チャンバ500内で硬化されている誘電体膜の均一性を改善する。幾つかの実施形態において、接触面1001は、円柱状要素1002で形成され、そのため、基板と接触面1001の間の接触面積は、線接触又は点接触に低減される。加えて、円柱状要素1002は、アルミニウム及びステンレス鋼などの、リフトピン542を形成するのに通常使われる材料よりも低い熱伝導率を有する材料から形成され得る。例えば、幾つかの実施形態において、円柱状要素1002は、サファイア(Al)から形成され得る。
要約すると、本開示の1つ以上の実施形態は、バッチ処理と通常関連付けられる基板間ばらつきを生ぜずに、複数の基板上に配置された誘電体材料を硬化させるシステム及び方法を提供する。具体的には、バッチ硬化チャンバは、各々が独立に温度制御される複数の処理サブ領域を含む。加えて、チャンバのローディング開口に取り付けられたスロットカバーが、ローディング及びアンローディング中にチャンバに入る外気の影響を大いに減少させる。
上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考え出すこともでき、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 基板の表面上に誘電体材料を形成するシステムであって、
    メインフレームと、
    少なくとも1つの大気ロボットを含み、1つ以上の基板カセットを受け取るように構成されるファクトリインターフェースと、
    前記メインフレームに連結され、前記ファクトリインターフェースの前記少なくとも1つの大気ロボットから1つ以上の基板を受け取るように構成されるロードロックチャンバと、
    各々が前記メインフレームに連結される複数の流動性CVD堆積チャンバと、
    前記ファクトリインターフェースに連結されたバッチ処理チャンバと
    を備え、前記バッチ処理チャンバは、
    前記少なくとも1つの大気ロボットから基板を受け取り、前記大気ロボットから受け取った前記基板に硬化プロセスを実施するように、各々が構成される複数のサブ処理領域と、
    前記バッチ処理チャンバの壁に形成されたローディング開口と、
    前記ローディング開口を覆って配置される1つのドアと、
    複数のスロット開口を含み、前記ローディング開口を覆って配置されるカバープレートと
    を備え、
    前記ドアは、前記複数のスロット開口の全てを覆って配置され、
    前記ドアは、前記ローディング開口を、前記複数のスロット開口を覆う密閉面で密閉するように構成され、
    前記複数のスロット開口の各々が、前記少なくとも1つの大気ロボットに、前記バッチ処理チャンバの外側の位置から前記複数のサブ処理領域の1つにアームを伸ばすことを可能にさせるように構成され、
    前記複数のスロット開口の各々が、前記ローディング開口が開いている場合、前記ローディング開口のフリーエリアを減少させるように構成される、
    システム。
  2. 前記複数のスロット開口の各々が、前記複数のサブ処理領域の1つに対応する、請求項1に記載のシステム。
  3. 前記複数のスロット開口の各々が、前記複数のサブ処理領域のうちの対応するサブ処理領域と整列している、請求項2に記載のシステム。
  4. 前記ローディング開口が、複数の硬化ステーション又は前記ファクトリインターフェースに対して前記ローディング開口を位置決めし直すことなく、前記複数の硬化ステーションの各々の中に基板がロードされることを可能にするように構成される、請求項1に記載のシステム。
  5. 前記複数の流動性CVD堆積チャンバが、CVDプロセスによって基板上に流動性誘電体層を堆積させるように、各々構成される、請求項1に記載のシステム。
  6. 前記複数のサブ処理領域が、スタックアレイで配列され、前記ローディング開口が、2次元で前記スタックアレイに広がるように構成される、請求項1に記載のシステム。
  7. 前記ファクトリインターフェースが、前記バッチ処理チャンバ内で処理される前に、前記バッチ処理チャンバの外側で基板を一時的に保管するように構成された少なくとも1つの大気保持ステーションを更に含む、請求項1に記載のシステム。
  8. 前記バッチ処理チャンバ内の前記複数のサブ処理領域の各々が、加熱された基板ペデスタルとシャワーヘッドの間に配置される、請求項1に記載のシステム。
  9. 前記シャワーヘッドが、前記サブ処理領域に配置された基板にプロセスガスを独立に供給するように構成される、請求項8に記載のシステム。
  10. 前記加熱された基板ペデスタルが、処理中に前記サブ処理領域に配置された基板を独立に加熱するように構成される、請求項8に記載のシステム。
  11. バッチ処理チャンバであって、
    大気ロボットから基板を受け取り、前記大気ロボットから受け取った前記基板に硬化プロセスを実施するように、各々が構成される複数のサブ処理領域と、
    バッチ処理チャンバの壁に形成されたローディング開口と、
    前記ローディング開口を覆って配置される1つのドアと、
    複数のスロット開口を含み、前記ローディング開口を覆って配置されたカバープレートと
    を備え、
    前記ドアは、前記複数のスロット開口の全てを覆って配置され、
    前記ドアは、前記ローディング開口を、前記複数のスロット開口を覆う密閉面で密閉するように構成され、
    前記スロット開口の各々が、少なくとも1つの大気ロボットに、前記バッチ処理チャンバの外側の位置から前記複数のサブ処理領域の1つにアームを伸ばすことを可能にさせるように構成され、
    前記複数のスロット開口の各々が、前記ローディング開口が開いている場合、前記ローディング開口のフリーエリアを減少させるように構成される、
    バッチ基板処理チャンバ。
  12. 前記複数のスロット開口の各々が、前記複数のサブ処理領域の1つに対応する、請求項11に記載のバッチ処理チャンバ。
  13. 前記複数のスロット開口の各々が、前記複数のサブ処理領域のうちの対応するサブ処理領域と整列している、請求項12に記載のバッチ処理チャンバ。
  14. 前記ローディング開口が、複数の硬化ステーションに対して前記ローディング開口を位置決めし直すことなく、前記複数の硬化ステーションの各々の中に基板がロードされることを可能にするように構成される、請求項11に記載のバッチ処理チャンバ。
  15. 前記バッチ処理チャンバ内の前記複数のサブ処理領域の各々が、加熱された基板ペデスタルとシャワーヘッドの間に配置される、請求項11に記載のバッチ処理チャンバ。
JP2016567489A 2014-05-14 2015-04-21 ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ Active JP6592012B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2019169941A JP6928043B2 (ja) 2014-05-14 2019-09-19 ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461996817P 2014-05-14 2014-05-14
US61/996,817 2014-05-14
US14/577,828 US10113236B2 (en) 2014-05-14 2014-12-19 Batch curing chamber with gas distribution and individual pumping
US14/577,828 2014-12-19
PCT/US2015/026937 WO2015175175A1 (en) 2014-05-14 2015-04-21 Batch curing chamber with gas distribution and individual pumping

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019169941A Division JP6928043B2 (ja) 2014-05-14 2019-09-19 ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ

Publications (3)

Publication Number Publication Date
JP2017522718A JP2017522718A (ja) 2017-08-10
JP2017522718A5 JP2017522718A5 (ja) 2018-06-07
JP6592012B2 true JP6592012B2 (ja) 2019-10-16

Family

ID=54480423

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016567489A Active JP6592012B2 (ja) 2014-05-14 2015-04-21 ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ
JP2019169941A Active JP6928043B2 (ja) 2014-05-14 2019-09-19 ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019169941A Active JP6928043B2 (ja) 2014-05-14 2019-09-19 ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ

Country Status (5)

Country Link
US (3) US10113236B2 (ja)
JP (2) JP6592012B2 (ja)
KR (3) KR102500194B1 (ja)
CN (3) CN117198935A (ja)
WO (1) WO2015175175A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
CN110546744B (zh) * 2017-04-17 2023-10-20 东京毅力科创株式会社 绝缘膜的成膜方法、绝缘膜的成膜装置及基板处理系统
JP7168586B2 (ja) * 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
WO2019036157A1 (en) * 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US11101163B2 (en) * 2018-01-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated robotic arm sensing
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
JP7333762B2 (ja) * 2020-02-05 2023-08-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7156332B2 (ja) * 2020-05-21 2022-10-19 株式会社安川電機 搬送装置、搬送方法および搬送システム
US20240112931A1 (en) * 2022-10-03 2024-04-04 Applied Materials, Inc. Cassette structures and related methods for batch processing in epitaxial deposition operations
US20240120220A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Load lock chambers and related methods and structures for batch cooling or heating

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
JPH07297194A (ja) * 1994-04-25 1995-11-10 Sony Corp マルチチャンバー装置及び半導体装置の製造方法
JP3421483B2 (ja) * 1995-08-25 2003-06-30 株式会社東芝 半導体装置の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
KR100491161B1 (ko) * 2002-11-26 2005-05-24 주식회사 테라세미콘 반도체 제조장치
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
JP4319434B2 (ja) * 2003-03-11 2009-08-26 東京エレクトロン株式会社 ゲートバルブ及び真空容器
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20070084408A1 (en) 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070209593A1 (en) * 2006-03-07 2007-09-13 Ravinder Aggarwal Semiconductor wafer cooling device
WO2008004278A1 (fr) * 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Procédé et dispositif de concentration / dilution de gaz spécifique
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
JP2010047818A (ja) * 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
KR101458195B1 (ko) 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
KR101223489B1 (ko) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 기판 가공 장치
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
WO2012099064A1 (ja) * 2011-01-18 2012-07-26 株式会社日立国際電気 基板処理装置、基板支持具及び半導体装置の製造方法
CN103493185A (zh) * 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
KR20140070590A (ko) * 2011-10-11 2014-06-10 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
WO2013173999A1 (en) * 2012-05-24 2013-11-28 Acm Research (Shanghai) Inc. Loadlock chamber and method for treating substrates using the same
JP5977274B2 (ja) * 2013-03-21 2016-08-24 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具
US20150044619A1 (en) * 2013-08-07 2015-02-12 International Business Machines Corporation Carrier for Ultra-Thin Substrates and Method of Use

Also Published As

Publication number Publication date
CN106463362A (zh) 2017-02-22
JP2017522718A (ja) 2017-08-10
KR20230025035A (ko) 2023-02-21
KR20170007411A (ko) 2017-01-18
CN106463362B (zh) 2019-11-26
WO2015175175A1 (en) 2015-11-19
CN110828346B (zh) 2023-10-03
US20190048470A1 (en) 2019-02-14
CN117198935A (zh) 2023-12-08
US10113236B2 (en) 2018-10-30
US11408075B2 (en) 2022-08-09
KR102407734B1 (ko) 2022-06-10
US20150329970A1 (en) 2015-11-19
KR102588544B1 (ko) 2023-10-11
CN110828346A (zh) 2020-02-21
KR102500194B1 (ko) 2023-02-14
JP2020036015A (ja) 2020-03-05
US20220341042A1 (en) 2022-10-27
JP6928043B2 (ja) 2021-09-01
KR20220104184A (ko) 2022-07-26

Similar Documents

Publication Publication Date Title
US20220341042A1 (en) Batch curing chamber with gas distribution and individual pumping
US10170298B2 (en) High temperature silicon oxide atomic layer deposition technology
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102443554B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
TWI649803B (zh) 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
KR20200104923A (ko) 실리콘 질화물 박막들을 위한 처리 방법들
US20130217241A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US20130217243A1 (en) Doping of dielectric layers
WO2012093983A2 (en) Remote plasma source seasoning
US20190214228A1 (en) Radical assisted cure of dielectric films
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
TWI813375B (zh) 具有氣體分佈及個別泵送的批次固化腔室
TWI837045B (zh) 具有氣體分佈及個別泵送的批次固化腔室

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180420

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190409

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190801

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190820

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190919

R150 Certificate of patent or registration of utility model

Ref document number: 6592012

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250