CN108140549B - 缩减空间的处理腔室 - Google Patents

缩减空间的处理腔室 Download PDF

Info

Publication number
CN108140549B
CN108140549B CN201680058294.0A CN201680058294A CN108140549B CN 108140549 B CN108140549 B CN 108140549B CN 201680058294 A CN201680058294 A CN 201680058294A CN 108140549 B CN108140549 B CN 108140549B
Authority
CN
China
Prior art keywords
chamber body
door
substrate support
substrate
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680058294.0A
Other languages
English (en)
Other versions
CN108140549A (zh
Inventor
罗曼·古科
陈翰文
史蒂文·韦尔韦贝克
简·德尔马斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202211571621.6A priority Critical patent/CN116206947A/zh
Publication of CN108140549A publication Critical patent/CN108140549A/zh
Application granted granted Critical
Publication of CN108140549B publication Critical patent/CN108140549B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids

Abstract

在此描述的实施方式一般涉及具有缩减空间的处理腔室,以执行超临界干燥处理或其他相变处理。该腔室包含可移动地设置于第一轨道上的基板支撑件和可移动地设置于第二轨道上的门。基板支撑件和门可经配置以相互独立地移动,且该腔室可经配置以最小化腔室内基板的垂直移动。

Description

缩减空间的处理腔室
技术领域
本公开内容的实施方式一般涉及超临界干燥设备。更特定地,在此描述的实施方式涉及缩减空间的处理腔室。
背景技术
在半导体装置的清洁中,通常需要自基板表面的移除液体和固体污染物,因而留下干净的表面。湿法清洁工艺一般涉及清洁液体的使用,例如水清洁溶液。在湿法清洁基板之后,通常需要在清洁腔室中自基板的表面移除清洁液体。
目前多数的湿法清洁技术使用液体喷洒或浸没步骤以清洁基板。在应用清洁液体之后干燥具有高的深宽比特征或具有空隙或孔的低k材料的基板是非常具挑战性的。清洁液体的毛细力通常造成这些结构中的材料变形而可产生所不期望的静摩擦,除了所使用的清洁溶液在基板上所留下的残留物以外可损坏半导体基板。在后续的基板干燥期间,前述缺点对具有高的深宽比的半导体装置结构特别明显。线静摩擦或线崩溃是由侧壁的弯曲造成的,而形成高的深宽比的沟槽或过孔朝向彼此,这是归因于在湿法清洁工艺期间跨过液体-空气界面的毛细压力覆于陷在沟槽或过孔中的液体。具有窄的线宽和高的深宽比的特征特别易受液体-空气和液体-壁界面之间产生的表面张力中的差异的影响(归因于毛细压力,有时也称为毛细力)。由于快速的装置尺寸的进展,目前可行的干燥实践防止线静摩擦方面面临急剧升高的挑战。
结果,本领域中存在有针对改良的设备以执行超临界干燥工艺的需求。
发明内容
在一个实施方式中,提供一种基板处理设备。该设备包含:处理腔室主体,该处理腔室主体具有界定处理空间的衬垫和在该腔室主体中形成的绝缘元件。门可可滑动地耦合至第一轨道且该门可经配置以相对于该腔室主体移动。基板支撑件可可滑动地耦合至第二轨道且该基板可经配置以独立于该门而移动。
在另一实施方式中,提供一种基板处理设备。该设备包含:腔室主体,该腔室主体具有开口,在该腔室主体中形成该开口,且该开口提供进入和自处理空间离开,由该腔室主体的衬垫来界定该处理空间。挡板可设置于该处理空间内,且该挡板可耦合至致动器,该致动器经配置以在该处理空间内移动该挡板。门可可滑动地耦合至第一轨道,且该门可经配置以在开启位置和关闭位置之间平移。基板支撑件可可滑动地耦合至第二轨道,且该基板支撑件可经配置以在该处理空间外部的第一位置和该处理空间内部的第二位置之间平移。该基板支撑件也可经配置以独立于该门而移动。
而在另一实施方式中,提供一种基板处理方法。该方法包含以下步骤:以相对于腔室主体的开启定向来放置门,及以相对于该腔室主体的开启定向来放置基板支撑件。可在该基板支撑件上放置基板,且可放置挡板覆于设置于该基板支撑件上的该基板。可滑动该基板支撑件进入该腔室主体,且可滑动该门以邻接该腔室主体。可独立执行该滑动该基板支撑件的步骤和该滑动该门的步骤。
附图说明
于是可以详细理解本公开内容上述特征中的方式,可通过参考实施方式而具有本公开内容更特定描述(简短总结如上),其中一些图示于所附附图中。然而,注意所附附图仅图示示范的实施方式,因此不考虑限制其范围,可允许其他等效实施方式。
图1图示根据在此描述的实施方式的形成于半导体基板上的特征之间所产生的静摩擦的影响。
图2A图示根据在此描述的一个实施方式的处理设备的平面视图。
图2B图示根据在此描述的一个实施方式的处理设备的平面视图。
图3示意地图示根据在此描述的一个实施方式的缩减空间的处理腔室的横截面视图。
图4图示根据在此描述的一个实施方式的缩减空间的处理腔室的透视视图。
为了便于理解,尽可能使用相同附图标记,以标示附图中常见的相同元件。思量一个实施方式的元件和特征可有利地并入其他实施方式中,而无须进一步叙述。
具体实施方式
在以下描述中,为了说明的目的,提出众多特定细节以便提供于此提供的实施方式的通盘理解。然而,对本领域技术人员而言,明显可实作本公开内容而无须特定细节。在其他范例中,并未描述特定设备结构,而得以不混淆所描述的实施方式。以下描述和附图为图示的实施方式,而不应理解为公开内容的限制。
图1为示意的横截面视图,图标半导体装置100的部分,其中半导体装置100内的两个特征之间发生线静摩擦。如所示,在基板的表面上形成高的深宽比的装置结构。在处理期间,装置结构102应该保持于垂直定向,且壁106不应该跨过开口104及接触装置结构102的相邻壁106。在使用湿法化学剂清洁之后,当干燥半导体装置100时,装置结构102的壁106经受毛细力(归因于设置于开口104内的清洁液体所产生的空气-液体界面),而造成相邻装置结构102的壁106弯曲朝向彼此且互相接触。线静摩擦是由于相邻装置结构102的壁106之间的接触造成的,最终造成开口104的关闭。线静摩擦一般为所不期望的,因为它防止后续基板处理步骤(例如进一步的沉积步骤)期间进出开口104。
为了防止线静摩擦,可在湿法清洁腔室中将基板暴露于水清洁溶液,例如去离子化水或清洁化学。该基板包含具有电子装置设置或形成于其上的半导体基板。在执行湿法清洁处理之后,在湿法清洁腔室中的基板上使用水清洁溶液移除留在基板上的残留物。在一些配置中,湿法清洁腔室可为单一芯片清洁腔室和/或水平旋转腔室。此外,湿法清洁腔室可具有兆频超声波(megasonic)板,兆频超声波板适用以产生引导至基板的非装置侧面上的声能。
在湿法清洁腔基板之后,可将基板传输至溶剂交换腔室,以置换使用于湿法清洁腔室中的任何先前使用的水清洁溶液。接着可将基板传输至用于要在基板上执行的进一步清洁和干燥步骤的超临界流体腔室。在一个实施方式中,干燥基板可涉及超临界流体至基板的表面的输送。当经受某些在超临界处理腔室中达到或维持的压力和温度配置时,可选择干燥气体以转换进入超临界状态。该干燥气体的一个范例包含二氧化碳(CO2)。因为CO2为超临界气体,它不具有表面张力,它的表面张力相似于气体,但具有相似于液体的密度。超临界CO2具有在约73.0 atm的压力和约31.1摄氏度的温度的临界点。超临界流体(例如CO2)的一个独特性质为:在任何高于超临界压力的压力和高于临界点的温度下(例如,针对CO2为31.1摄氏度和73 atm)不会发生凝结。处理环境(例如,处理腔室)的临界温度和临界压力参数影响CO2干燥气体的超临界状态。
由于超临界流体的独特性质,超临界流体可实质穿过基板中所有孔或空隙并移除任何可出现在开口104中的残留液体或颗粒。在一个实施方式中,在超临界处理进行了所需周期时间以移除颗粒和残留物之后,腔室的压力以近乎常数的温度减少,允许超临界流体在开口104内直接转换至气相。典型地在超临界流体处理之前于开口104中出现的液体可为来自溶剂交换腔室的置换溶剂。典型地于开口104中出现的颗粒可为任何固体颗粒物,例如有机种类(例如,碳)、无机种类(例如,硅)和/或金属。可由超临界流体干燥的开口104的范例包含介电层中的空隙或孔、低k介电材料中的空隙或孔、和可困住清洁流体和颗粒的基板中的其他类型的间隙。另外,超临界干燥可防止线静摩擦在相变期间绕过液体状态及消除装置结构102的壁106之间所产生的毛细力(归因于超临界流体(例如超临界CO2)可忽略的表面张力)。
接着可将基板自超临界流体腔室传输至后处理腔室。后处理腔室可为等离子体处理腔室,其中可移除可出现在基板上的污染物。后处理基板也可进一步释放出现在装置结构中的任何线静摩擦。于此描述的工艺针对具有高的深宽比的清洁装置结构为有用的,例如约10:1或更高、20:1或更高、或30:1或更高的深宽比。在某些实施方式中,在此描述的处理针对清洁3D/垂直NAND闪存装置结构为有用的。
图2A图示根据本公开内容的一个实施方式的基板处理设备,该基板处理设备经适用以执行上述的一个或更多个操作。在一个实施方式中,处理设备200包括湿法清洁腔室201、溶剂交换腔室202、超临界流体腔室203、后处理腔室204、传输腔室206、和湿法机械手臂208。处理基板可包含(但不限于)形成以金属线内部连接的电子装置,例如晶体管、电容、或电阻,所述金属线由基板上的层间电介质绝缘。这些工艺可包含清洁基板、清洁形成于基板上的薄膜、干燥基板、和干燥形成于基板上的薄膜。在另一实施方式中,处理设备200包含检查腔室205,检查腔室205可包含工具(未示出)以检查在处理设备200中所处理的基板。
在一个实施方式中,基板处理设备200为群集工具,包括数个基板处理腔室,例如湿法清洁腔室201、溶剂交换腔室202、超临界流体腔室203、后处理腔室204、和传输腔室206。可绕着湿法机械手臂208放置腔室201、202、203、204,湿法机械手臂208可设置于传输腔室206中。湿法机械手臂208包括马达、基底、手臂、和终端受动器209,经配置以在腔室之间传输基板。可选地,湿法机械手臂208可具有多个手臂及多个终端受动器以增加处理设备200的产量。在一个实施方式中,湿法机械手臂208在上述腔室之间传输基板。在另一实施方式中,湿法机械手臂208的终端受动器的至少一者为专用干终端受动器(例如,适用以处理干的晶片),且湿法机械手臂208的终端受动器的至少一者为专用湿终端受动器(例如,适用以处理湿的晶片)。可使用专用干终端受动器以在超临界流体腔室203和后处理腔室204之间传输基板。
处理设备200还包括设置于工厂接口218中的干机器手臂216,工厂接口218可耦合至处理设备200和多个基板盒212和214,所述基板盒的每一者维持要清洁或干燥的(或已清洁或干燥的)多个基板。干机器手臂216可经配置以在盒212和214和湿法清洁腔室201和后处理腔室204之间传输基板。在另一实施方式中,干机器手臂216可经配置以在超临界流体腔室203和后处理腔室204之间传输基板。可放置处理设备200内的处理腔室于容纳基板传输腔室206的水平平台。在另一实施方式中,平台的部分可定向于水平定向以外的位置。
在替代的实施方式中,如图2B中所示,处理设备200A可为线性设备,包括数个基板处理腔室,例如湿法清洁腔室201、溶剂交换腔室202、超临界流体腔室203、后处理腔室204、和传输腔室206。例如,处理设备200A可为来自加州圣克拉拉市的的应用材料公司(AppliedMaterials)所提供的Raider® GT,然而,思量可适用来自其他制造商的其他处理设备以执行于此描述的实施方式。
可绕着机械手臂208A放置腔室201、202、203、204,机械手臂208A可设置于传输腔室206中。机械手臂208A包括马达、基底、手臂、和终端受动器209A和209B,经配置以在腔室之间传输基板。机械手臂208A可具有多个手臂和多个终端受动器以增加处理设备200A的产量。在一个实施方式中,机械手臂208A(具有专用湿终端受动器209A)在上述腔室之间传输基板。处理设备200A还可包括工厂接口218,工厂接口218可耦合至处理设备200和多个基板盒212和214,所述基板盒的每一者维持要清洁或干燥的(或已清洁或干燥的)多个基板。机器手臂208A具有专用干终端受动器209B以在盒212和214和湿法清洁腔室201和后处理腔室204之间传输基板。在一个实施方式中,专用干终端受动器209B可经配置以在超临界流体腔室203和后处理腔室204之间传输基板。可放置处理设备200A内的腔室于容纳基板传输腔室206的水平平台。在另一实施方式中,平台的部分可定向于水平定向以外的位置。
在处理设备200A的一些配置中,机械手臂208A可沿着线性轨道220前进。可在线性轨道220的一或两侧上依序安置腔室。为了执行湿基板传输,可自基板移除过多的液体(例如通过旋转基板),同时仍位于腔室中,所以在机械手臂208A传输基板之前仅有薄的湿层保留于基板表面上。在机械手臂208A上提供两个或更多个终端受动器的实施方式中,至少一者可专用于湿基板传输且另一者可专用于干基板传输。可在用于高容积生产的可扩充线性配置中安装更多腔室。
在先前实施方式中所提的配置大量地减低每个腔室的设计复杂度,使得敏感的处理步骤之间的排队时间控制成为可能,并且优化使用可调整腔室模块计数的连续生产中的产量,以均衡每个处理操作的工艺持续时间。
图3示意地图示根据在此描述的一个实施方式的缩减空间的处理腔室300的横截面视图。在某些实施方式中,腔室300可实现为关于图2A和图2B描述的腔室203。一般而言,腔室300经配置以承受适于产生和/或维持腔室300中的超临界流体的加压。腔室300也可优势地在适于执行相变的温度范围内循环。
腔室300包含主体302、衬垫318、和绝缘元件316。主体302和衬垫318一般界定处理空间312。主体302可经配置以承受适于产生处理空间312内的超临界流体的压力。例如,主体可适于承受约100 bar或更多的压力。适用于主体302的材料包含不锈钢、铝、或其他高强度金属材料。衬垫318也可由相似于主体302的材料形成。在一个实施方式中,衬垫318和主体302可为单一材料。在另一实施方式中,衬垫318和主体302可为分开而耦合在一起的设备。
衬垫318在相邻于处理空间312的区域处可具有约2 mm与约5 mm之间的厚度344,例如约3 mm。包括衬垫318的相对最小量的材料(相较于主体302)造成衬垫318具有相对于主体302的热质量的小热质量。据此,因为处理空间312的温度主要受衬垫318而非主体302影响,所以可以更有效方式实现处理空间312内的温度改变。在一个实施方式中,处理空间312内的处理环境可在小于约5分钟(例如小于约1分钟)的时间量中于约20度摄氏与约50摄氏度之间循环。在一个实施方式中,处理空间312可在约30秒中于约20摄氏度与约50摄氏度之间循环。
绝缘元件316一般设置于主体302内相邻于衬垫318。在图标的实施方式中,绝缘元件316可为多个设备。绝缘元件316一般可沿着处理空间312的长轴延伸,以通过将衬垫318与主体302绝缘来进一步减低衬垫318的热质量。绝缘元件316可由一材料形成,该材料适于使用在高压环境,并且具有相似于使用于主体302和衬垫318的材料的热膨胀系数的热膨胀系数。在一个实施方式中,绝缘元件316可为陶瓷材料。陶瓷材料的多种范例包含氧化铝、氮化铝、碳化硅,和类似物。绝缘元件316的厚度346可为约0.1英寸与约1.0英寸之间,例如约0.5英寸。
处理空间312具有低于约2升的容积,例如约1升。衬垫318之间跨越处理空间312的距离348可低于约5厘米,例如低于约2厘米,例如,约1厘米。在多种实施方式中,取决于处理空间312中的条件,处理空间312可以多种液体、气体、和/或超临界流体来填充。在一个实施方式中,处理空间312可耦合至一个或更多个溶剂源320、332、336。第一溶剂源320可经由第一管道322穿过主体302的顶部耦合至处理空间312。第二溶剂源332可经由第二管道334穿过主体302的侧壁耦合至处理空间312。第三溶剂源336可经由第三管道338穿过主体302的底部耦合至处理空间312。取决于所需溶剂导入特性,溶剂源320、332、336可经配置以自多种入口端提供溶剂至处理空间。
可自溶剂源320、332、336供应至处理空间312的适合溶剂包含:丙酮、异丙醇、乙醇、甲醇、N-甲基2-吡咯烷酮(N-Methyl-2-pyrrolidone)、N-甲基甲酰胺、1,3-二甲基-2-咪唑烷酮(1,3-Dimethyl-2- imidazolidinone)、二甲基乙酰胺、和二甲基亚砜等等。一般而言,可选择溶剂使得溶剂与液体CO2混溶。
第一流体源324可经由第四管道326穿过主体302的顶部耦合至处理空间312。第一流体源324一般经配置以提供液体或超临界流体至处理空间312。在一个实施方式中,第一流体源324可经配置以输送超临界CO2。在另一个实施方式中,流体源324可经配置以输送超临界CO2至处理空间312。在此实施方式中,加热设备和加压设备可耦合至第四管道326以便于进入处理空间312之前的液体CO2至超临界CO2的相变。第二流体源356可与第一流体源324相似地配置。然而,第二流体源356可经由第五管道358穿过主体302的底部耦合至处理空间。取决于所需处理特性,可自顶部向下(第一流体源324)或底部向上(第二流体源356)的方式选择液体CO2和/或超临界CO2的输送。
在操作中,可至少部分地通过提供至处理空间312的CO2的温度控制处理空间312的温度。此外,可提供一剂量的液体CO2和/或超临界CO2至处理空间312,使得整体处理空间在约1次与约5次之间交换,例如约3次。相信重复的处理空间周转可便于后续超临界干燥操作期间形成和/或输送超临界CO2至处理空间312之前溶剂与CO2的混合。为了便于来自处理空间312的流体和气体的周转和移除,处理空间312可经由第六管道342耦合至流体出口340。
腔室300还包含基板支撑件306和挡板310,基板支撑件306可耦合至门304,挡板310可可移动地设置于处理空间312内。在一个实施方式中,基板支撑件306和门304可为单一设备。在另一实施方式中,基板支撑件306可可移除地耦合至门304且可独立于门304移动。门304和基板支撑件306可由多种材料形成,包含不锈钢、铝、陶瓷材料、聚合材料、或它们的组合。基板支撑件306也可具有设置于基板支撑件306中的加热元件354。在一个实施方式中,加热元件354可为电阻性加热器。在另一实施方式中,加热元件354可为在基板支撑件306中形成的流体填充通道。加热元件354可经配置以加热处理空间312,以便于在处理空间312中形成或维持超临界流体。
在操作中,基板支撑件306可经由在主体302中形成的开口进入处理空间312,且门304可经配置以在放置基板支撑件306于处理空间312内时邻接主体302。在一个实施方式中,基板支撑件306经配置以侧向移动。结果,可最小化距离348,因为处理空间312内的基板支撑件306的垂直移动为非必要的。密封件352(例如O形环,或类似物)可耦合至主体302,且可自弹性材料形成密封件352,例如,聚合材料。一般而言,在处理期间,门304可经由耦合设备(未示出)固定至主体302(例如螺栓,或类似物),以足够的力量来承受适于在处理空间312中形成或维持超临界流体的高压环境。
挡板310可由多种材料形成,包含不锈钢、铝、陶瓷材料、石英材料、含硅材料、或其他合适配置的材料。挡板310可耦合至致动器330,致动器330经配置以移动挡板310朝向及远离基板支撑件306。致动器330可耦合至功率源328(例如电功率源)以便于处理空间312内挡板310的移动。
在处理期间可放置基板308于基板支撑件306上。在一个实施方式中,可放置基板308的装置侧314相邻于基板支撑件306,使得装置侧314背向挡板310。在操作中,当基板308放置于处理空间312内时,挡板310可位于升高位置。可在处理期间经由致动器330降低挡板310至接近基板308的处理位置。在处理之后,可升高挡板310且基板支撑件306可经由主体302中的开口350自处理空间312移除基板308。相信通过放置挡板310接近基板308和基板支撑件306,可在溶剂和/或液体/超临界CO2导入处理空间312期间减低或消除基板308的装置侧314上的颗粒沉积。
图4图示根据在此描述的实施方式的腔室300的透视视图。在图示的实施方式中,门304设置于与主体302分隔开的位置。在此位置中,基板支撑件306可自机械手臂(例如,机械手臂208A)接收基板。基板(未示出)可放置于支撑平板430上,支撑平板430经配置以支撑基板的装置侧。在操作中,一旦基板已放置于基板支撑件306的支撑平板430上,门304和/或基板支撑件306可朝向主体302侧向平移。如上述,在某些实施方式中,基板支撑件306可独立于门304而移动。基板支撑件306可移动穿过开口350,且门304可移动至一位置以与主体302的侧壁432接触。在一个实施方式中,密封件352可耦合至基板支撑件306或耦合至在靠近位置处邻接侧壁432的门304的表面。尽管未示出,密封352也可耦合至侧壁432。
门304一般包含第一部分416和第二部分418。第一部分416可经配置以在靠近位置处邻接和接触侧壁432。第二部分418可在垂直于第一部分416的方向上自第一部分416延伸。第二部分418之间的距离可大于侧壁432的宽度,使得在门304在靠近位置处时设置第二部分418邻近于侧壁434。一个或更多个耦合元件420(例如螺栓等)可自每个第二部分418延伸。耦合元件420可经配置以与耦合主体422交界,耦合主体422设置于主体302上。在一个实施方式中,耦合主体422为主体302的延伸,使得主体302和耦合主体422为单一设备。在另一实施方式中,耦合主体422可为耦合至主体302的分离设备。
耦合主体422可包含一个或更多个孔洞424,在耦合主体422中形成孔洞424,孔洞424经调整尺寸以容纳耦合元件420的插入而进入孔洞424。在一个实施方式中,孔洞424可自耦合主体422的第一表面436经由耦合主体422延伸至耦合主体422的第二表面438。一个或更多个紧固器426(例如螺帽或类似物)可耦合至第二表面438。在一个实施方式中,紧固器426可耦合至致动器428,致动器428经配置以在耦合元件420设置于孔洞424内时固定紧固器426至耦合元件420。耦合元件420和紧固器426可自相似于使用以制造主体302和门304的材料形成。一般而言,耦合元件420、耦合主体422和紧固器426形成压力闭合以促使门304以足够的力抵着主体302,以维持处理空间312内升高的压力,例如约100 bar或更多。
基板支撑件306可耦合至支架410,支架410可滑动地设置于第一轨道406上。在一个实施方式中,支架410可包含经配置以沿着第一轨道406的长度侧向移动的多种平移元件(未示出),例如球轴承或类似物。在另一实施方式中,平移元件可耦合至第一轨道406,且支架410可经配置以可滑动地与第一轨道406交界。第一轨道406可使基板支撑件306更独立于门304成为可能。如此,马达414可耦合至支架410,且马达414可沿着第一轨道406协调支架410的移动。
门304可耦合至设置于一个或更多个第二轨道408上的一个或更多个滑动器组件412。在一个实施方式中,可设置两个第二轨道408相邻于第一轨道406。一般而言,第一轨道406和第二轨道408可耦合至第一平台402。相似于支架410,滑动器组件412可包含经配置以沿着第二轨道408的长度侧向移动的平移元件(未示出),例如球轴承或类似物。在另一实施方式中,平移元件可耦合至第二轨道408,且滑动器组件412可经配置以可滑动地与第二轨道408交界。马达414也可耦合至滑动器组件412,且马达可沿着第二轨道408协调滑动器组件412的移动。如所论述,马达414可分别经由支架410和滑动器组件412协调基板支撑件306和门304的移动。在另一实施方式中,马达414可耦合至滑动器组件412,且另一马达(未示出)可耦合至支架410。
主体302可设置于第二平台404上,且可设置第二平台404相邻于第一平台402。在一个实施方式中,第二平台404可将主体302定向于一位置,该位置自第一平台402的顶部表面升高。然而,无视于主体302在第二平台404上的定向和门304/基板支撑件306在第一平台402上的定向,基板支撑件306和门304经配置以在单一平面中移动。据此,在基板支撑件306设置于主体302内时可避免沿着Z轴的平移。结果,可缩减处理空间312,因为在处理空间312中沿着Z轴放置基板为不必要的。
通过缩减处理空间312的空间,可在执行相变处理时改良腔室300的温度循环效率。此外,可通过在基板进入主体302之前放置基板于耦合至基板支撑件306的支撑平板430上,来避免处理空间312内的基板放置的设计复杂度。另外,相互独立地移动门304和基板支撑件306的能力可通过以有效方式协调移动来提供改良的生产率。更进一步地,处理期间减低使用的流体量的结果,使缩减的处理空间的使用可减低相关联于执行超临界干燥处理的成本成为可能。
前述是本公开内容的实施方式,可修改本公开内容的其他及进一步的实施方式而不远离其基本范围,且该范围由所附权利要求书所决定。

Claims (14)

1.一种基板处理设备,包括:
处理腔室主体,所述处理腔室主体具有衬垫和在所述腔室主体中形成的绝缘元件,其中所述衬垫界定处理空间;
门,所述门可滑动地耦合至所述腔室主体,所述门经配置以相对于所述腔室主体移动;
基板支撑件,所述基板支撑件可滑动地耦合至所述腔室主体,其中所述基板支撑件经配置以移动进入和离开所述处理空间,且其中所述基板支撑件经配置以独立于所述门而移动;和
挡板,所述挡板设置于所述处理空间内,且耦合至致动器以在所述处理空间内移动所述挡板,
其中所述致动器经配置以移动所述挡板朝向及远离所述基板支撑件,
其中所述衬垫具有2mm至5mm的厚度,
其中所述基板支撑件耦合至第一轨道,并且所述基板支撑件在单一平面中侧向进入和离开所述腔室主体,并且
其中所述门耦合至一个或更多个第二轨道,并且所述门在单一平面中朝向所述腔室主体侧向移动。
2.如权利要求1所述的设备,其中所述处理空间的尺寸小于2L。
3.如权利要求1所述的设备,其中所述门邻接所述腔室主体或设置于与所述腔室主体分隔开的位置。
4.一种基板处理设备,包括:
腔室主体,所述腔室主体具有开口,在所述腔室主体中形成所述开口以进入和自处理空间离开,由所述腔室主体的衬垫来界定所述处理空间;
挡板,所述挡板设置于所述处理空间内,且耦合至致动器以在所述处理空间内移动所述挡板;
门,所述门可滑动地耦合至所述腔室主体,其中所述门经配置以在开启位置和关闭位置之间平移;和
基板支撑件,所述基板支撑件可滑动地耦合至所述腔室主体,其中所述基板支撑件经配置以独立于所述门而在所述处理空间外部的第一位置和所述处理空间内部的第二位置之间平移,
其中所述致动器经配置以移动所述挡板朝向及远离所述基板支撑件,
其中所述衬垫具有2mm至5mm的厚度,
其中所述基板支撑件耦合至第一轨道,并且所述基板支撑件在单一平面中侧向进入和离开所述腔室主体,并且
其中所述门耦合至一个或更多个第二轨道,并且所述门在单一平面中朝向所述腔室主体侧向移动。
5.如权利要求4所述的设备,其中所述腔室主体包括绝缘元件,所述绝缘元件具有0.1英寸与1.0英寸之间的厚度。
6.如权利要求5所述的设备,其中所述绝缘元件为陶瓷。
7.如权利要求4所述的设备,其中所述处理空间的尺寸小于2L。
8.如权利要求4所述的设备,进一步包括压力闭合以促使所述门抵着所述腔室主体。
9.一种基板处理方法,包括以下步骤:
以相对于腔室主体的开启定向来放置门;
以相对于所述腔室主体的开启定向来放置基板支撑件;
在所述基板支撑件上放置基板;
放置挡板覆于设置于所述基板支撑件上的所述基板;
通过第一轨道滑动所述基板支撑件进入所述腔室主体;和
通过一个或更多个第二轨道滑动所述门以邻接所述腔室主体,其中所述滑动所述基板支撑件的步骤和所述滑动所述门的步骤为独立执行的,
其中所述挡板耦合至致动器,所述致动器经配置以移动所述挡板朝向及远离所述基板支撑件,
其中所述腔室主体具有衬垫,所述衬垫具有2mm至5mm的厚度,并且
其中所述基板支撑件和所述门在单一平面中朝向所述腔室主体侧向移动。
10.如权利要求9所述的方法,进一步包括以下步骤:促使所述门抵着所述腔室主体,以提供对抗升高的压力的闭合。
11.如权利要求10所述的方法,其中促使所述门抵着所述腔室主体的步骤包括以下步骤:操作耦合至所述腔室主体的压力闭合。
12.如权利要求11所述的方法,其中操作耦合至所述腔室主体的所述压力闭合的步骤包括以下步骤:操作耦合至所述压力闭合的致动器。
13.如权利要求1所述的设备,其中所述绝缘元件具有0.1英寸与1.0英寸之间的厚度。
14.如权利要求13所述的设备,其中所述绝缘元件为陶瓷。
CN201680058294.0A 2015-10-04 2016-09-13 缩减空间的处理腔室 Active CN108140549B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211571621.6A CN116206947A (zh) 2015-10-04 2016-09-13 缩减空间的处理腔室

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562236914P 2015-10-04 2015-10-04
US62/236,914 2015-10-04
PCT/US2016/051520 WO2017062136A1 (en) 2015-10-04 2016-09-13 Reduced volume processing chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202211571621.6A Division CN116206947A (zh) 2015-10-04 2016-09-13 缩减空间的处理腔室

Publications (2)

Publication Number Publication Date
CN108140549A CN108140549A (zh) 2018-06-08
CN108140549B true CN108140549B (zh) 2022-12-20

Family

ID=58448025

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202211571621.6A Pending CN116206947A (zh) 2015-10-04 2016-09-13 缩减空间的处理腔室
CN201680058294.0A Active CN108140549B (zh) 2015-10-04 2016-09-13 缩减空间的处理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202211571621.6A Pending CN116206947A (zh) 2015-10-04 2016-09-13 缩减空间的处理腔室

Country Status (6)

Country Link
US (2) US20170098537A1 (zh)
JP (1) JP6703100B2 (zh)
KR (1) KR102055712B1 (zh)
CN (2) CN116206947A (zh)
TW (2) TWI732306B (zh)
WO (1) WO2017062136A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115527897A (zh) * 2015-10-04 2022-12-27 应用材料公司 小热质量的加压腔室
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
KR102391244B1 (ko) * 2020-06-05 2022-04-28 주식회사 제우스이엔피 식각장치 및 그 제어방법
KR102345776B1 (ko) 2020-08-07 2021-12-30 주식회사 라오나크 좌우변환이 가능한 레치볼트
JP2022086069A (ja) * 2020-11-30 2022-06-09 株式会社Screenホールディングス 基板処理装置および基板処理方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2906017B2 (ja) 1993-11-18 1999-06-14 東京エレクトロン株式会社 塗布装置
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
KR0171945B1 (ko) 1995-09-29 1999-03-30 김주용 반도체소자의 금속배선 형성방법
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH1064983A (ja) 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
JPH11135171A (ja) 1997-10-31 1999-05-21 Keyence Corp 電子制御機器
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000340540A (ja) * 1999-05-31 2000-12-08 Hitachi Koki Co Ltd 超臨界乾燥装置
US6508259B1 (en) 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6496648B1 (en) * 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
JP2001077074A (ja) 1999-08-31 2001-03-23 Kobe Steel Ltd 半導体ウエハ等の洗浄装置
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) * 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
JP3944368B2 (ja) 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US20030084918A1 (en) 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4011900B2 (ja) * 2001-12-04 2007-11-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6843855B2 (en) * 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP3782366B2 (ja) 2002-03-20 2006-06-07 日本電信電話株式会社 超臨界処理方法及び超臨界処理装置
JP4031440B2 (ja) 2002-03-22 2008-01-09 東京エレクトロン株式会社 超臨界処理を用いる汚染物の除去
JP3939178B2 (ja) 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 高圧乾燥装置、高圧乾燥方法および基板処理装置
TW554075B (en) 2002-04-17 2003-09-21 Grand Plastic Technology Corp Puddle etching method of thin film using spin processor
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
JP4133209B2 (ja) * 2002-10-22 2008-08-13 株式会社神戸製鋼所 高圧処理装置
JP4000052B2 (ja) 2002-12-05 2007-10-31 大日本スクリーン製造株式会社 基板処理装置
US7384484B2 (en) 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
JP2004249189A (ja) 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040198066A1 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
WO2004093166A2 (en) * 2003-03-31 2004-10-28 Lam Research Corporation Chamber and associated methods for wafer processing
JP4085870B2 (ja) 2003-04-02 2008-05-14 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥法とその装置及びそのシステム
KR100597656B1 (ko) 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
JP4247087B2 (ja) 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
JP2005138063A (ja) 2003-11-10 2005-06-02 Mitsubishi Materials Corp 超臨界二酸化炭素とオゾンによる洗浄方法
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
JP2007536730A (ja) 2004-05-07 2007-12-13 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積回路製品を製造する間にパターン形成されたウエハーを乾燥させるための組成物及び方法
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US20060130966A1 (en) 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7611124B2 (en) * 2004-12-22 2009-11-03 Tokyo Electron Limited Vacuum processing apparatus
TWI245322B (en) * 2004-12-31 2005-12-11 Ind Tech Res Inst Substrate cleaning system and process thereof
US20060223899A1 (en) 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
JP2007049065A (ja) 2005-08-12 2007-02-22 Ntt Advanced Technology Corp 超臨界処理装置
KR100730348B1 (ko) 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070093406A1 (en) 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP2007142335A (ja) 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
KR100837325B1 (ko) 2006-05-24 2008-06-11 삼성전자주식회사 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
US7772128B2 (en) 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP4787103B2 (ja) 2006-07-28 2011-10-05 東京エレクトロン株式会社 液処理装置
JP2008034779A (ja) 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
KR100902330B1 (ko) * 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
KR100822373B1 (ko) 2006-09-12 2008-04-17 세메스 주식회사 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법
JP2008073611A (ja) * 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4840813B2 (ja) 2006-10-16 2011-12-21 ルネサスエレクトロニクス株式会社 半導体製造方法
JP2008159789A (ja) 2006-12-22 2008-07-10 Renesas Technology Corp 半導体装置の製造方法
KR100876758B1 (ko) 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7753353B2 (en) * 2007-03-14 2010-07-13 Xerox Corporation Vertical sheet compiling apparatus and methods of vertically compiling sheets
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
JP2010045170A (ja) 2008-08-12 2010-02-25 Hitachi High-Technologies Corp 試料載置電極
JP5574587B2 (ja) 2008-08-27 2014-08-20 ニプロ株式会社 管組立体及び管組立体の製造方法
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR101044408B1 (ko) 2009-05-27 2011-06-27 세메스 주식회사 기판 처리 방법
JP2011040572A (ja) 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
US9004086B2 (en) 2010-11-04 2015-04-14 Lam Research Corporation Methods and apparatus for displacing fluids from substrates using supercritical CO2
JP5685918B2 (ja) 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP5985156B2 (ja) * 2011-04-04 2016-09-06 東京エレクトロン株式会社 半導体基板の超臨界乾燥方法及び装置
JP6085423B2 (ja) * 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP5686261B2 (ja) 2011-07-29 2015-03-18 セメス株式会社SEMES CO., Ltd 基板処理装置及び基板処理方法
JP5712902B2 (ja) * 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101329317B1 (ko) 2011-12-07 2013-11-25 한국과학기술연구원 기판건조장치 및 기판건조방법
KR101874901B1 (ko) 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US8534659B2 (en) 2011-12-13 2013-09-17 United Microelectronics Corp. Substrate carrier and applications thereof
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN103295936B (zh) * 2012-02-29 2016-01-13 斯克林集团公司 基板处理装置及基板处理方法
US9587880B2 (en) 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
JP6287750B2 (ja) 2013-12-27 2018-03-07 東京エレクトロン株式会社 基板液処理装置
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
WO2015138094A1 (en) * 2014-03-12 2015-09-17 Applied Materials, Inc. Wafer rotation in a semiconductor chamber
JP6005702B2 (ja) 2014-09-18 2016-10-12 株式会社東芝 半導体基板の超臨界乾燥方法および基板処理装置

Also Published As

Publication number Publication date
WO2017062136A1 (en) 2017-04-13
US20170098537A1 (en) 2017-04-06
JP6703100B2 (ja) 2020-06-03
US11133174B2 (en) 2021-09-28
TW202011502A (zh) 2020-03-16
CN108140549A (zh) 2018-06-08
TW201715632A (zh) 2017-05-01
KR20180054875A (ko) 2018-05-24
JP2018530919A (ja) 2018-10-18
KR102055712B1 (ko) 2019-12-13
TWI677041B (zh) 2019-11-11
CN116206947A (zh) 2023-06-02
TWI732306B (zh) 2021-07-01
US20190214247A1 (en) 2019-07-11

Similar Documents

Publication Publication Date Title
US11424137B2 (en) Drying process for high aspect ratio features
CN108140549B (zh) 缩减空间的处理腔室
CN108140603B (zh) 基板支撑件和挡板设备
CN108140546B (zh) 用于高纵横比特征的干燥工艺

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant