JP2018530919A - 容積が縮小された処理チャンバ - Google Patents

容積が縮小された処理チャンバ Download PDF

Info

Publication number
JP2018530919A
JP2018530919A JP2018517285A JP2018517285A JP2018530919A JP 2018530919 A JP2018530919 A JP 2018530919A JP 2018517285 A JP2018517285 A JP 2018517285A JP 2018517285 A JP2018517285 A JP 2018517285A JP 2018530919 A JP2018530919 A JP 2018530919A
Authority
JP
Japan
Prior art keywords
chamber body
door
substrate
processing space
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018517285A
Other languages
English (en)
Other versions
JP6703100B2 (ja
Inventor
ローマン ゴウク,
ローマン ゴウク,
ハンウェン チェン,
ハンウェン チェン,
スティーヴン ヴァハヴェルベク,
スティーヴン ヴァハヴェルベク,
ジャン ドゥルマ,
ジャン ドゥルマ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018530919A publication Critical patent/JP2018530919A/ja
Application granted granted Critical
Publication of JP6703100B2 publication Critical patent/JP6703100B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本書に記載の実施形態は概して、超臨界乾燥プロセス、又は、その他の移相プロセスを実施するための、容積が縮小されている処理チャンバに関する。このチャンバは、第1軌道に可動式に配置された基板支持体と、第2軌道に可動式に配置されたドアとを含む。基板支持体とドアとは互いと関係なく動くよう構成されてよく、チャンバは、チャンバの中での基板の垂直移動を最少化するよう構成されうる。【選択図】図4

Description

本開示の実施形態は概して、超臨界乾燥装置に関する。より具体的には、本書に記載の実施形態は、容積が縮小された処理チャンバに関する。
関連技術の記載
半導体デバイスの洗浄においては、多くの場合、液体及び固体の汚染物質を基板の表面から除去し、ひいては表面を清浄にしておくことが望ましい。湿式洗浄プロセスは概して、水性洗浄溶液などの洗浄液の使用を伴う。基板の湿式洗浄後には、多くの場合、洗浄チャンバ内の基板の表面から洗浄液を除去することが望ましい。
既存の湿式洗浄技法のほとんどは、基板を洗浄するために液体噴霧ステップ、又は浸漬ステップを利用する。ボイド若しくは孔部を有する高アスペクト比フィーチャ又は低誘電率材料を有する基板を、洗浄液の塗布に続いて乾燥させることは、非常に困難である。洗浄液の毛細管力は、望ましくないスティクションを生じさせうる、かかる構造物における材料の変形を引き起こすことが多く、これにより、半導体基板が損傷するだけでなく、更に、利用された洗浄溶液の残留物が基板に残ることがある。上述の欠点は、高アスペクト比半導体デバイス構造物を有する基板において、後続の基板乾燥中に、特に顕著になる。ラインスティクション又はライン崩壊は、湿式洗浄プロセス(複数可)においてトレンチ又はビアの中に閉じ込められた液体を覆う液体−空気界面全体での毛細管圧力によって、高アスペクト比のトレンチ又はビアを形成する両側壁が互いに向かって曲がることで、生じる。狭小なライン幅及び高いアスペクト比を有するフィーチャは、毛細管圧力(毛細管力と称されることもある)によって液体−空気界面と液体−壁部界面との間に生じる表面張力の相違の影響を、特に受けやすい。現在有効な乾燥実践方法は、デバイススケーリングの急速な進歩の結果として急激に増大しつつある、ラインスティクションの防止における困難に直面している。
結果的に、当該技術分野において、超臨界乾燥プロセスを実施するための改良型の装置が必要とされている。
一実施形態では、基板処理装置が提供される。この装置は、処理空間を画定するライナと、チャンバ本体内に形成された断熱要素とを有する、処理チャンバ本体を含む。ドアが第1軌道に摺動可能に連結されてよく、このドアは、チャンバ本体に対して動くよう構成されうる。基板支持体が第2軌道に摺動可能に連結されてよく、基板は、ドアと関係なく動くよう構成されうる。
別の実施形態では、基板処理装置が提供される。この装置は、開口が形成されているチャンバ本体を含み、開口は、チャンバ本体のライナによって画定された処理空間への出入りを提供する。バッフルプレートが、処理空間の中に配置され、かつ、処理空間の中でバッフルプレートを動かすよう構成されたアクチュエータに連結されうる。ドアが第1軌道に摺動可能に連結されてよく、このドアは、開放位置と閉鎖位置との間で平行移動するよう構成されうる。基板支持体が第2軌道に摺動可能に連結されてよく、この基板支持体は、処理空間の外部の第1位置と処理空間の内部の第2位置との間で平行移動するよう構成されうる。基板支持体は更に、ドアと関係なく動くよう構成されうる。
更に別の実施形態では、基板処理方法が提供される。この方法は、ドアを、チャンバ本体に対して、開放配向に位置付けることと、基板支持体を、チャンバ本体に対して、開放配向に位置付けることとを、含む。基板は基板支持体に位置付けられてよく、バッフルプレートが、基板支持体に配置された基板の上方に位置付けられうる。基板支持体はチャンバ本体内へと摺動してよく、ドアは、摺動して、チャンバ本体に当接しうる。基板支持体の摺動とドアの摺動とは、個別に実施されうる。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の一部は付随する図面に示されている。しかし、付随する図面は、例示的な実施形態のみを示しており、従ってその範囲を限定すると見なすべきではなく、他の等しく有効な実施形態を許容しうることに、留意されたい。
本書に記載の実施形態による、半導体基板に形成されたフィーチャ同士の間に生じたスティクション(stiction)の影響を示す。 本書に記載の一実施形態による、処理装置の平面図を示す。 本書に記載の一実施形態による、処理装置の平面図を示す。 本書に記載の一実施形態による、容積が縮小された処理チャンバの断面図を概略的に示す。 本書に記載の一実施形態による、容積が縮小された処理チャンバの斜視図を示す。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに、同一の参照番号を使用した。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込まれうると、想定される。
以下の説明においては、解説を目的として、本書で提示されている実施形態の網羅的な理解を提供するために多数の具体的な詳細事項が明記される。しかし、これらの具体的な詳細事項がなくとも本開示が実践されうることは、当業者には明白となろう。その他の事例では、説明されている実施形態を不明瞭にしないように、具体的な装置構造については説明していない。以下の説明及び図は、実施形態を例示するものであり、本発明を限定するものと解釈すべきではない。
図1は、半導体デバイス100における2つのフィーチャの間でラインスティクションが発生している、半導体デバイス100の一部分を示す概略断面図である。図示しているように、高アスペクト比デバイス構造物が、基板の表面上に形成されている。処理中に、デバイス構造物102は垂直配向で維持されるべきであり、壁部106は、隙間(opening)を横切ってデバイス構造物102の隣接する壁部106に接触するべきではない。半導体デバイス100が、湿式化学作用を用いて洗浄された後に乾燥されている時、デバイス構造物102の壁部106は、隙間104の中に入った洗浄液によって生じる空気−液体界面による毛細管力を受ける。これにより、隣接するデバイス構造物102の壁部106同士が互いに向かって曲がり、互いに接触することになる。ラインスティクションは、隣接するデバイス構造物102の壁部106同士の接触により生じ、最終的には、隙間104の閉塞を引き起こす。ラインスティクションは概して望ましくないものである。なぜならそれは、更なる堆積ステップなどの後続の基板処理ステップにおける、隙間104へのアクセスを妨害するからである。
ラインスティクションを防止するために、基板は、湿式洗浄チャンバ内で、脱イオン水又は洗浄用化学物質などの水性洗浄溶液に曝露されうる。かかる基板は、表面上に電子デバイスが配置又は形成されている、半導体基板を含む。湿式洗浄チャンバ内の基板に対して水性洗浄溶液を使用することで、湿式洗浄プロセスが実施された後に基板に残った残留物が除去される。一部の構成では、湿式洗浄チャンバは、単一ウエハ洗浄チャンバ及び/又は水平スピニングチャンバでありうる。加えて、湿式洗浄チャンバは、基板のデバイスがない側に向けられる音響エネルギーを生成するよう適合した、メガソニックプレートを有しうる。
基板の湿式洗浄の後に、基板は、湿式洗浄チャンバ内で使用された使用済みの水性洗浄溶液があればそれを置換するために、溶媒置換チャンバに移送されうる。基板は次いで、基板に更なる洗浄ステップ及び乾燥ステップを実施するために、超臨界流体チャンバに移送されうる。一実施形態では、基板を乾燥させることは、基板の表面への超臨界流体の供給を伴いうる。乾燥用ガスは、超臨界処理チャンバ内で実現又は維持される特定の圧力構成及び温度構成に曝露された時に超臨界状態に移行するよう、選択されうる。かかる乾燥用ガスの一例は、二酸化炭素(CO)を含む。超臨界COは、超臨界ガスであることから、表面張力を有さないという点でその表面張力は気体に類似しているが、液体に類似した密度を有する。超臨界COは、約73.0atmの圧力、及び約31.1°Cの温度において臨界点を有する。COなどの超臨界流体の固有特性の1つは、超臨界圧力及び超臨界点(例えば、COでは73atm及び31.1°C)を上回るいかなる圧力及び温度でも、凝集が発生しないということである。処理チャンバなどの処理環境の臨界温度パラメータ及び臨界圧力パラメータが、CO乾燥用ガスの超臨界状態に影響を与える。
超臨界流体は、その固有特性により、基板における実質的にすべての孔部又はボイドに入り込み、残留液体又は残留粒子(隙間104内に存在しうる)があればそれを除去しうる。一実施形態では、超臨界処理が、粒子及び残留物を除去するために所望の期間にわたって進行した後に、ほぼ一定の温度を保ったままチャンバの圧力が下げられて、超臨界流体を隙間104の中で気相に直接的に移行させることが可能になる。典型的には、超臨界流体処理に先立って隙間104内に存在する液体は、溶媒置換チャンバからの置換溶媒でありうる。典型的には、隙間104内に存在する粒子は、有機種(すなわち炭素)、無機種(すなわちシリコン)、及び/又は金属などの、任意の固体粒状物でありうる。超臨界流体によって乾燥されうる隙間104の例は、誘電体層のボイド又は孔部、低誘電率誘電材料のボイド又は孔部、及び、洗浄用の流体及び粒子を閉じ込めうる、他の種類の基板の間隙を、含む。更に、超臨界乾燥は、移相中に液体状態を回避すること、及び、超臨界COなどの超臨界流体の微小な表面張力により、デバイス構造物102の壁部106同士の間に生じる毛細管力を除去することによって、ラインスティクションを防止しうる。
基板は次いで、超臨界流体チャンバから後処理チャンバに移送されうる。後処理チャンバはプラズマ処理チャンバであってよく、このチャンバ内で、基板に存在しうる汚染物質が除去されうる。基板を後処理することで、デバイス構造物にラインスティクションが存在すればそれも、更に解除されうる。本書に記載のプロセスは、約10:1以上、20:1以上、又は、30:1以上のアスペクト比といった、高アスペクト比を有するデバイス構造物の洗浄に役立つ。特定の実施形態では、本書に記載のプロセスは、3D/垂直NANDフラッシュデバイス構造物の洗浄に役立つ。
図2Aは、本開示の一実施形態による、上述の工程のうちの一又は複数を実施するよう適合しうる基板処理装置を示している。一実施形態では、処理装置200は、湿式洗浄チャンバ201と、溶媒置換チャンバ202と、超臨界流体チャンバ203と、後処理チャンバ204と、移送チャンバ206と、湿式ロボット208とを備える。基板を処理することは、金属線によって相互接続されている、トランジスタ、コンデンサ、又はレジスタなどの電気デバイスを形成することを含みうるがそれに限定されるわけではなく、これらの電気デバイスは、基板上の層間誘電体によって絶縁される。上記のプロセスは、基板を洗浄することと、基板に形成された膜を洗浄することと、基板を乾燥させることと、基板に形成された膜を乾燥させることとを、含みうる。別の実施形態では、処理装置200は、処理装置200内で処理された基板を検査するためのツール(図示せず)を含みうる、検査チャンバ205を含む。
一実施形態では、基板処理装置200は、湿式洗浄チャンバ201、溶媒置換チャンバ202、超臨界流体チャンバ203、後処理チャンバ204、及び移送チャンバ206といったいくつかの基板処理チャンバを備える、クラスタツールである。チャンバ201、202、203、204は、移送チャンバ206内に配置されうる湿式ロボット208の周りに位置付けられうる。湿式ロボット208は、モータ、ベース、アーム、及び、チャンバ間で基板を移送するよう構成されたエンドエフェクタ209を備える。湿式ロボット208は、オプションで、処理装置200のスループットを向上させるために、複数のアーム及び複数のエンドエフェクタを有しうる。一実施形態では、湿式ロボット208は、前述のチャンバ間で基板を移送する。別の実施形態では、湿式ロボット208のエンドエフェクタのうちの少なくとも1つは、(例えば乾燥ウエハを扱うよう適合した)乾式専用エンドエフェクタであり、湿式ロボット208のエンドエフェクタのうちの少なくとも1つは、(例えば湿式ウエハを扱うよう適合した)湿式専用エンドエフェクタである。乾式専用エンドエフェクタは、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するために使用されうる。
処理装置200は、ファクトリインターフェース218に配置された乾式ロボット216も備え、ファクトリインターフェース218は、処理装置200、及び、複数の基板カセット212、214に連結されうる。複数の基板カセット212、214の各々は、未洗浄若しくは未乾燥の複数の基板、又は、洗浄済み若しくは乾燥済みの複数の基板を保持する。乾式ロボット216は、カセット212、214と、湿式洗浄チャンバ201との間、及び後処理チャンバ204との間で、基板を移送するよう構成されうる。別の実施形態では、乾式ロボット216は、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するよう、構成されうる。処理装置200におけるこれらの処理チャンバは、基板移送チャンバ206を収納する水平プラットフォーム上に配置されうる。別の実施形態では、プラットフォームの一部分は、水平配向以外の配置に配向されうる。
図2Bに示す代替的な実施形態では、処理装置200Aは、湿式洗浄チャンバ201、溶媒置換チャンバ202、超臨界流体チャンバ203、後処理チャンバ204、及び移送チャンバ206といったいくつかの基板処理チャンバを備える、線形の装置でありうる。例えば、処理装置200Aは、カリフォルニア州サンタクララのApplied Materialsから入手可能な「Raider(登録商標)GT」でありうるが、他の製造業者による他の処理装置が、本書に記載の実施形態を実施するよう適合しうることも、想定される。
チャンバ201、202、203、204は、移送チャンバ206内に配置されうるロボット208Aの周りに位置付けられうる。ロボット208Aは、モータ、ベース、アーム、及び、チャンバ間で基板を移送するよう構成されたエンドエフェクタ209A、209Bを備える。ロボット208Aは、処理装置200Aのスループットを向上させるために、複数のアーム及び複数のエンドエフェクタを有しうる。一実施形態では、湿式専用エンドエフェクタ209Aを有するロボット208Aが、前述のチャンバ間で基板を移送する。処理装置200Aは、処理装置200、及び、複数の基板カセット212、214に連結されうるファクトリインターフェース218も備えてよく、複数の基板カセット212、214の各々は、未洗浄若しくは未乾燥の複数の基板、又は、洗浄済み若しくは乾燥済みの複数の基板を保持する。乾式専用エンドエフェクタ209Bを有するロボット208Aは、カセット212、214と、湿式洗浄チャンバ201との間、及び後処理チャンバ204との間で、基板を移送する。一実施形態では、乾式専用エンドエフェクタ209Bは、超臨界流体チャンバ203と後処理チャンバ204との間で基板を移送するよう構成されうる。処理装置200Aにおけるこれらのチャンバは、基板移送チャンバ206を収納する水平プラットフォーム上に配置されうる。別の実施形態では、プラットフォームの一部分は、水平配向以外の配置に配向されうる。
処理装置200Aのいくつかの構成において、ロボット208Aは、線形軌道220に沿って移動しうる。チャンバは、線形軌道220の一方又は両方の側に並ぶように配置されうる。湿式基板移送を実施するために、基板がまだチャンバ内にある間に、例えば基板を回転させることによって、余分な液体が基板から除去されうる。これにより、ロボット208Aがこの基板を移送する前に、基板表面上には湿った薄層のみが残ることになる。ロボット208Aに2つ以上のエンドエフェクタが設けられる実施形態では、少なくとも1つは湿式基板移送専用であり、他の1つは乾式基板移送専用でありうる。大量生産のために、より多くのチャンバが、延長可能な線形構成に設置されうる。
前記の実施形態で言及した構成により、各チャンバの設計複雑性が大幅に低減され、繊細なプロセスステップ間の待機時間制御が可能になり、かつ、各処理工程のプロセス持続期間を均一化するよう調整可能なチャンバモジュールのカウントを用いた連続生産において、スループットが最適化される。
図3は、本書に記載の一実施形態による、容積が縮小された処理チャンバ300の断面図を概略的に示している。特定の実施形態では、チャンバ300は、図2A及び図2Bに関連して説明しているチャンバ203として実装されうる。通常、チャンバ300は、その中での超臨界流体の生成及び/又は維持に適する加圧状態に耐えるよう、構成される。チャンバ300はまた、有利には、移相の実施に適する温度範囲内でサイクルされうる。
チャンバ300は、本体302と、ライナ318と、断熱要素316とを含む。本体302とライナ318とが概して、処理空間312を画定する。本体302は、処理空間312の中での超臨界流体の生成に適する圧力に耐えるよう、構成されうる。例えば、本体は、約100bar以上の圧力に耐えることに適しうる。本体302に適する材料は、ステンレス鋼、アルミニウム、又は、その他の高強度金属材料を含む。ライナ318も、本体302と類似の材料から形成されうる。一実施形態では、ライナ318と本体302は単一の装置でありうる。別の実施形態では、ライナ318と本体302とは、ひとまとめに連結された別個の装置でありうる。
ライナ318は、処理空間312に隣接した領域において、約2mmから約5mm(例えば約3mm)の厚さ344を有しうる。ライナ318を含む材料が本体302と比較して相対的に極少量であることにより、ライナ318は、本体302の熱質量と比べて小さい熱質量を有することになる。したがって、処理空間312の中の温度変化がより効率的な様態で行われうる。処理空間312の温度は主に、本体302ではなくライナ318による影響を受けるからである。一実施形態では、処理空間312の中の処理環境は、約5分未満(例えば約1分未満)の時間で、約20°Cと約50°Cとの間でサイクルされうる。一実施形態では、処理空間312は、約30秒間で、約20°Cと約50°Cとの間でサイクルされうる。
断熱要素316は概して、ライナ318に隣接するように、本体302に配置される。図示している実施形態では、断熱要素316は複数の装置でありうる。断熱要素316は概して、ライナ318を本体302から断熱することによってライナ318の熱質量を更に低減するために、処理空間312の長手軸に沿って延在しうる。断熱要素316は、本体302及びライナ318に利用される材料の熱膨張率と類似した熱膨張率を有する、高圧環境内での使用に適する材料から形成されうる。一実施形態では、断熱要素316はセラミック材料でありうる。セラミック材料の様々な例は、酸化アルミニウム、窒化アルミニウム、炭化ケイ素などを含む。断熱要素316の厚さ346は、約0.1インチから約1.0インチ(例えば約0.5インチ)でありうる。
処理空間312は、約2リットル未満(例えば約1リットル)の容積を有する。ライナ318の間の、処理空間312の端から端までの距離348は、約5cm未満(例えば約2cm未満、例としては約1cm)でありうる。様々な実施形態において、処理空間312は、処理空間312内の条件に応じて、様々な液体、ガス、及び/又は超臨界流体で満たされうる。一実施形態では、処理空間312は、一又は複数の溶媒源320、332、336に連結されうる。第1溶媒源320は、本体302の上部を通る第1導管322を介して、処理空間312に連結されうる。第2溶媒源332は、本体302の側壁を通る第2導管334を介して、処理空間312に連結されうる。第3溶媒源336は、本体302の底部を通る第3導管338を介して、処理空間312に連結されうる。溶媒源320、332、336は、所望の溶媒導入特性に応じて、様々な進入ポートから処理空間に溶媒を提供するよう構成されうる。
溶媒源320、332、336から処理空間312に供給されうる好適な溶媒は、数ある中でもとりわけ、アセトン、イソプロピルアルコール、エタノール、メタノール、N−メチル−2−ピロリドン、N−メチルホルムアミド、1,3−ジメチル−2−イミダゾリジノン、ジメチルアセトアミド、及びジメチルスルホキシドを含む。通常、溶媒は、溶媒が液体COと混和性であるように選択されうる。
第1流体源324が、本体302の上部を通る第4導管326を介して、処理空間312に連結されうる。第1流体源324は概して、処理空間312に液体又は超臨界流体を提供するよう構成される。一実施形態では、第1流体源324は、超臨界COを供給するよう構成されうる。別の実施形態では、第1流体源324は、処理空間312に超臨界COを供給するよう構成されうる。この実施形態では、処理空間312内への進入に先立つ液体COの超臨界COへの移相を促進するために、加熱・加圧装置が第4導管326に連結されうる。第2流体源356は第1流体源324と同様に構成されうる。しかし、第2流体源356は、本体302の底部を通る第5導管358を介して、処理空間に連結されうる。液体CO及び/又は超臨界COの供給は、所望の処理特性に応じて、トップダウン方式(第1流体源324)又はボトムアップ方式(第2流体源356)から選択されうる。
稼働中、処理空間312の温度は、処理空間312に提供されるCOの温度によって、少なくとも部分的に制御されうる。加えて、液体CO及び/又は超臨界COは、約1回から約5回(例えば約3回)で処理空間全体が置換されるような量で、処理空間312に提供されうる。処理空間を反復的にターンオーバーさせることで、後続の超臨界乾燥工程における超臨界COの生成及び/又は処理空間312への供給に先立って、溶媒とCOとの混合が促進されうると、考えられている。ターンオーバーを促進し、かつ、処理空間312から流体及びガスを除去するために、処理空間312は、第6導管342を介して流体出口340に連結されうる。
チャンバ300は、ドア304に連結されうる基板支持体306も含み、バッフルプレート310が、処理空間312の中に可動式に配置されうる。一実施形態では、基板支持体306とドア304は単一の装置でありうる。別の実施形態では、基板支持体306は、ドア304に取り外し可能に連結されてよく、かつ、ドア304と関係なく動きうる。ドア304及び基板支持体306は、ステンレス鋼、アルミニウム、セラミック材料、ポリマー材料、又はそれらの組み合わせを含む、様々な材料から形成されうる。基板支持体306内には、加熱要素354も配置されていることがある。加熱要素354は、一実施形態では、抵抗性ヒータでありうる。別の実施形態では、加熱要素354は、基板支持体306内に形成された、流体で満たされたチャネルでありうる。加熱要素354は、処理空間312内における超臨界流体の生成又は維持を促進するために、処理空間312を加熱するよう構成されうる。
稼働中、基板支持体306は、本体302に形成された開口を介して処理空間312に進入してよく、ドア304は、基板支持体306が処理空間312の中に位置付けられると本体302に当接するよう、構成されうる。一実施形態では、基板支持体306は横方向に動くよう構成される。その結果として、距離348が最小化されうる。なぜなら、処理空間312の中での基板支持体306の垂直移動が不要になるからである。Oリングなどの密封部352が本体302に連結されてよく、この密封部352は、ポリマー材料などの弾性材料から形成されうる。通常、ドア304は、処理中に、処理空間312内での超臨界流体の生成又は維持に適する高圧環境に耐えるのに十分な力で、ボルトなどの連結装置(図示せず)を介して、本体302に固定されうる。
バッフルプレート310は、ステンレス鋼、アルミニウム、セラミック材料、石英材料、シリコン含有材料、又は、好適に構成されたその他の材料を含む、様々な材料から形成されうる。バッフルプレート310は、バッフルプレート310を、基板支持体に近づけるように、かつ基板支持体から離すように動かすよう構成された、アクチュエータ330に連結されうる。アクチュエータ330は、処理空間312の中でのバッフルプレート310の移動を促進するために、電気供給源などの電源328に連結されうる。
基板308は、処理中、基板支持体306に位置付けられうる。一実施形態では、基板308のデバイス側314は、このデバイス側314がバッフルプレート310の方を向かないように、基板支持体306に隣接して位置付けられうる。稼働中、バッフルプレート310は、基板308が処理空間312の中に位置付けられる時には、上昇位置にありうる。バッフルプレート310は、処理中には、基板308の直近の処理位置へと、アクチュエータ330を介して降ろされうる。処理後に、バッフルプレート310は上昇してよく、基板支持体306が、本体302の開口350を通って処理空間312から基板308を取り出しうる。バッフルプレート310を基板308及び基板支持体306の直近に位置付けることによって、溶媒、及び/又は、液体/超臨界COの処理空間312への導入中の、基板308のデバイス側314への粒子堆積が低減しうるか、又はなくなりうると、考えられている。
図4は、本書に記載の実施形態による、チャンバ300の斜視図を示している。図示している実施形態では、ドア304は、本体302から分離された位置に配置されている。この配置において、基板支持体306は、ロボット208Aなどのロボットから基板を受容しうる。基板(図示せず)は、基板のデバイス側を支持するよう構成された支持プレート430に、位置付けられうる。稼働中、基板が基板支持体306の支持プレート430に位置付けられると、ドア304及び/又は基板支持体306は、本体302に向かって、横方向に平行移動しうる。上述したように、特定の実施形態では、基板支持体306はドア304と関係なく動きうる。基板支持体306は開口350を通って動いてよく、ドア304は、本体302の側壁432に接触する位置へと動きうる。密封部352は、一実施形態では基板支持体306に、又は、閉鎖位置において側壁432に当接するドア304の表面に、連結されうる。図示していないが、密封部352は側壁432にも連結されうる。
ドア304は概して、第1部分416と第2部分418とを含む。第1部分416は、閉鎖位置において側壁432に当接し、接触するよう構成されうる。第2部分418は、第1部分416に対して直角の方向に、第1部分416から延在しうる。第2部分418同士の間の距離は、ドア304が閉鎖位置にある時に第2部分418が側壁434に隣接して配置されるように、側壁432の幅を上回りうる。ボルトなどの一又は複数の連結要素420が、第2部分418の各々から延在しうる。連結要素420は、本体302に配置されている連結体422と連係するよう、構成されうる。一実施形態では、連結体422は、本体302と連結体422が単一の装置であるような、本体302の延在部である。別の実施形態では、連結体422は、本体302に連結された別個の装置でありうる。
連結体422は、そこに形成された一又は複数の穴424であって、穴424内への連結要素420の挿入に適応するようサイズ決定された、一又は複数の穴424を含みうる。一実施形態では、穴424は、連結体422の第1表面436から連結体422の第2表面438まで、連結体422を通って延在しうる。ナットなどの一又は複数のファスナ426が、第2表面438に連結されうる。一実施形態では、ファスナ426は、連結要素420が穴424の中に配置されるとファスナ426を連結要素420に固定するよう構成された、アクチュエータ428に連結されうる。連結要素420及びファスナ426は、本体302及びドア304を製造するのに利用される材料と類似の材料から形成されうる。通常、連結要素420と、連結体422と、ファスナ426とが、圧力閉鎖部を形成して、処理空間312の中に高い(例えば約100bar以上の)圧力を維持するのに十分な力で、本体302に当接するようにドア304を付勢する。
基板支持体306は、第1軌道406に摺動可能に配置されたブラケット410に連結されうる。一実施形態では、ブラケット410は、第1軌道406の長さに沿って横方向に動くよう構成された、ボールベアリングなどの様々な平行移動要素(図示せず)を含みうる。別の実施形態では、平行移動要素は第1軌道406に連結されてよく、ブラケット410は、第1軌道406と摺動可能に連係するよう構成されうる。第1軌道406により、基板支持体306がドア304からより独立的になることが可能になりうる。そのために、モータ414が、ブラケット410に連結されて、第1軌道406に沿ったブラケット410の動きを調整しうる。
ドア304は、一又は複数の第2軌道408に配置された一又は複数のスライダアセンブリ412に連結されうる。一実施形態では、2つの第2軌道408が、第1軌道406に隣接して配置されうる。通常、第1軌道406及び第2軌道408は、第1プラットフォーム402に連結されうる。スライダアセンブリ412は、ブラケット410と同様に、第2軌道408の長さに沿って横方向に動くよう構成された、ボールベアリングなどの様々な平行移動要素(図示せず)を含みうる。別の実施形態では、平行移動要素は第2軌道408に連結されてよく、スライダアセンブリ412は、第2軌道408と摺動可能に連係するよう構成されうる。モータ414が、スライダアセンブリ412にも連結されて、第2軌道408に沿ったスライダアセンブリ412の動きを調整しうる。上述のように、モータ414は、ブラケット410とスライダアセンブリ412をそれぞれ介して、基板支持体306とドア304の動きを調整しうる。別の実施形態では、モータ414はスライダアセンブリ412に連結されてよく、別のモータ(図示せず)がブラケット410に連結されうる。
本体302は第2プラットフォーム404に配置されてよく、第2プラットフォーム404は、第1プラットフォーム402に隣接して配置されうる。一実施形態では、第2プラットフォーム404は、本体302を、第1プラットフォーム402の上面から上がった位置に配向しうる。しかし、第2プラットフォーム404に対する本体302の配向、及び、第1プラットフォーム402に対するドア304/基板支持体306の配向にかかわらず、基板支持体306及びドア304は、単一の平面内で動くよう構成される。したがって、基板支持体306が本体302の中に配置される時のZ軸に沿った平行移動が、回避されうる。その結果として、処理空間312が縮小されうる。なぜなら、処理空間312内でのZ軸に沿った基板の位置付けが不要になるからである。
処理空間312の容積を縮小することによって、移相プロセスを実施する際の、チャンバ300の温度サイクルの効率が改善されうる。更に、本体302内への基板の進入に先だって、基板支持体306に連結された支持プレート430に基板を位置付けることによって、処理空間312において基板を位置付ける設計複雑性が回避されうる。加えて、ドア304と基板支持体306とを互いと関係なく動かす能力によって、効率的な様態で動きを調整することによるスループットの向上がもたらされうる。また更に、縮小された処理空間の利用が可能になることで、超臨界乾燥プロセスの実施に関連するコストが、処理中に利用される流体の量の削減の結果として、削減されうる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. ライナ及びチャンバ本体内に形成された断熱要素を有する処理チャンバ本体であって、前記ライナが処理空間を画定する、処理チャンバ本体と、
    前記チャンバ本体に摺動可能に連結されたドアであって、前記チャンバ本体に対して動くよう構成された、ドアと、
    前記チャンバ本体に摺動可能に連結された基板支持体であって、前記処理空間に出入りするように動くよう構成され、かつ、前記ドアと関係なく動くよう構成される、基板支持体とを備える、基板処理装置。
  2. 前記処理空間のサイズが2L未満である、請求項1に記載の装置。
  3. 前記処理空間の中に配置されたバッフルプレートであって、前記処理空間の中で前記バッフルプレートを動かすためのアクチュエータに連結された、バッフルプレートを更に備える、請求項2に記載の装置。
  4. 前記ドアが、前記チャンバ本体に対して、単一の平面内で動く、請求項1に記載の装置。
  5. チャンバ本体であって、前記チャンバ本体のライナによって画定された処理空間への出入りのために開口が形成されている、チャンバ本体と、
    前記処理空間の中に配置されたバッフルプレートであって、前記処理空間の中で前記バッフルプレートを動かすためのアクチュエータに連結された、バッフルプレートと、
    前記チャンバ本体に摺動可能に連結されたドアであって、開放位置と閉鎖位置との間で平行移動するよう構成される、ドアと、
    前記チャンバ本体に摺動可能に連結された基板支持体であって、前記処理空間の外部の第1位置と前記処理空間の内部の第2位置との間で、前記ドアと関係なく平行移動するよう構成される、基板支持体とを備える、基板処理装置。
  6. 前記ライナが2mmから5mmの厚さを有する、請求項5に記載の装置。
  7. 前記チャンバ本体が、0.1インチから1.0インチの厚さを有する断熱要素を備える、請求項5に記載の装置。
  8. 前記断熱要素がセラミックである、請求項7に記載の装置。
  9. 前記処理空間のサイズが2L未満である、請求項5に記載の装置。
  10. 前記処理空間の中に配置されたバッフルプレートであって、前記処理空間の中で前記バッフルプレートを動かすためのアクチュエータに連結された、バッフルプレートを更に備える、請求項9に記載の装置。
  11. 前記ドアが、前記チャンバ本体に対して、単一の平面内で動く、請求項5に記載の装置。
  12. 前記チャンバ本体に当接するように前記ドアを付勢するための圧力閉鎖部を更に備える、請求項5に記載の装置。
  13. ドアを、チャンバ本体に対して、開放配向に位置付けることと、
    基板支持体を、前記チャンバ本体に対して、開放配向に位置付けることと、
    基板を前記基板支持体に位置付けることと、
    前記基板支持体に配置された前記基板の上方に、バッフルプレートを位置付けることと、
    前記基板支持体を前記チャンバ本体内へと摺動させることと、
    前記チャンバ本体に当接するよう前記ドアを摺動させることとを含み、前記基板支持体の前記摺動と前記ドアの前記摺動とが個別に実施される、基板処理方法。
  14. 高圧に抗する閉鎖を提供するよう、前記チャンバ本体に当接するように前記ドアを付勢することを更に含む、請求項13に記載の方法。
  15. 前記チャンバ本体に当接するように前記ドアを付勢することが、前記チャンバ本体に連結された圧力閉鎖部を動作させることを含む、請求項14に記載の方法。
JP2018517285A 2015-10-04 2016-09-13 容積が縮小された処理チャンバ Active JP6703100B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562236914P 2015-10-04 2015-10-04
US62/236,914 2015-10-04
PCT/US2016/051520 WO2017062136A1 (en) 2015-10-04 2016-09-13 Reduced volume processing chamber

Publications (2)

Publication Number Publication Date
JP2018530919A true JP2018530919A (ja) 2018-10-18
JP6703100B2 JP6703100B2 (ja) 2020-06-03

Family

ID=58448025

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018517285A Active JP6703100B2 (ja) 2015-10-04 2016-09-13 容積が縮小された処理チャンバ

Country Status (6)

Country Link
US (2) US20170098537A1 (ja)
JP (1) JP6703100B2 (ja)
KR (1) KR102055712B1 (ja)
CN (2) CN108140549B (ja)
TW (2) TWI677041B (ja)
WO (1) WO2017062136A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021184479A (ja) * 2015-10-04 2021-12-02 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 熱質量が小さい加圧チャンバ
WO2022114127A1 (ja) * 2020-11-30 2022-06-02 株式会社Screenホールディングス 基板処理装置および基板処理方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
KR102391244B1 (ko) * 2020-06-05 2022-04-28 주식회사 제우스이엔피 식각장치 및 그 제어방법
KR102345776B1 (ko) 2020-08-07 2021-12-30 주식회사 라오나크 좌우변환이 가능한 레치볼트
JP7465855B2 (ja) * 2021-09-27 2024-04-11 芝浦メカトロニクス株式会社 加熱処理装置、搬入搬出治具、および有機膜の形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051474A (ja) * 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
JP2008073611A (ja) * 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP2013105777A (ja) * 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2013179244A (ja) * 2011-05-30 2013-09-09 Toshiba Corp 基板処理方法、基板処理装置および記憶媒体

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2906017B2 (ja) 1993-11-18 1999-06-14 東京エレクトロン株式会社 塗布装置
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
KR0171945B1 (ko) 1995-09-29 1999-03-30 김주용 반도체소자의 금속배선 형성방법
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH1064983A (ja) 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
JPH11135171A (ja) 1997-10-31 1999-05-21 Keyence Corp 電子制御機器
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000340540A (ja) * 1999-05-31 2000-12-08 Hitachi Koki Co Ltd 超臨界乾燥装置
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6508259B1 (en) 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6496648B1 (en) * 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
JP2001077074A (ja) 1999-08-31 2001-03-23 Kobe Steel Ltd 半導体ウエハ等の洗浄装置
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
JP3944368B2 (ja) 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US20030084918A1 (en) 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP4011900B2 (ja) * 2001-12-04 2007-11-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP3782366B2 (ja) 2002-03-20 2006-06-07 日本電信電話株式会社 超臨界処理方法及び超臨界処理装置
US20040072706A1 (en) 2002-03-22 2004-04-15 Arena-Foster Chantal J. Removal of contaminants using supercritical processing
JP3939178B2 (ja) 2002-03-25 2007-07-04 大日本スクリーン製造株式会社 高圧乾燥装置、高圧乾燥方法および基板処理装置
TW554075B (en) 2002-04-17 2003-09-21 Grand Plastic Technology Corp Puddle etching method of thin film using spin processor
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
JP4133209B2 (ja) * 2002-10-22 2008-08-13 株式会社神戸製鋼所 高圧処理装置
JP4000052B2 (ja) 2002-12-05 2007-10-31 大日本スクリーン製造株式会社 基板処理装置
US7384484B2 (en) 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
JP2004249189A (ja) 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040198066A1 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
KR101121937B1 (ko) * 2003-03-31 2012-03-14 램 리써치 코포레이션 웨이퍼 처리를 위한 챔버 및 관련 방법
JP4085870B2 (ja) 2003-04-02 2008-05-14 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥法とその装置及びそのシステム
KR100597656B1 (ko) 2003-10-02 2006-07-07 그린텍이십일 주식회사 반도체의 제조를 위한 세정방법 및 세정장치
JP4247087B2 (ja) 2003-10-07 2009-04-02 株式会社日立ハイテクサイエンスシステムズ 微細構造乾燥処理方法及びその装置
JP2005138063A (ja) 2003-11-10 2005-06-02 Mitsubishi Materials Corp 超臨界二酸化炭素とオゾンによる洗浄方法
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
WO2005113167A1 (en) 2004-05-07 2005-12-01 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US20060130966A1 (en) 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7611124B2 (en) * 2004-12-22 2009-11-03 Tokyo Electron Limited Vacuum processing apparatus
TWI245322B (en) * 2004-12-31 2005-12-11 Ind Tech Res Inst Substrate cleaning system and process thereof
US20060223899A1 (en) 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
JP2007049065A (ja) 2005-08-12 2007-02-22 Ntt Advanced Technology Corp 超臨界処理装置
KR100730348B1 (ko) 2005-10-04 2007-06-19 삼성전자주식회사 미세 구조물의 제조 방법
US20070093406A1 (en) 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP2007142335A (ja) 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
KR100837325B1 (ko) 2006-05-24 2008-06-11 삼성전자주식회사 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템
US7772128B2 (en) 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP4787103B2 (ja) 2006-07-28 2011-10-05 東京エレクトロン株式会社 液処理装置
JP2008034779A (ja) 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
KR100902330B1 (ko) * 2006-06-29 2009-06-12 주식회사 아이피에스 반도체공정장치
KR100822373B1 (ko) 2006-09-12 2008-04-17 세메스 주식회사 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법
JP4840813B2 (ja) 2006-10-16 2011-12-21 ルネサスエレクトロニクス株式会社 半導体製造方法
JP2008159789A (ja) 2006-12-22 2008-07-10 Renesas Technology Corp 半導体装置の製造方法
KR100876758B1 (ko) 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7753353B2 (en) * 2007-03-14 2010-07-13 Xerox Corporation Vertical sheet compiling apparatus and methods of vertically compiling sheets
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
JP2010045170A (ja) 2008-08-12 2010-02-25 Hitachi High-Technologies Corp 試料載置電極
JP5574587B2 (ja) 2008-08-27 2014-08-20 ニプロ株式会社 管組立体及び管組立体の製造方法
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR101044408B1 (ko) 2009-05-27 2011-06-27 세메스 주식회사 기판 처리 방법
JP2011040572A (ja) 2009-08-11 2011-02-24 Toshiba Corp 基板処理装置および基板処理方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
US9004086B2 (en) 2010-11-04 2015-04-14 Lam Research Corporation Methods and apparatus for displacing fluids from substrates using supercritical CO2
JP5685918B2 (ja) 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP5985156B2 (ja) * 2011-04-04 2016-09-06 東京エレクトロン株式会社 半導体基板の超臨界乾燥方法及び装置
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP5686261B2 (ja) 2011-07-29 2015-03-18 セメス株式会社SEMES CO., Ltd 基板処理装置及び基板処理方法
KR101329317B1 (ko) 2011-12-07 2013-11-25 한국과학기술연구원 기판건조장치 및 기판건조방법
KR101874901B1 (ko) 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US8534659B2 (en) 2011-12-13 2013-09-17 United Microelectronics Corp. Substrate carrier and applications thereof
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN103295936B (zh) * 2012-02-29 2016-01-13 斯克林集团公司 基板处理装置及基板处理方法
US9587880B2 (en) 2012-05-31 2017-03-07 Semes Co., Ltd. Apparatus and method for drying substrate
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
JP6287750B2 (ja) 2013-12-27 2018-03-07 東京エレクトロン株式会社 基板液処理装置
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
CN110211859B (zh) * 2014-03-12 2021-10-22 应用材料公司 处理基板的方法
JP6005702B2 (ja) 2014-09-18 2016-10-12 株式会社東芝 半導体基板の超臨界乾燥方法および基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051474A (ja) * 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
JP2008073611A (ja) * 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP2013179244A (ja) * 2011-05-30 2013-09-09 Toshiba Corp 基板処理方法、基板処理装置および記憶媒体
JP2013105777A (ja) * 2011-11-10 2013-05-30 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021184479A (ja) * 2015-10-04 2021-12-02 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 熱質量が小さい加圧チャンバ
JP7223075B2 (ja) 2015-10-04 2023-02-15 アプライド マテリアルズ インコーポレイテッド 熱質量が小さい加圧チャンバ
WO2022114127A1 (ja) * 2020-11-30 2022-06-02 株式会社Screenホールディングス 基板処理装置および基板処理方法

Also Published As

Publication number Publication date
TW202011502A (zh) 2020-03-16
CN108140549B (zh) 2022-12-20
US11133174B2 (en) 2021-09-28
CN116206947A (zh) 2023-06-02
TW201715632A (zh) 2017-05-01
WO2017062136A1 (en) 2017-04-13
US20190214247A1 (en) 2019-07-11
KR20180054875A (ko) 2018-05-24
TWI677041B (zh) 2019-11-11
KR102055712B1 (ko) 2019-12-13
US20170098537A1 (en) 2017-04-06
CN108140549A (zh) 2018-06-08
TWI732306B (zh) 2021-07-01
JP6703100B2 (ja) 2020-06-03

Similar Documents

Publication Publication Date Title
JP7223075B2 (ja) 熱質量が小さい加圧チャンバ
JP6703100B2 (ja) 容積が縮小された処理チャンバ
JP6556945B2 (ja) 基板支持とバッフルの装置
JP6644881B2 (ja) 高アスペクト比フィーチャ向けの乾燥プロセス
JP2018531511A6 (ja) 高アスペクト比フィーチャ向けの乾燥プロセス

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190326

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190625

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20191023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200225

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200507

R150 Certificate of patent or registration of utility model

Ref document number: 6703100

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250