KR100613198B1 - 플라즈마 처리 장치, 포커스 링 및 서셉터 - Google Patents

플라즈마 처리 장치, 포커스 링 및 서셉터 Download PDF

Info

Publication number
KR100613198B1
KR100613198B1 KR1020040028391A KR20040028391A KR100613198B1 KR 100613198 B1 KR100613198 B1 KR 100613198B1 KR 1020040028391 A KR1020040028391 A KR 1020040028391A KR 20040028391 A KR20040028391 A KR 20040028391A KR 100613198 B1 KR100613198 B1 KR 100613198B1
Authority
KR
South Korea
Prior art keywords
focus ring
electrostatic chuck
processing apparatus
plasma processing
susceptor
Prior art date
Application number
KR1020040028391A
Other languages
English (en)
Other versions
KR20040093043A (ko
Inventor
엔도쇼스케
이와부치노리유키
가토시게아키
오쿠보도모야
히로세준
나가쿠라고이치
고시미즈지시오
덴포가즈키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003271975A external-priority patent/JP4439853B2/ja
Priority claimed from JP2004115807A external-priority patent/JP4547182B2/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040093043A publication Critical patent/KR20040093043A/ko
Application granted granted Critical
Publication of KR100613198B1 publication Critical patent/KR100613198B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Abstract

본 발명은 비용의 증가를 방지하면서 포커스 링의 냉각 효율을 비약적으로 향상시킬 수 있는 포커스 링을 구비한 플라즈마 처리 장치를 제공한다. 이 플라즈마 처리 장치는 정전 척 및 포커스 링을 구비하는 서셉터를 포함한다. 프라즈마 처리될 웨이퍼(W)가 정전 척상에 장착된다. 포커스 링은 유전제부 및 도전체부를 구비한다. 유전체부는 정전 척과 접촉하여 배치된 접촉부를 형성한다. 도전체부는 유전체부를 사이에 두고 정전 척과 대향한다.

Description

플라즈마 처리 장치, 포커스 링 및 서셉터{PLASMA PROCESSING APPARATUS, FOCUS RING, AND SUSCEPTOR}
도 1은 본 발명의 제 1 실시예에 따른 서셉터가 사용할 수 있는 플라즈마 처리 장치의 개략적인 구성을 도시하는 단면도이다.
도 2는 제 1 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 3은 제 1 실시예에 따른 서셉터의 변형예의 개략적인 구성을 도시하는 단면도이다.
도 4는 제 1 실시예에 따른 서셉터의 다른 변형예의 개략적인 구성을 도시하는 단면도이다.
도 5는 본 발명의 제 2 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 6은 본 발명의 제 3 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 7a 및 도 7b는 도 6에 있어서의 전열 가스 유입 홈의 개략적인 구성을 나타내는 도면으로서, 도 7a는 포커스 링을 접촉면으로부터 바라 본 도면이며, 도 7b는 도 7a에 있어서의 선 Ⅲ-Ⅲ에 따른 단면도이다.
도 8a 및 도 8b는 연속 드라이 에칭 처리에 있어서의 He 압력 및 F/R 척 전압의 변화를 도시하는 시퀀스 다이어그램이다.
도 9는 본 발명의 제 4 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 10은 포커스 링을 가열하는 가열 부재 및 가열되는 포커스 링의 개략적인 구성을 도시하는 단면도이다.
도 11은 펠티에 소자가 설치된 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 12는 본 발명의 제 5 실시예에 따른 서셉터를 사용할 수 있는 플라즈마 처리 장치의 개략적인 구성을 도시하는 단면도이다.
도 13은 도 12의 플라즈마 처리 장치의 요부의 개략적인 구성을 도시하는 단면도이다.
도 14는 포커스 링의 갭(G)의 차이에 의한 에칭 상태의 변화를 도시한 도면이다.
도 15는 포커스 링의 갭(G)의 차이에 의한 에칭 상태의 변화를 도시한 도면이다.
도 16은 플라즈마 처리 장치로 사용할 수 있는 종래의 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 17은 포커스 링과 정전 척의 열전달성을 개선하는 종래의 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 18은 종래의 에칭 장치의 개략적인 구성을 도시하는 단면도이다.
도면의 주요 부분에 대한 부호의 설명
11 : 하부 전극 25 : 정전 척
25a : 중심부 25b : 외주부
25c : 전극판 25d : 전극판
30 : 포커스 링 30a : 유전체부
30b : 도전체부 31 : 냉매실
본 발명은 플라즈마 처리 장치, 포커스 링 및 서셉터에 관한 것이다.
보통, 플라즈마 처리 장치로서 CVD 장치, 에칭 장치 또는 애싱 장치 등이 널리 알려져 있다. 이 플라즈마 처리 장치에 있어서의 플라즈마 처리실내에는 피처리체인 웨이퍼(W)를 재치하는 서셉터가 설치되어 있다. 이 서셉터는 도 16에 도시된 바와 같이, 웨이퍼(W)를 재치하는 원판 모양의 정전 척(51)과, 이 정전 척(51) 상면의 외주연부에 배치된 도전체 또는 유전체로만 이루어진 포커스 링(52)을 구비한다.
웨이퍼(W)에 플라즈마 처리를 실시할 경우에는, 정전 척(51)상에 웨이퍼(W) 를 재치한 후, 처리실을 소정의 진공도로 유지하면서, 예를 들면 C4F8, O2, Ar 등으로 구성되는 처리 가스를 충전한 상태에서 정전 척(51)상에 웨이퍼(W)를 정전 흡착력에 의해 고정하고, 정전 척(51)에 고주파 전력을 인가하여 처리실내에서 처리 가스로부터 플라즈마를 발생시킨다. 이 플라즈마는 정전 척(51)상의 포커스 링(52)에 의해 웨이퍼(W) 위로 수속(收束; 포커스)되고, 웨이퍼(W)에 대하여 소정의 플라즈마 처리[예를 들면, 드라이 에칭(Reactive Ion Etching: RIE) 처리]를 실시한다. 이 때, 드라이 에칭 처리가 실시됨으로써 웨이퍼(W)의 온도가 상승하지만, 상기 온도가 상승한 웨이퍼(W)는 정전 척(51)이 내장하는 냉각 기구에 의해 냉각된다. 이러한 냉각시에, 정전 척(51) 상면으로부터 열전달성이 뛰어난 헬륨 가스 등의 백사이드(backside) 가스를 웨이퍼(W)의 이면을 향해서 유동시켜, 정전 척(51)과 웨이퍼(W) 사이의 열전달성을 향상시킴으로써 웨이퍼(W)가 효율적으로 냉각된다.
한편, 포커스 링(52)의 이면과 정전 척(51)의 외주연부의 상면 사이에는 포커스 링(52)의 이면의 표면 거칠기에 기인하는 요철로 인해, 미크론 단위의 간극이 존재한다. 처리실 내부의 압력을 낮추어 진공 상태로 하면, 해당 간극은 진공 상태가 되고, 진공 단열층을 형성하기 때문에, 정전 척(51)과 포커스 링(52) 사이에 있어서의 열전달성이 낮고, 포커스 링(52)을 웨이퍼(W)와 같이 효율적으로 냉각할 수 없으며, 그 결과 포커스 링(52)의 온도는 웨이퍼(W)의 온도보다도 상승한다. 이러한 포커스 링(52)의 온도 상승에 의해 웨이퍼(W)의 외주연부가 그 내측부보다도 고온이 되고, 해당 외주연부의 에칭 특성이 나빠지며, 구멍 관통성이 악화되거나, 에칭의 선택성이 저하된다.
또한 최근에는, 웨이퍼(W)의 대구경화, 웨이퍼(W)의 초미세 가공화가 비약적으로 진행되었기 때문에, 한 장의 웨이퍼(W)로부터 수많은 디바이스를 생산하게 되었다. 그 때문에 웨이퍼(W)의 외주연부로부터도 디바이스를 생산하는 경우가 있다. 따라서, 포커스 링(52)의 온도 상승을 방지하여, 외주연부에 있어서의 에칭 특성의 악화를 방지 할 필요가 있다.
이 포커스 링의 온도 상승을 방지하기 위해서는, 포커스 링과 정전 척의 열전달성을 개선할 필요가 있고, 이 열전달성을 개선하는 서셉터로서, 도 17에 도시한 바와 같이 냉매 유로(61)를 내장한 정전 척(62)과, 정전 척(62)에 있어서의 웨이퍼(W)의 재치면의 외주연부에 배치된 포커스 링(63)과, 정전 척(62)과 포커스 링(63) 사이에 개재된 열전달 매체(64)와, 포커스 링(63)을 정전 척(62)에 대하여 가압, 고정하는 고정 지그(65)를 구비하는 서셉터(66)가 공지되어 있다[일본 공개 특허 공보 제 2002-16126 호(제 1 도)].
이 서셉터(66)에서는 열전달 매체(64)가 고정 지그(65)로부터 포커스 링(63) 을 거쳐서 인가되는 하중에 의해 변형되고, 이에 따라 정전 척(62)과 포커스 링(63)의 간극을 충전하므로, 정전 척(62)과 포커스 링(63)의 밀착도가 향상되고, 따라서 정전 척(62)과 포커스 링(63)의 열전달성이 개선된다.
또한, 포커스 링의 온도 상승을 방지하는 에칭 장치로서, 도 18에 도시된 바와 같이 반응 실(71)내에 설치된 정전 척(72)과, 상기 정전 척(72)의 상부측 둘레에 설치된 포커스 링(73)과, 포커스 링(73)의 밑면을 따라 설치된 냉각 수단(냉각 유닛)(74)을 구비하고, 이 냉각 유닛(74)은 포커스 링(73)의 밑면에 밀착시켜서 설치된 열전도성이 양호한 재료로 이루어진 기재(74a)와, 상기 기재(74a)에 내장된 냉매를 순환시키는 냉매관(74b)을 갖는 에칭 장치(75)가 공지되어 있다[일본 공개 특허 공보 제 1999-330047 호(제 1 도)].
또한, 다른 에칭 장치로서, 정전 척 상면으로부터 열전달성이 뛰어난 헬륨(He) 가스 등의 백사이드 가스를 포커스 링의 이면을 향해서 유동시킴으로써, 정전 척과 포커스 링 사이에 존재하는 진공의 간극을 백사이드 가스에 의해 확산 충전하고, 따라서 정전 척과 포커스 링 사이의 열전달성을 개선하는 장치가 알려져 있다.
또한, 포커스 링과 정전 척의 열전달성을 개선하기 위해서는, 포커스 링과 정전 척의 밀착성을 향상시키는 것이 바람직하다. 그 때문에, 포커스 링에 대향하여 정전 척에 내장된 전극을 구비하는 에칭 장치가 공지되어 있다. 이 장치에서는 전압이 인가된 전극이 정전 흡착력에 의해 포커스 링을 정전 척에 흡착시키기 때문에, 포커스 링과 정전 척의 밀착성이 향상된다.
그러나, 상기 서셉터(66)는 종래의 서셉터의 구성 부품에 부가하여 열전달 매체(64) 및 고정 지그(65)가 필요하기 때문에, 초기 비용이 상승한다. 또한, 고정 지그(65)는 플라즈마에 노출되기 때문에, 플라즈마 처리의 반복과 함께 소모되고, 이에 따라 정기적인 유지보수를 필요로 한다. 따라서, 유지보수 비용이 상승하는 문제가 있다.
또한, 정전 척(62)에 내장된 냉매 유로(61)는 포커스 링(63)의 열뿐만 아니 라 고정 지그(65)의 열까지 회수해버리기 때문에, 포커스 링(63)의 냉각 효율을 기대만큼 개선할 수 없다고 하는 문제가 있다.
또한, 상기한 에칭 장치(75)에서도 냉각 유닛(74)이 필요하기 때문에, 초기 비용이 상승하고, 또한 냉각 유닛(74)이 플라즈마에 노출되어 있으면, 냉각 유닛(74)은 플라즈마 처리의 반복과 함께 소모되고, 이것에 대응하여 정기적인 유지보수를 필요로 하므로, 유지보수 비용도 상승하는 문제가 있다.
또한, 다른 에칭 장치에는 정전 척과 포커스 링 사이에 존재하는 진공 간극의 두께가 작기 때문에, 백사이드 가스를 해당 진공 간극에 있어서 충분히 확산시킬 수 없다. 그 결과, 정전 척과 포커스 링 사이의 열전달성을 충분히 개선할 수 없다. 따라서, 포커스 링의 냉각 효율을 기대만큼 개선할 수 없다고 하는 문제가 있다.
또한 통상적으로, 플라즈마 처리는 복수의 공정으로 이루어지고, 플라즈마 발생을 위한 고주파 전력의 크기 등이 공정마다 변화되기 때문에, 포커스 링의 온도가 변화된다. 한편, 백사이드 가스의 압력이나 정전 척에 내장된 전극에 인가되는 전압은 공정마다 변화되지 않고, 플라즈마 처리를 통해 일정하기 때문에, 포커스 링과 정전 척의 열전달 능력은 변화되지 않는다. 따라서, 고주파 전력의 크기의 변화에 기인하는 포커스 링의 온도 변화를 억제할 수 없고, 포커스 링의 냉각 효율을 개선할 수 없다고 하는 문제가 있다.
본 발명의 목적은 비용의 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있는 플라즈마 처리 장치, 포커스 링 및 서셉터를 제공하는 것에 있다.
상기 목적을 달성하기 위하여, 본 발명의 제 1 관점에 의하면, 청구항 1이 제공된다.
본 발명의 제 1 관점에 의하면, 포커스 링은 접촉부를 형성하는 유전체부와, 상기 유전체부를 거쳐서 정전 척에 대향하는 도전체부를 가지므로, 피처리체에 플라즈마 처리를 실시할 때에, 정전 척과 포커스 링 사이의 정전 흡착력을 발생시키기 위한 전하량을 많게 할 수 있고, 이에 따라 정전 척과 포커스 링 사이의 정전 흡착력을 높이고, 정전 척과 포커스 링의 밀착도를 향상시켜서 열전달성을 개선할 수 있다.
따라서, 서셉터의 비용의 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있다.
바람직하게는, 청구항 2가 제공된다.
본 바람직한 형태에 의하면, 유전체부의 두께는 포커스 링의 반경방향으로 일정하므로, 정전 척과 도전체부의 정전 흡착력을 일정하게 하고, 정전 척과 도전체부의 밀착도를 균일화할 수 있다. 따라서, 포커스 링을 균일하게 냉각하여 에칭 특성의 국소적인 악화가 발생하는 것을 방지할 수 있다.
바람직하게는, 청구항 3이 제공된다.
본 바람직한 형태에 의하면, 유전체부는 도전체부를 이루는 재료의 산화물로 이루어지므로, 도전체부를 산화함으로써 유전체부를 형성할 수 있다. 따라서, 해당 포커스 링을 용이하게 형성 할 수 있는 동시에, 유전체부와 도전체부 사이의 간극의 발생을 확실하게 방지할 수 있다.
바람직하게는, 청구항 4가 제공된다.
본 바람직한 형태에 의하면, 도전체부를 이루는 재료는 실리콘이므로, 재료의 입수가 용이하고, 따라서 서셉터의 비용 상승을 더욱 방지할 수 있다.
바람직하게는, 청구항 5가 제공된다.
본 바람직한 형태에 의하면, 유전체부를 이루는 재료는 이산화규소이므로, 유전체부의 형성이 용이하고, 따라서 서셉터의 비용 상승을 확실하게 방지할 수 있다.
상기 목적을 달성하기 위하여, 본 발명의 제 2 관점에 의하면, 청구항 6이 제공된다.
본 발명의 제 2 관점에 의하면, 포커스 링은 접촉부를 형성하는 유전체부와, 상기 유전체부를 거쳐서 정전 척에 대향하는 도전체부를 가지므로, 피처리체에 플라즈마 처리를 실시할 때에, 정전 척과 포커스 링 사이의 정전 흡착력을 발생시키기 위한 전하량을 많게 할 수 있고, 이에 따라 정전 척과 포커스 링 사이의 정전 흡착력을 높이고, 정전 척과 포커스 링의 밀착도를 향상시켜서 열전달성을 개선할 수 있다. 따라서, 서셉터의 비용 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있다.
상기 목적을 달성하기 위하여, 본 발명의 제 3 관점에 의하면, 청구항 7이 제공된다.
본 발명의 제 3 관점에 의하면, 포커스 링은 접촉부를 형성하는 유전체부와, 상기 유전체부를 거쳐서 정전 척에 대향하는 도전체부를 가지므로, 피처리체에 플라즈마 처리를 실시할 때에, 정전 척과 포커스 링 사이의 정전 흡착력을 발생시키기 위한 전하량을 많게 할 수 있고, 이에 따라 정전 척과 포커스 링 사이의 정전 흡착력을 높이고, 정전 척과 포커스 링의 밀착도를 향상시켜서 열전달성을 개선할 수 있다. 따라서, 서셉터의 비용의 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있다.
상기 목적을 달성하기 위하여, 본 발명의 제 4 관점에 의하면, 청구항 8이 제공된다.
본 발명의 제 4 관점에 의하면, 열교환 수단을 정전 척과 포커스 링의 접촉면에 가지므로, 정전 척과 포커스 링 사이에 냉각 유닛을 필요로 하지 않고, 또한 정전 척과 포커스 링 사이의 열전달성을 충분히 개선할 수 있고, 이에 따라 비용의 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있다.
바람직하게는, 청구항 9가 제공된다.
본 바람직한 형태에 의하면, 열교환 수단은 열매체가 충전되고 접촉면에 설치된 홈이므로, 열매체를 정전 척과 포커스 링 사이에 확실하게 확산시킬 수 있고, 따라서 포커스 링의 냉각 효율을 보다 비약적으로 개선할 수 있다.
바람직하게는, 청구항 10이 제공된다.
본 바람직한 형태에 의하면, 열매체가 갈덴(Galden)이므로 입수가 용이하여, 비용의 상승을 확실하게 방지할 수 있다.
바람직하게는, 청구항 11이 제공된다.
본 바람직한 형태에 의하면, 포커스 링이 홈을 가지므로, 포커스 링과 열매체의 접촉 면적을 증가시킬 수 있는 동시에, 포커스 링의 강성을 적절하게 저하시킴으로써 포커스 링을 정전 척의 형상과 일치하도록 변형시킬 수 있고, 이에 따라 정전 척과 포커스 링의 밀착도를 향상시킬 수 있다. 그 결과, 포커스 링의 냉각 효율을 더욱 비약적으로 개선할 수 있다.
바람직하게는, 청구항 12가 제공된다.
본 바람직한 형태에 의하면, 정전 척이 홈을 가지므로, 포커스 링에 있어서 홈을 형성할 필요가 없고, 이에 따라 포커스 링의 초기 비용을 절감할 수 있으며, 따라서 비용의 상승을 방지할 수 있다.
바람직하게는, 청구항 13이 제공된다.
본 바람직한 형태에 의하면, 홈의 깊이는 O.1mm 이상이므로, 컨덕턴스를 크게 할 수 있고, 따라서 열매체를 신속하게 홈에 충전할 수 있고, 그 결과 포커스 링의 냉각 효율을 현저하게 개선할 수 있다.
바람직하게는, 청구항 14가 제공된다.
본 바람직한 형태에 의하면, 홈에 있어서의 코너부는 둥글게 성형되어 있으므로, 홈에 있어서의 균열의 발생을 방지할 수 있고, 따라서 포커스 링의 내구성을 향상할 수 있고, 그 결과 유지보수 비용의 상승을 방지할 수 있다.
바람직하게는, 청구항 15가 제공된다.
본 바람직한 형태에 의하면, 홈은 포커스 링과 동심형의 고리 모양을 갖는 적어도 하나의 홈으로 이루어지므로, 포커스 링과 정전 척의 접촉면에 있어서 열매체를 균등하게 확산시킬 수 있고, 따라서 포커스 링을 균등하게 냉각할 수 있다.
바람직하게는, 청구항 16이 제공된다.
본 바람직한 형태에 의하면, 포커스 링이 냉각되므로, 에칭 처리중에 발생하는 디포지트(deposit)가 포커스 링에 부착되고, 피처리체에 디포지트가 부착되는 것을 방지할 수 있다. 따라서, 피처리체의 이동시에, 피처리체로부터 박리되는 디포지트에 의해 파티클 오염의 발생을 방지할 수 있다.
바람직하게는, 청구항 17이 제공된다.
본 바람직한 형태에 의하면, 제어부는 플라즈마 처리의 각 공정에 따라 공급되는 냉각 가스의 압력을 변경하므로, 플라즈마 발생을 위한 고주파 전압이 공정 마다 변화되어도, 고주파 전압의 변화에 따라 포커스 링과 정전 척의 열전달 능력을 변화시킬 수 있고, 포커스 링의 냉각을 안정적으로 실행할 수 있다. 따라서, 피처리체에 있어서의 에칭 특성의 국소적인 악화의 발생을 방지할 수 있다.
바람직하게는, 청구항 18이 제공된다.
본 바람직한 형태에 의하면, 제어부는 플라즈마 처리의 각 공정에 따라 전극에 인가되는 전압를 변경하므로, 플라즈마 발생을 위한 고주파 전압이 공정마다 변화되어도, 고주파 전압의 변화에 따라 포커스 링과 정전 척의 열전달 능력을 변화시킬 수 있고, 포커스 링의 냉각을 안정적으로 실행할 수 있다. 따라서, 피처리체에 있어서의 에칭 특성의 국소적인 악화의 발생을 방지할 수 있다.
바람직하게는, 청구항 19가 제공된다.
본 바람직한 형태에 의하면, 포커스 링의 온도가 정전 척의 온도보다 20K 이상 낮아질 수 있으므로, 디포지트를 포커스 링에 확실하게 부착할 수 있다.
바람직하게는, 청구항 20이 제공된다.
본 바람직한 형태에 의하면, 포커스 링의 온도가 0℃ 이하로 낮아질 수 있으므로, 디포지트를 포커스 링에 보다 확실하게 부착할 수 있다.
바람직하게는, 청구항 21이 제공된다.
본 바람직한 형태에 의하면, 포커스 링이 가열되므로, 부착된 디포지트를 제거할 수 있다. 따라서, 포커스 링의 교환 사이클을 연장할 수 있고, 유지보수 비용을 절감할 수 있다.
바람직하게는, 청구항 22가 제공된다.
본 바람직한 형태에 의하면, 포커스 링이 제 2 가열 수단을 가지므로, 열교환 수단의 구조를 간소화할 수 있고, 장치의 초기 비용을 절감할 수 있다.
바람직하게는, 청구항 23이 제공된다.
본 바람직한 형태에 의하면, 포커스 링은 클리닝 가스에 노출되므로, 포커스 링에 부착된 디포지트를 용이하게 제거할 수 있다.
바람직하게는, 청구항 24가 제공된다.
본 바람직한 형태에 의하면, 포커스 링은 플라즈마에 노출되므로, 포커스 링에 부착된 디포지트를 플라즈마 처리중에 제거할 수 있다. 따라서, 피처리체의 플라즈마 처리의 효율을 저하시키지 않고, 디포지트를 제거할 수 있다.
바람직하게는, 청구항 25가 제공된다.
본 바람직한 형태에 의하면, 열교환 수단은 펠티에 소자이므로, 열매체를 필요로 하지 않는다. 따라서, 열교환 수단의 구조를 간소화할 수 있고, 장치의 초기 비용을 절감할 수 있다.
상기 목적을 달성하기 위하여, 본 발명의 제 5 관점에 의하면, 청구항 26이 제공된다.
본 발명의 제 5 관점에 의하면, 열교환 수단을 정전 척과 포커스 링의 접촉면에 가지므로, 정전 척과 포커스 링 사이에 냉각 유닛을 필요로 하지 않고, 또한 정전 척과 포커스 링 사이의 열전달성을 충분히 개선할 수 있고, 이에 따라 비용의 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있다.
상기 목적을 달성하기 위하여, 본 발명의 제 6 관점에 의하면, 청구항 27이 제공된다.
본 발명의 제 6 관점에 의하면, 열교환 수단을 정전 척과 포커스 링의 접촉면에 가지므로, 정전 척과 포커스 링 사이에 냉각 유닛을 필요로 하지 않고, 또한 정전 척과 포커스 링 사이의 열전달성을 충분히 개선할 수 있고, 이에 따라 비용의 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있다.
이상의 내용과 본 발명의 다른 목적, 특징 및 이점은 첨부된 도면과 상세한 설명으로부터 보다 명확해질 것이다.
이하, 본 발명의 실시예에 대해서 도면을 참조하여 상세히 설명한다.
도 1은 본 발명의 제 1 실시예에 따른 서셉터를 채용할 수 있는 플라즈마 처리 장치의 개략적인 구성을 도시하는 단면도이다.
도 1에 있어서, RIE형의 플라즈마 처리 장치로서 구성되는 플라즈마 처리 장치는 예를 들면 알루미늄 또는 스테인레스강과 같은 금속제의 보안 접지된 원통형 챔버(10)를 가지며, 상기 챔버(10)내에 피처리체로서의 웨이퍼(W)를 재치하는 원판모양의 하부 전극(11)이 설치되어 있다. 이 하부 전극(11)은 예를 들면 알루미늄으로 되어 있고, 절연성의 원통형 유지 부재(12)를 거쳐서 챔버(10)의 바닥으로부터 수직 상방으로 연장되는 원통형 지지부(13)에 지지되어 있다.
챔버(10)의 측벽과 원통형 지지부(13) 사이에는 배기로(14)가 형성되고, 이 배기로(14)의 입구 또는 중간에 고리 모양의 배플판(15)이 설치됨과 동시에, 바닥에 배기구(16)가 설치되고, 상기 배기구(16)에 배기관(17)을 거쳐서 배기 장치(18)가 접속되어 있다. 여기에서, 배기 장치(18)는 진공 펌프를 가지며, 챔버(10)내의 처리 공간을 소정의 진공도까지 압력을 낮춘다. 또한, 배기관(17)은 가변식 나비밸브인 자동 압력 제어 밸브(automatic pressure control valve)(이하 「APC」로 지칭함)(도시하지 않음)를 가지며, 상기 APC는 자동적으로 챔버(10)내의 압력 제어를 실행한다. 또한, 챔버(10)의 측벽에는 웨이퍼(W)의 반입 출구(19)를 개폐하는 게이트 밸브(20)가 장착되어 있다.
하부 전극(11)에는 플라즈마 생성 및 RIE용의 고주파 전원(21)이 정합기(22) 및 급전 로드(23)를 거쳐서 전기적으로 접속되어 있다. 이 고주파 전원(21)은 소 정의 고주파, 예를 들면 60MHz의 고주파 전력을 하부 전극(11)에 인가한다. 또한, 챔버(10)의 천장부에는 후술하는 접지 전위의 상부 전극으로서의 샤워 헤드(24)가 설치되어 있다. 이에 따라 고주파 전원(21)로부터의 고주파 전압이 하부 전극(11)과 샤워 헤드(24) 사이에 인가된다.
하부 전극(11)의 상면에는 웨이퍼(W)를 정전 흡착력으로 흡착하는 정전 척(25)이 설치되어 있다. 이 정전 척(25)은 원판 모양의 중심부(25a)와, 고리 모양의 외주부(25b)로 이루어지고, 중심부(25a)는 외주부(25b)에 대하여 도면의 상방으로 연장된다. 또한, 중심부(25a)는 도전막으로 이루어진 전극판(25c)을 한 쌍의 유전막 사이에 끼워 구성되는 한편, 외주부(25b)는 도전막으로 이루어진 전극판(25d)을 한 쌍의 유전막 사이에 끼워 구성되며, 또한 전극판(25c)에는 직류 전원(26)이 스위치(27)를 거쳐서 전기적으로 접속되어 있는 한편, 전극판(25d)에는 직류 전원(28)이 스위치(29)를 거쳐서 전기적으로 접속되어 있다. 그리고, 정전 척(25)은 직류 전원(26)으로부터의 직류 전압에 의해 쿨롱력 또는 죤슨-라벡(Johnsen-Rahbek)력에 의해 웨이퍼(W)를 흡착하여 지지한다.
정전 척(25)의 외주부(25b)의 상면에는 중심부(25a)를 고리 모양으로 둘러싸는 포커스 링(30)이 재치되어 있다. 그리고, 하부 전극(11), 정전 척(25) 및 포커스 링(30)은 서셉터를 구성한다.
또한, 하부 전극(11)의 내부에는 예를 들면 원주방향으로 연장하는 고리 모양의 냉매실(31)이 설치된다. 이 냉매실(31)에는 칠러 유닛(32)으로부터 배관(33, 34)을 거쳐서 소정 온도의 냉매, 예를 들면 냉각수가 순환 공급되어, 해당 냉매의 온도에 의해 정전 척(25)상의 웨이퍼(W)의 처리 온도를 제어한다. 또한, 전열 가스 공급부(35)로부터의 전열 가스, 예를 들면 He 가스가 가스 공급 라인(36)을 거쳐서 정전 척(25)의 상면과 웨이퍼(W)의 이면의 간극에 공급되어, 웨이퍼(W)와 정전 척(25)의 열전달성을 향상시킨다.
천장부의 샤워 헤드(24)는 다수의 가스 통기공(37a)을 갖는 밑면의 전극판(37)과, 상기 전극판(37)을 착탈 가능하게 지지하는 전극 지지체(38)를 갖는다. 또한, 상기 전극 지지체(38)의 내부에 버퍼실(39)이 설치되고, 이 버퍼실(39)의 가스 도입구(38a)에는 처리 가스 공급부(40)로부터의 가스 공급 배관(41)이 접속되어 있다. 또한 챔버(10)의 주위에는 고리 모양 또는 동심형으로 연장되는 자석(42)이 배치되어 있다.
이 플라즈마 처리 장치의 각 구성요소, 예를 들면 배기 장치(18), 고주파 전원(21), 정전 척용의 스위치(27, 29), 처리 유닛(32), 전열 가스 공급부(35) 및 처리 가스 공급부(40) 등은 이것들의 동작을 제어하는 제어부(43)에 접속되어 있다.
이 플라즈마 처리 장치의 챔버(10)내에서는 자석(42)에 의해 한 방향을 향하는 수평 자계가 형성됨과 동시에, 하부 전극(11)과 샤워 헤드(24) 사이에 인가된 고주파 전압에 의해 연직방향의 RF 전계가 형성되며, 이에 따라 챔버(10)내에 있어서 처리 가스를 거쳐 마그네트론 방전이 행하여지고, 하부 전극(11)의 표면 근방에 있어서 처리 가스로부터 고밀도의 플라즈마가 생성된다.
이 플라즈마 처리 장치에서는, 드라이 에칭 처리시에, 우선 게이트 밸브(20)를 개방 상태로 하여 가공 대상인 웨이퍼(W)를 챔버(10)내에 반입하고, 정전 척(25) 위에 재치한다. 그리고, 처리 가스 공급부(40)로부터 처리 가스(예를 들면, 소정 유량 비율의 C4F8 가스, O2 가스 및 Ar 가스로 이루어진 혼합 가스)를 소정 유량 및 유량비로 챔버(10)내에 유입하고, 배기 장치(18) 등에 의해 챔버(10)내의 압력을 소정 값으로 한다. 또한, 고주파 전원(21)으로부터 고주파 전력을 하부 전극(11)상에 공급하고, 직류 전원(26)으로부터 직류 전압을 정전 척(25)의 전극판(25c)에 인가하고, 웨이퍼(W)를 정전 척(25)상에 흡착한다. 그리고, 샤워 헤드(24)로부터 토출된 처리 가스는 상기한 바와 같이 플라즈마화하고, 이 플라즈마에서 생성되는 라디칼이나 이온에 의해 웨이퍼(W)의 표면이 에칭된다.
이 플라즈마 처리 장치에서는, 하부 전극(11)에 대하여 종래(일반적으로 27MHz 이하)보다도 훨씬 높은 주파수 영역(50MHz 이상)의 고주파를 인가함으로써, 처리 가스를 바람직한 해리 상태로 분해시킨다. 분해된 처리 가스는 플라즈마가 되기 때문에, 저압의 조건하에서도 고밀도 플라즈마를 생성할 수 있다. 이 고밀도 플라즈마는 손상이 거의 없는 산화 및 질화 처리의 실현을 가능하게 하고, 반도체 디바이스의 고성능화, 저소비 전력화에 크게 기여한다. 즉, 플라즈마중의 고에너지 입자나, 상기 고에너지 입자의 충돌 등에 의해 처리실의 내벽 등으로부터 방사되는 금속 원자에 의한 웨이퍼(W)의 손상이나 오염 등을 방지할 수 있기 때문에, 고품질의 절연막 형성이 요구되는 게이트 형성 공정에 플라즈마 처리를 적용할 수 있고, 그 때문에 본 실시예에 따른 플라즈마 처리 장치는 웨이퍼(W)의 가공 미세화의 진전에 의해 발생할 수 있는 기술적 과제에 대응할 수 있다.
도 2는 제 1 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
제 1 실시예에 따른 서셉터는 웨이퍼(W)의 에칭 대상막이 산화막인 플라즈마 처리 장치에 사용할 수 있다.
도 2에 있어서, 제 1 실시예에 따른 서셉터는 상기한 바와 같이, 하부 전극(11)과, 하부 전극(11)의 상면에 배치되는 정전 척(25)과, 상기 정전 척(25)의 외주부(25b)의 상면에 재치되는 포커스 링(30)으로 이루어진다.
하부 전극(11)은 냉매실(31)을 가지며, 정전 척(25)은 중심부(25a)의 내부에 전극판(25c)을 갖고, 또한 외주부(25b)의 내부에 전극판(25d)을 가지며, 포커스 링(30)은 외주부(25b)와 접촉하는 접촉부를 형성하는 유전체부(30a)와, 상기 유전체부(30a)를 거쳐서 외주부(25b)에 대향하는 도전체부(30b)를 갖는다.
여기에서, 웨이퍼(W)의 에칭 대상막이 산화막이기 때문에, 포커스 링(30)에 있어서 플라즈마에 노출되는 부위는 실리콘(Si)으로 형성되는 것이 바람직하며, 따라서 도전체부(30b)는 실리콘으로 이루어지고, 유전체부(30a)는 실리콘의 산화물인 이산화규소(SiO2)로 이루어진다.
웨이퍼(W)에 드라이 에칭 처리를 실시할 때에, 고주파 전원(21)에 의해 고주파 전력을 하부 전극(11)에 공급하여 플라즈마를 생성하고, 직류 전원(26)으로부터 전극판(25c)에 고전압을 인가하여 정전 흡착력에 의해 중심부(25a)에 웨이퍼(W)를 흡착시키고, 직류 전원(28)으로부터 전극판(25d)에 고전압을 인가하여 정전 흡착력에 의해 외주부(25b)에 포커스 링(30)을 흡착시킨다. 전극판(25c, 25d)에 인가되 는 고전압은 제어부(43)에 의해 제어된다. 플라즈마가 생성될 때, 종래의 도전체만으로 이루어지는 포커스 링에서는 포커스 링 전체가 플라즈마와 같은 음전위가 되지만, 포커스 링과 정전 척 사이에 전하의 흐름을 방해하는 것이 존재하지 않기 때문에, 포커스 링에 대전된 음전하는 포커스 링과 정전 척의 접촉면을 통해서 정전 척으로 유출된다. 따라서, 포커스 링과 정전 척 사이의 정전 흡착력을 발생시키는 전하가 감소한다. 한편, 제 1 실시예에 따른 포커스 링(30)에서는 도전체부(30b)가 플라즈마와 같은 음전위가 되고, 유전체부(30a)에 있어서의 도전체부(30b)의 계면에는 양전하가 유도되기 때문에, 유전체부(30a)에 있어서의 정전 척(25)과의 계면에는 유전 분극에 의해 음전하가 발생한다. 또한, 정전 척(25)의 표면부가 유전체로 구성되어 있을 경우, 상기 표면부에 있어서의 유전체부(30a)와의 계면에는 유전 분극에 의해 양전하가 발생한다. 그리고, 이들 전하의 작용에 의해, 정전 척(25)과 포커스 링(30) 사이의 정전 흡착력을 보다 높일 수 있다.
이 때, 직류 전원(28)이 전극판(25d)에 인가하는 전압은 유전체부(30a)의 고유 저항치에 의해 결정된다. 즉, 고유 저항치가 1013Ω이상이면, 도전체부(30b)에 유도된 전하에 의해 발생하는 정전 흡착력은 쿨롱력이기 때문에, 인가되는 전압은 약 1.5 내지 4.0kV이며, 고유 저항치가 1013Ω미만이면, 상기 정전 흡착력은 죤슨-라벡력이기 때문에, 인가되는 전압은 약 0 내지 1.0kV이다.
또한, 유전체부(30a)의 두께는 포커스 링(30)의 반경방향으로 일정하지만, 유전체부(30a)의 두께가 커질수록, 정전 척(25)과 도전체부(30b)의 열전달성이 악화되므로, 해당 두께는 얇은 것이 바람직하다. 다만, 제 1 실시예에서는 웨이퍼(W)의 에칭 대상막이 산화막이기 때문에, 이산화규소로 이루어지는 유전체부(30a)는 플라즈마 처리의 반복과 함께 소모된다. 따라서, 유전체부(30a)의 두께는 적어도 1회 유지보수 사이클동안 소모되는 두께 이상일 필요가 있다.
제 1 실시예에 따른 서셉터에 의하면, 포커스 링(30)은 외주부(25b)와의 접촉부를 형성하는 유전체부(30a)와, 상기 유전체부(30a)를 거쳐서 정전 척(25)에 있어서의 외주부(25b)에 대향하는 도전체부(30b)를 구비하므로, 웨이퍼(W)에 드라이 에칭 처리를 실시할 때에, 포커스 링(30)의 유전체부(30a)로부터 접촉부를 거쳐 정전 척(25)으로의 전하의 흐름을 차단할 수 있고, 종래의 포커스 링과 비교해서 정전 흡착력을 발생시키는 전하량의 손실을 억제할 수 있고, 따라서 정전 척(25)과 포커스 링(30) 사이의 정전 흡착력을 높이고, 정전 척(25)과 포커스 링(30)의 밀착도를 향상시켜서 열전달성을 개선할 수 있고, 그 결과, 서셉터의 비용 상승을 방지하는 동시에, 포커스 링(30)의 냉각 효율을 비약적으로 개선할 수 있다.
또한, 유전체부(30a)의 두께는 포커스 링(30)의 반경방향으로 일정하므로, 정전 척(25)과 포커스 링(30)사이의 정전 흡착력을 일정하게 하여 밀착도를 균일화할 수 있고, 따라서 포커스 링(30)을 균일하게 냉각하여 에칭 특성의 국소적인 악화가 발생하는 것을 방지할 수 있다.
또한, 도전체부(30b)의 재료는 실리콘이므로, 재료의 입수가 용이하다. 따라서, 서셉터의 비용 상승을 또한 방지할 수 있고, 또한 유전체부(30a)를 이루는 재료는 이산화규소이므로, 유전체부(30a)를 스퍼터링 등에 의해 용이하게 형성할 수 있으며, 따라서 서셉터의 비용 상승을 확실하게 방지할 수 있는 동시에, 스퍼터 링에 의해 형성된 유전체부(30a)는 포커스 링(30)의 접촉부의 표면을 매끈하게 하기 때문에, 정전 척(25)과 포커스 링(30)의 밀착도를 보다 향상시킬 수 있다.
상술한 제 1 실시예에 따른 서셉터에서는 유전체부(30a)는 포커스 링(30)의 반경방향으로 그 두께가 일정하지만, 도 3에 도시된 바와 같이 포커스 링(30)의 내측으로부터 외측에 걸쳐서 해당 두께가 증가하도록 구성될 수도 있으며, 또한 도 4에 도시된 바와 같이 포커스 링(30)의 외측으로부터 내측에 걸쳐서 해당 두께가 증가하도록 구성될 수도 있다.
또한, 유전체부(30a)의 유전율이 포커스 링(30)의 내측으로부터 외측에 걸쳐서 증가하도록 구성될 수도 있으며, 또한 유전체부(30a)의 유전율이 포커스 링(30)의 외측으로부터 내측에 걸쳐서 증가하도록 구성될 수도 있다.
다음으로, 본 발명의 제 2 실시예에 따른 서셉터에 대하여 상세히 설명한다.
제 2 실시예에 따른 서셉터는 그 구성 및 작용이 상술한 제 1 실시예와 기본적으로 같으므로, 중복되는 구성 및 작용에 관해서는 설명을 생략하고, 이하에 다른 구성 및 작용에 관해 설명한다.
도 5는 제 2 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
제 2 실시예에 따른 서셉터는 웨이퍼(W)의 에칭 대상막이 폴리실리콘인 플라즈마 처리 장치에 사용될 수 있다.
도 5에 있어서, 제 2 실시예에 따른 서셉터에서는, 포커스 링(30)이 정전 척(25)의 외주부(25b)와 접촉하는 접촉부를 형성하는 유전체부(30c)와, 상기 유전체부(30c)를 거쳐서 외주부(25b)에 대향하는 도전체부(30d)와, 상기 도전체부(30d) 의 상면에 배치되는 다른 유전체부(30e)로 이루어진다.
하부 전극(11) 및 정전 척(25)의 구성은 제 1 실시예와 동일하다.
여기에서, 웨이퍼(W)의 에칭 대상막이 폴리실리콘이기 때문에, 포커스 링(30)에 있어서의 플라즈마에 노출되는 부위는 실리콘 이외의 것으로 형성되는 것이 바람직하며, 따라서 다른 유전체부(30e)는 이산화규소로 이루어진다. 또한, 유전체부(30c)도 이산화규소로 이루어지는 한편, 도전체부(30d)는 실리콘으로 이루어지고, 도전체부(30d)의 일부는 플라즈마에 노출 및 접촉된다.
웨이퍼(W)에 드라이 에칭 처리를 실시할 때에, 직류 전원(28)은 전극판(25d)에 고전압을 인가한다. 플라즈마가 생성될 때, 플라즈마에 접촉하는 도전체부(30d)는 플라즈마와 같은 음전위가 되고, 유전체부(30c)에 있어서의 도전체부(30d)와의 계면에는 양전하가 유도됨과 동시에, 유전체부(30c)에 있어서의 정전 척(25)과의 계면에는 유전 분극에 의해 음전하가 발생한다. 또한 정전 척(25)의 표면부가 유전체로 구성되어 있을 경우, 상기 표면부에 있어서의 유전체부(30c)의 계면에는 유전 분극에 의해 양전하가 발생한다. 그리고, 이들 전하의 작용에 의해, 정전 척(25)과 포커스 링(30) 사이의 정전 흡착력을 보다 높일 수 있다.
여기에서, 종래의 유전체만으로 이루어지는 포커스 링에 있어서, 전극판(25d)과 플라즈마를 콘덴서의 양쪽 전극으로 가정하였을 때, 양쪽 전극 사이에 개재되는 유전체(포커스 링)에 전하가 축적되는 것을 고려하더라도, 해당 유전체의 두께가 지나치게 크기 때문에, 콘덴서의 용량이 지나치게 적다. 즉, 정전 흡착력을 발생시키는 전하를 다량으로 축적할 수 없다. 한편, 제 2 실시예에 따른 포커스 링(30)에서는 전극판(25d)과 도전체부(30d)를 콘덴서의 양쪽 전극으로 가정하였을 때, 양쪽 전극 사이에 개재되는 유전체는 종래의 포커스 링과 비교해서 충분히 얇은 유전체부(30c)이기 때문에, 콘덴서의 용량을 크게 하는 것, 즉 정전 흡착력을 발생시키는 전하를 다량으로 축적하는 것이 가능하다.
유전체부(30c) 및 도전체부(30d)의 두께는 포커스 링(30)의 반경방향으로 일정하지만, 각각의 두께는 얇은 것이 바람직하다. 다만, 제 2 실시예에서는 웨이퍼(W)의 에칭 대상막이 폴리실리콘이기 때문에, 실리콘으로 이루어지는 도전체부(30d)는 플라즈마 처리의 반복과 함께 소모된다. 따라서, 도전체부(30d)의 두께는 적어도 1회의 유지보수 사이클동안 소모되는 두께 이상일 필요가 있다.
제 2 실시예에 따른 서셉터에 의하면, 포커스 링(30)은 정전 척(25)에 있어서의 외주부(25b)와의 접촉부를 형성하는 유전체부(30c)와, 상기 유전체부(30c)를 거쳐서 외주부(25b)에 대향하는 도전체부(30d)를 구비하므로, 웨이퍼(W)에 드라이 에칭 처리를 실시할 때에, 정전 흡착력을 발생시키는 전하량을 많게 할 수 있고, 따라서 정전 척(25)과 포커스 링(30) 사이의 정전 흡착력을 높이고, 정전 척(25)과 포커스 링(30)의 밀착도를 향상시켜서 열전달성을 개선할 수 있다. 따라서, 서셉터의 비용 상승을 방지하는 동시에, 포커스 링(30)의 냉각 효율을 비약적으로 개선할 수 있다.
여기에서, 제 2 실시예에 따른 서셉터에서는 유전체부(30c)는 그 두께가 포커스 링(30)의 반경방향으로 일정하지만, 포커스 링(30)의 내측으로부터 외측에 걸쳐서, 또는 외측으로부터 내측에 걸쳐서 해당 두께가 증가하도록 구성될 수도 있으 며, 또한 유전체부(30c)의 유전율이 포커스 링(30)의 내측으로부터 외측에 걸쳐서,또는 외측으로부터 내측에 걸쳐서 증가하도록 구성될 수도 있음은 상술한 제 1 실시예에 따른 서셉터와 같다.
상술한 제 1 및 2 실시예에 따른 서셉터에서는 도전체부의 재료로서 실리콘을 사용하였지만, 도전체부를 이루는 재료는 플라즈마에 접촉하여 마이너스로 대전하는 것이면, 어떤 것을 이용하여도 좋으며, 예를 들면 알루미늄(Al)이나 반도체 등을 이용할 수도 있다. 이에 따라, 도전체부에 유도되는 전하를 보다 증가시킬 수 있고, 따라서 정전 척(25)과 포커스 링(30)의 밀착도를 보다 향상시켜서 열전달성을 보다 개선할 수 있다.
또한, 상술한 제 1 및 2의 실시예에 따른 서셉터에서는 유전체부의 재료로서 이산화규소를 사용하였지만, 유전체부의 재료는 절연성을 가지며, 특히 유전율이 큰 것이면, 어떤 것을 이용하여도 좋고, 예를 들면 질화규소(SiN)이나 알루마이트 등을 이용할 수도 있다. 이 때, 유전체부의 재료로서 도전체부를 이루는 재료의 산화물을 사용하면, 도전체부를 산화시킴으로써 유전체부를 형성할 수 있다. 따라서, 포커스 링(30)을 용이하게 형성할 수 있는 동시에, 유전체부와 도전체부 사이의 간극의 발생을 방지할 수 있고, 따라서 도전체부에 유도되는 전하를 또한 증가시킬 수 있다.
또한, 유전체부의 형성 방법은 스퍼터링에 한정되지 않고, 재료에 따라 CVD나 디핑(dopping) 등을 적절히 사용할 수 있다.
또한, 상술한 제 1 및 2 실시예에 따른 서셉터에서는 정전 척(25)과 유전체 부(30a 또는 30c)가 직접 접촉하지만, 도전성 실리콘 고무 등의 내열성이 있는 탄성 부재를 정전 척(25)과 유전체부(30a 또는 30c) 사이에 개재시킬 수도 있으며, 이에 따라 정전 척(25)과 포커스 링(30)사이의 열전달성을 또한 개선할 수 있다. 또한, 백사이드 가스로서 헬륨 가스를 정전 척(25)과 유전체부(30a 또는 30c) 사이에 충전시킬 수도 있으며, 이에 따라 열전달 능력이 더욱 향상될 수 있다.
다음으로, 본 발명의 제 3 실시예에 따른 서셉터에 대해서 상세히 설명한다.
제 3 실시예에 따른 서셉터는 그 구성 및 작용이 상술한 제 1 실시예와 기본적으로 같으므로, 중복되는 구성 및 작용에 관해서는 설명을 생략하고, 이하에 다른 구성 및 작용에 관해 설명한다.
제 3 실시예에 따른 서셉터에서는 후술하는 바와 같이, 전열 가스 공급부(35)로부터의 전열 가스(열매체), 예를 들면 He 가스가 가스 공급 라인(46)을 거쳐서 정전 척(25)의 중심부(25a)의 상면과 웨이퍼(W)의 이면의 간극, 정전 척(25)의 외주부(25b)의 상면과 포커스 링(30)의 이면의 간극, 하부전극(11)과 정전 척(25)의 간극에 공급되어, 웨이퍼(W)와 정전 척(25), 포커스 링(30)과 정전 척(25), 및 정전 척(25)과 하부 전극(11)의 열전달성을 향상시킨다.
도 6은 제 3 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 6에 있어서, 제 3 실시예에 따른 서셉터는 제 1 실시예에 따른 서셉터와 같이, 하부 전극(11)과, 하부 전극(11)의 상면에 배치되는 정전 척(25)과, 상기 정전 척(25)의 외주부(25b)의 상면에 재치되는 포커스 링(30)으로 이루어진다.
여기에서, 가스 공급 라인(46)은 중심부(25a)의 상면에 개구되는 웨이퍼 섹 션 라인(46a)과, 외주부(25b)의 상면의 2 개소에 있어서 개구되는 포커스 링 섹션 라인(46b)을 가지며, 포커스 링 섹션 라인(46b)의 개구부는 외주부(25b)의 상면에 있어서 중심부(25a)의 중심에 대해서 대칭으로 배치된다(도 7a 참조).
웨이퍼 섹션 라인(46a)은 PCV(pressure control valve)(80)와 개폐 밸브(81)를 구비하고, PCV(80) 및 개폐 밸브(81)는 이들의 동작을 제어하는 제어부(43)에 접속된다. PCV(80)는 웨이퍼 섹션 라인(46a)이 웨이퍼(W)의 이면에 공급하는 He 가스의 압력을 제어하고, 개폐 밸브(81)는 제어부(43)의 명령에 따라 웨이퍼 섹션 라인(46a)을 전열 가스 공급부(35)로부터 차단한다.
포커스 링 섹션 라인(46b)도 PCV(82)와 개폐 밸브(83)를 구비하며, PCV(82) 및 개폐 밸브(83)는 이들의 동작을 제어하는 제어부(43)에 접속된다. PCV(82)는 포커스 링 섹션 라인(46b)이 후술되는 전열 가스 유입 홈(44)에 공급하는 He 가스의 압력을 제어하고, 개폐 밸브(83)는 제어부(43)의 명령에 따라 포커스 링 섹션 라인(46b)을 전열 가스 공급부(35)로부터 차단한다.
또한, 포커스 링 섹션 라인(46b)은 포커스 링 섹션 라인(46b)의 개구부와 개폐 밸브(83) 사이에 챔버 개방 계통(84)을 갖는다. 이 챔버 개방 계통(84)은 2개의 라인(85a, 85b)으로 이루어진다. 라인(85a, 85b)은 일단에 있어서 포커스 링 섹션 라인(46b)과 각각 연통하는 동시에, 타단에 있어서 서로 접속되어서 하나의 계통을 이루고, 챔버(10)내에 연통한다. 라인(85a)은 개폐 밸브(86)를 가지며, 라인(85b)은 개폐 밸브(87) 및 오리피스부(88)를 갖는다. 개폐 밸브(86, 87)는 이들의 동작을 제어하는 제어부(43)에 접속된다.
또한, 웨이퍼 섹션 라인(46a)이 도면에 도시된 바와 같이 챔버 개방 계통(84)과 같은 계통을 구비할 수도 있다.
또한, 웨이퍼 섹션 라인(46a)이 복수 설치되고, 각 웨이퍼 섹션 라인(46a)이 중심부(25a)의 상면에 있어서 각각 웨이퍼(W)의 이면의 중심부 및 주연부에 대향하도록 개구되는 것이 바람직하다. 이에 따라, 웨이퍼(W)의 온도를 적절히 제어할 수 있다.
또한, 포커스 링(30)은 외주부(25b)와 접촉하는 접촉면(접촉부)에 있어서 전열 가스 유입 홈(44)을 가지며, 그 재료는 웨이퍼(W)의 에칭 대상막이 산화막인 경우에는 실리콘(Si)을 사용할 수 있고, 웨이퍼(W)의 에칭 대상막이 폴리실리콘인 경우에는 이산화규소(SiO2)를 사용할 수 있는 등, 웨이퍼(W)의 에칭 대상막의 종류에 따라 적절하게 선택된다. 예를 들면, 질화 규소(SiN)이나 알루마이트 처리된 알루미늄(Al), 탄화 규소(SiC) 등도 사용할 수 있다.
도 7a 및 도 7b는 도 6에 있어서의 전열 가스 유입 홈(44)의 개략적인 구성을 나타내는 도면으로, 도 7a는 포커스 링(30)을 접촉면으로부터 바라 본 도면이며, 도 7b는 도 7a에 있어서의 선 Ⅲ-Ⅲ에 따른 단면도이다.
도 7에 있어서, 전열 가스 유입 홈(44)은 접촉면에 있어서 포커스 링(30)과 동심형의 고리 모양을 갖는 내측 유입 홈(44a)과, 마찬가지로 접촉면에 있어서 상기 내측 유입 홈(44a)을 둘러싸도록 배치되고 또한 포커스 링(30)과 동심형의 고리 모양을 갖는 외측 유입 홈(44b)과, 내측 유입 홈(44a)과 외측 유입 홈(44b)을 연결 하는 방사상 유입 홈(44c)을 가지며, 외측 유입 홈(44b)의 직경은 외주부(25b)의 상면에 있어서의 2개의 포커스 링 섹션 라인(46b)의 개구부 사이의 거리와 대략 일치한다.
보통, 정전 척(25)에 있어서의 중심부(25a)의 중심과, 포커스 링(30)의 중심은 일치하기 때문에, 포커스 링(30)을 외주부(25b)의 상면에 재치하였을 때, 포커스 링 섹션 라인(46b)의 개구부와 외측 유입 홈(44b)이 대향하고, 이에 따라 포커스 링 섹션 라인(46b)의 개구부로부터 공급되는 He 가스는 전열 가스 유입 홈(44)내에 충전된다.
또한, 내측 유입 홈(44a), 외측 유입 홈(44b) 및 방사상 유입 홈(44c)의 단면 형상은 대략 직사각형이고, 그 폭은 예를 들면 1mm이며, 그 깊이는 O.1 내지 1.0mm이고, 또한 0.5mm 이상인 것이 바람직하며, 그 코너부는 둥글게 성형되어 있다.
다음으로, 드라이 에칭 처리에 있어서의 전열 가스 유입 홈(44)에의 He 가스의 공급 압력(이하 「He 압력」으로 지칭함)의 변화 및 포커스 링(30)을 정전 척(25)의 외주부(25b)에 흡착시키기 위하여 전극판(25d)에 인가되는 고전압(High Voltage)(이하 「F/R 척 전압」으로 지칭함)의 변화에 대해서 설명한다.
도 8a 및 도 8b는 연속 드라이 에칭 처리에 있어서의 He 압력 및 F/R 척 전압의 변화를 도시하는 시퀀스 다이어그램이다.
도 8a 및 도 8b에 있어서, 연속 드라이 에칭 처리는 PCV(82)의 0점 조정을 실행하는 PCV 0점 조정 시퀀스, 전열 가스 유입 홈(44)에 공급되는 He 가스의 누출 을 점검하는 누출 점검 시퀀스, 웨이퍼(W)를 챔버(10)에 반입하는 반입 시퀀스, 반입된 웨이퍼(W)에 드라이 에칭을 실시하는 프로세스 시퀀스, 드라이 에칭이 실시된 웨이퍼(W)를 챔버(10)로부터 반출하는 반출 시퀀스, 및 드라이 에칭시에 대전된 하부 전극(11)을 제전(除電)하는 하부 전극 제전 시퀀스를 가지며, 이들 시퀀스를 적절히 조합함으로써 실행된다.
우선, 플라즈마 처리 장치는 N2 가스를 챔버(10)에 유입시키고(N2 퍼지 온), APC을 개방하는 동시에, 배기 장치(18)를 작동시켜서 챔버(10)내의 압력을 낮춘다.
계속되는 PCV 0점 조정 시퀀스에서는 PCV(82)를 폐쇄하고, 포커스 링 섹션 라인(46b)을 전열 가스 공급부(35)로부터 차단하는 동시에, 개폐 밸브(83, 86, 87)를 개방한다. 따라서, 포커스 링 섹션 라인(46b)은 챔버 개방 계통(84)을 거쳐서 배기 장치(18)에 의해 진공 처리된다. 소정 시간만큼 진공 처리를 계속한 후, 포커스 링 섹션 라인(46b)내의 압력에 의거하여 PCV(82)의 0점 조정을 실행한다(PCV 0점 조정 온). 연속 드라이 에칭처리의 초기에 PCV(82)의 0점 조정을 함으로써, 이후의 시퀀스에 있어서의 He 압력의 제어를 정확하게 실행할 수 있다. 또한, 챔버(10)내의 감압시에, 포커스 링 섹션 라인(46b)을 진공 처리함으로써, 챔버(10)내와 전열 가스 유입 홈(44)내의 압력차를 제거할 수 있다. 이에 따라 압력차에 기인하는 포커스 링(30)의 이탈을 방지할 수 있다.
이어서, 누출 점검 시퀀스에서는 APC를 폐쇄하고, APC에 의해 제어되는 챔버(10)내의 압력(이하 「APC 제어 압력」으로 지칭함)을 상승시키고, 상기 APC 제어 압력을 누출 점검시의 챔버(10) 내부 압력인 F/R 척 흡착 압력으로 설정한다. APC 제어 압력의 상승시에, APC 제어 압력이 6.65 ×104Pa(500torr)에 달하면, 전극판(25d)에 고전압을 인가하여 F/R 척 전압을, 임시 흡착을 위한 F/R 척 임시 흡착 전압으로 설정하고, 또한 2.5초 후에, 본 흡착을 위한 F/R 척 흡착 전압으로 설정한다. 전극판(25d)에의 고전압의 인가를 APC 제어 압력이 6.65 ×104Pa에 도달할 때까지 시작하지 않는 것은, 챔버(10) 내부의 압력이 낮을 때에는 전극판(25d)에 고전압을 인가하여도 포커스 링(30)이 정전 척(25)에 흡착되지 않기 때문이다.
그리고, He 가스를 포커스 링 섹션 라인(46b)으로부터 전열 가스 유입 홈(44)에 공급하고, He 압력이 F/R 누출 점검 압력에 도달한 후에 PCV(82)를 폐쇄하고(He 압력을 오프로 함), 소정 시간 경과후, 포커스 링 섹션 라인(46b)내의 압력을 측정하고, 측정된 압력이 소정의 범위내에 있는지 여부를 판정한다. 또한, 누출 점검은 포커스 링 섹션 라인(46b)내의 압력의 측정이 아니라, 포커스 링 섹션 라인(46b)내의 가스 유량을 측정하는 것에 의해 수행할 수도 있다.
측정된 압력이 소정의 범위내에 있으면, 계속되는 반입 시퀀스에 있어서, APC를 개방하는 동시에, F/R 척 전압을 반입시 F/R 척 전압으로 설정하고, He 압력을 반입시 F/R 냉각 압력으로 설정한다. He 압력이 안정되면, 웨이퍼(W)를 챔버(10)내에 반입하고, 정전 척(25)에 재치하여 흡착하는 동시에, N2 가스의 챔버(10)로의 유입을 중단한다(N2 퍼지 오프).
이어서, 프로세스 시퀀스에서는 APC을 폐쇄하고, APC 제어 압력을 드라이 에칭에 필요한 챔버(10)내의 압력인 프로세스 압력까지 상승시키고, 드라이 에칭 시작후, 드라이 에칭의 레시피에 있어서의 각 단계에 대응하여 He 압력을 예를 들면F/R 냉각 압력(단계 1)이나 F/R 냉각 압력(단계 2) 등으로 변경하는 동시에, F/R 척 전압을 예를 들면 F/R 척 전압(단계 1)이나 F/R 척 전압(단계 2) 등으로 변경한다. F/R 냉각 압력(단계 1) 및 F/R 척 전압(단계 1) 등의 값은, 단계별로 하부 전극(11)으로의 인가 고주파 전압이나 전극판(25c)으로의 인가 고전압이 변화되어도, 포커스 링(30)의 온도가 일정하게 유지되도록, 미리 설정되어 있다. 또한, 제 3 실시예에 따른 플라즈마 처리 장치로는, F/R 냉각 압력(단계 n) 및 F/R 척 전압(단계 n)은 각각 24 단계에 대응하여 설정할 수 있다.
드라이 에칭의 종료후, 반출 시퀀스에서는 APC를 개방하고, F/R 척 전압을 반출시 F/R 척 전압으로 설정하는 동시에, 상술한 PCV 0점 조정 시퀀스와 마찬가지로, PCV(82)를 폐쇄하고, 개폐 밸브(83, 86, 87)를 개방하여 PCV(82)의 0점 조정을 실행한다(PCV 0점 조정 온). 그 후에, N2 가스를 챔버(10)에 유입하는 동시에(N2 퍼지 온), He 압력을 반출시 F/R 냉각 압력으로 설정하고, 드라이 에칭이 실시된 웨이퍼(W)를 챔버(10)로부터 반출한다.
웨이퍼(W)가 반출된 후, 하부 전극 제전 시퀀스에서는, APC를 폐쇄하고, APC 제어 압력을 프로세스 압력으로 설정하는 동시에, 하부 전극(11)의 제전을 실행하고, 계속되는 반입 시퀀스에서는 APC을 개방하는 동시에, F/R 척 전압을 반입시 F /R 척 전압으로 설정하고, He 압력을 반입시 F/R 냉각 압력으로 설정하고, N2 가스의 챔버(10)로의 유입을 중단한다(N2 퍼지 오프). 그리고, 다음 웨이퍼(W)[즉, 제 2 웨이퍼(W)]를 챔버(10)내에 반입하고, 정전 척(25)에 재치하여 흡착한다.
이어서, 상술한 프로세스 시퀀스 및 반출 시퀀스를 실행하고, 드라이 에칭이 실시된 제 2 웨이퍼(W)를 반출한 후, 상술한 하부 전극 제전 시퀀스를 실행한다.
이상의 반입 시퀀스, 프로세스 시퀀스, 반출 시퀀스 및 하부 전극 제전 시퀀스를 1로트에 있어서의 웨이퍼(W)의 매수, 예를 들면 25장에 대응시켜 반복 실행한다.
반출 시퀀스 및 반입 시퀀스에 있어서, F/R 척 전압 및 He 압력을 0으로 하지 않고 포커스 링(30)의 냉각을 실행하는 것은, 다음 웨이퍼(W)의 드라이 에칭에 대비하여, 포커스 링(30)의 열을 완전하게 제거하고, 웨이퍼(W)마다 드라이 에칭 조건을 균일하게 하기 위해서다.
또한, 각 반입 시퀀스에서는 반드시 PCV(82)의 0점 조정이 실행된다. 즉, 각 프로세스 시퀀스에 대응하여 반드시 PCV(82)의 0점 조정이 실행된다. 이에 따라 각 프로세스 시퀀스에 있어서 He 압력의 제어를 정확하게 실행할 수 있다.
해당 로트에 있어서의 최후의 하부 전극 제전 시퀀스가 실행되면, APC를 개방하는 동시에, F/R 척 전압을 반입시 F/R 척 전압으로 설정하고, He 압력을 반입시 F/R 냉각 압력으로 설정하고, 소정 시간 경과후, PCV(82)를 폐쇄하고, 개폐 밸브(83, 86, 87)를 개방하고, 포커스 링 섹션 라인(46b)의 진공 처리를 실행한다. 그리고, 포커스 링 섹션 라인(46b)으로부터 He 가스를 배제한 후, 다시 He 압력을 반입시 F/R 냉각 압력으로 설정하고, 또한 F/R 척 전압을 0으로 설정하고, 포커스 링(30)의 정전 척(25)에의 정전 흡착을 해제한다.
또한, 도 8a 및 도 8b의 시퀀스 다이어그램에 있어서의 F/R 척 전압이나 He 압력의 그래프의 고저는 F/R 척 전압이나 He 압력의 값의 대소에 무관하며, 단지 값이 변화됨을 도시한다.
도 8a 및 도 8b의 시퀀스에 의하면, F/R 척 전압 및 He 압력의 설정 값이 반입 시퀀스, 프로세스 시퀀스 및 반출 시퀀스에 따라 변경되며, 특히 프로세스 시퀀스의 각 단계에 따라 변경되므로, 포커스 링(30)의 냉각을 안정적으로 실행할 수 있다. 따라서, 웨이퍼(W)에 있어서의 에칭 특성의 국소적인 악화의 발생을 방지할 수 있다.
제 3 실시예에 따른 서셉터에 의하면, 포커스 링(30)은 정전 척(25)에 있어서의 외주부(25b)와 접촉하는 접촉면에 있어서 전열 가스 유입 홈(44)을 가지며, 포커스 링(30)을 외주부(25b)의 상면에 재치하였을 때, 포커스 링 섹션 라인(46b)의 개구부와 전열 가스 유입 홈(44)이 대향하여 포커스 링 섹션 라인(46b)으로부터의 He 가스가 전열 가스 유입 홈(44)에 충전되므로, 정전 척(25)과 포커스 링(30) 사이에 냉각 유닛을 필요로 하지 않고, 또한 He 가스를 정전 척(25)과 포커스 링(30) 사이에 있어서 확실하게 확산시킬 수 있는 동시에, 포커스 링(30)과 He 가스의 접촉 면적을 증가시킬 수 있다. 따라서, 정전 척(25)과 포커스 링(30) 사이의 열전달성을 충분히 개선할 수 있고, 이에 따라 플라즈마 처리 장치의 비용 상승 을 방지하는 동시에, 포커스 링(30)의 냉각 효율을 보다 비약적으로 개선할 수 있다. 또한, 전열 가스 유입 홈(44)이 포커스 링(30)의 강성을 적절하게 저하시킴으로써 포커스 링(30)을 정전 척(25)의 형상과 일치하도록 변형시킬 수 있고, 이에 따라 정전 척(25)과 포커스 링(30)의 밀착도를 향상할 수 있다. 그 결과, 포커스 링(30)의 냉각 효율을 또한 비약적으로 개선할 수 있다.
또한, 전열 가스 유입 홈(44)의 깊이는 0.1mm 이상이므로, 컨덕턴스를 크게 할 수 있고, 따라서 He 가스의 신속한 전열 가스 유입 홈(44)에의 충전을 실행할 수 있고, 그 결과 포커스 링(30)의 냉각 효율을 현저하게 개선할 수 있다.
또한, 전열 가스 유입 홈(44)에 있어서의 코너부는 둥글게 성형되어 있으므로, 전열 가스 유입 홈(44)에 있어서의 균열의 발생을 방지할 수 있고, 따라서 포커스 링(30)의 내구성을 향상할 수 있고, 그 결과 유지보수 비용의 상승을 방지할 수 있다.
또한, 전열 가스 유입 홈(44)은 상기 접촉면에 있어서 포커스 링(30)과 동심형의 고리 모양을 갖는 내측 유입 홈(44a)과, 마찬가지로 접촉면에 있어서 상기 내측 유입 홈(44a)을 둘러싸도록 배치되고 또한 포커스 링(30)과 동심형의 고리 모양을 갖는 외측 유입 홈(44b)과, 내측 유입 홈(44a)과 외측 유입 홈(44b)을 연결하는 방사상 유입 홈(44c)을 가지므로, 정전 척(25)과 포커스 링(30) 사이(즉, 상기 접촉면)에 있어서 He 가스를 균등하게 확산시킬 수 있고, 따라서 포커스 링(30)을 균등하게 냉각할 수 있다.
상술한 제 3 실시예에 따른 서셉터에서는, 전열 가스 유입 홈(44)이 상기 접 촉면에 있어서 2중의 고리 모양을 갖고 있지만, 전열 가스 유입 홈(44)의 구조는 이것에 한정되지 않고, 포커스 링(30)의 크기, 강성에 따라 적절히 변경되며, 예를 들면 단일 고리 모양이나, 3중 이상의 고리 모양을 가질 수도 있다.
또한, 전열 가스 유입 홈(44)은 방사상 유입 홈(44c)을 갖지 않을 수도 있으며, 이 경우 내측 유입 홈(44a)에 대응하는 가스 공급 라인(46)의 개구부가 외주부(25b)의 상면에 배치되는 것이 바람직하다.
포커스 링 섹션 라인(46b)의 개구부도 2개소에 한정되지 않으며, 외주부(25b)의 상면에 3개소 이상 배치될 수도 있다.
다음으로, 본 발명의 제 4 실시예에 따른 서셉터에 대해서 상세히 설명한다.
제 4 실시예에 따른 서셉터는 그 구성 및 작용이 상술한 제 3 실시예와 기본적으로 같으므로, 중복되는 구성 및 작용에 관해서는 설명을 생략하고, 이하에 다른 구성 및 작용에 관해서 설명한다.
도 9는 제 4 실시예에 따른 서셉터의 개략적인 구성을 도시하는 단면도이다.
도 9에 있어서, 제 4 실시예에 따른 서셉터도 하부 전극(11)과, 하부 전극(11)의 상면에 배치되는 정전 척(25)과, 상기 정전 척(25)의 외주부(25b)의 상면에 재치되는 포커스 링(30)으로 이루어진다.
여기에서, 정전 척(25)은 외주부(25b)의 상면에 있어서 전열 가스 유입 홈(45)을 가지며, 상기 전열 가스 유입 홈(45)은 외주부(25b)의 상면에 있어서 중심부(25a)와 동심형의 고리 모양을 갖는 내측 유입 홈(45a)과, 마찬가지로 외주부(25b)의 상면에 있어서 상기 내측 유입 홈(45a)을 둘러싸도록 배치되고 또한 중심부(25a)와 동심형의 고리 모양을 갖는 외측 유입 홈(45b)과, 내측 유입 홈(45a)과 외측 유입 홈(45b)을 연결하는 방사상 유입 홈(도시하지 않음)을 가지며, 가스 공급 라인(46)에 있어서의 포커스 링 섹션 라인(46b)은 외측 유입 홈(45b)에 연결된다. 이에 따라, 포커스 링 섹션 라인(46b)의 개구부로부터 공급되는 He 가스는 전열 가스 유입 홈(45)내에 충전된다.
보통, 정전 척(25)에 있어서의 중심부(25a)의 중심과 포커스 링(30)의 중심은 일치하기 때문에, 포커스 링(30)을 외주부(25b)의 상면에 재치하였을 때, 내측 유입 홈(45a) 및 외측 유입 홈(45b)은 포커스 링(30)에 대하여도 동심원 모양으로 배치된다.
또한, 내측 유입 홈(45a), 외측 유입 홈(45b) 및 방사상 유입 홈의 단면 형상도 대략 직사각형 형상을 가지며, 그 폭은 예를 들어 1mm이며, 그 깊이는 O.1 내지 1.Omm이거나 또는 0.5mm 이상인 것이 바람직하고, 그 코너부는 둥글게 성형되어 있다.
제 4 실시예에 따른 서셉터에 의하면, 정전 척(25)은 외주부(25b)의 상면에 있어서 전열 가스 유입 홈(45)을 가지며, 가스 공급 라인(46)에 있어서의 포커스 링 섹션 라인(46b)은 외측 유입 홈(45b)에 연결되어 He 가스를 전열 가스 유입 홈(45)에 공급하므로, 정전 척(25)과 포커스 링(30) 사이에 냉각 유닛을 필요로 하지 않고, 포커스 링(30)에 있어서 전열 가스 유입 홈을 형성할 필요를 없앨 수 있고, 또한 He 가스를 정전 척(25)과 포커스 링(30) 사이에 있어서 확실하게 확산시킬 수 있다. 따라서, 정전 척(25)과 포커스 링(30) 사이의 열전달성을 충분히 개 선할 수 있고, 이에 따라 플라즈마 처리 장치의 초기 비용을 절감할 수 있는 동시에, 포커스 링(30)의 냉각 효율을 보다 비약적으로 개선할 수 있다.
또한, 전열 가스 유입 홈(45)은 외주부(25b)의 상면에 있어서 중심부(25a)와 동심형의 고리 모양을 갖는 내측 유입 홈(45a)과, 마찬가지로 접촉면에 있어서 상기 내측 유입 홈(45a)을 둘러싸도록 배치되고 또한 중심부(25a)와 동심형의 고리 모양을 갖는 외측 유입 홈(45b)과, 내측 유입 홈(45a)과 외측 유입 홈(45b)을 연결하는 방사상 유입 홈을 가지므로, 포커스 링(30)과의 접촉면인 외주부(25b)의 상면에 있어서 He 가스를 균등하게 확산시킬 수 있고, 따라서 포커스 링(30)을 균등하게 냉각할 수 있다.
상술한 제 4 실시예에 따른 서셉터에서는, 전열 가스 유입 홈(45)은 외주부(25b)의 상면에 있어서 2중의 고리 모양을 갖고 있지만, 전열 가스 유입 홈(45)의 구조는 이것에 한정되지 않고, 포커스 링(30)의 크기에 따라 적절히 변경되어, 예를 들면 단일 고리 모양이나, 3중 이상의 고리 모양을 가질 수도 있다.
또한, 상술한 제 3 및 제 4 실시예에 따른 서셉터에서는, 포커스 링(30)과 정전 척(25)중 어느 하나만이 전열 가스 유입 홈을 갖지만, 포커스 링(30) 및 정전 척(25)의 각각이 전열 가스 유입 홈을 가질 수도 있으며, 이에 따라 포커스 링(30)의 냉각 효율을 더욱 향상시킬 수 있다.
드라이 에칭 처리중에 있어서, 처리 가스로부터 발생하는 라디칼중 물체에 부착되는 디포지트성 라디칼은 저온의 물체에 부착되는 경향이 있다. 종래의 플라즈마 처리 장치에서는 드라이 에칭 처리에 있어서, 웨이퍼(W)의 온도는 하부 전극 등이 내장하는 냉각 기구의 냉각 작용에 의해 약 80℃ 근방까지밖에 상승하지 않는다. 한편, 포커스 링의 온도는 충돌하는 라디칼에 의해 약 200 내지 400℃ 근방까지 상승한다. 따라서, 디포지트성 라디칼은 웨이퍼(W)에 부착되는 경향이 있고, 특히 웨이퍼(W)가 정전 척으로부터 돌출한 부분에 있어서의 이면, 즉 웨이퍼 베벨부(beveled portion)에 부착되기 쉽다.
이 웨이퍼 베벨부에 부착된 디포지트성 라디칼은 웨이퍼(W)의 드라이 에칭 처리후, 웨이퍼(W)가 플라즈마 처리 장치로부터 반출될 때에, 웨이퍼(W)로부터 박리될 수 있다. 박리된 디포지트성 라디칼은 플라즈마 처리 장치내에 잔류하고, 다음회 그리고 그 이후의 드라이 에칭 처리중 N2 가스의 흐름에 의해 감아 올려질 수 있다. 그리고, 감아 올려진 디포지트성 라디칼은 웨이퍼(W)의 표면에 부착되는 등으로 인해 파티클 오염을 야기한다.
상술한 파티클 오염의 발생을 방지하기 위해서는, 웨이퍼 베벨부에 디포지트성 라디칼이 부착되지 못하도록 하는 것이 바람직하다. 웨이퍼 베벨부에의 디포지트성 라디칼의 부착을 방지하는 방법으로서는, 예를 들면 포커스 링과 정전 척 사이에 O2 가스나 He 가스가 흐르게 하여, 웨이퍼 베벨부의 표면 근방에 가스 유동을 발생시키는 것 등이 있다. 그러나, 가스 유동이 에칭을 위한 라디칼도 흘려보내기 때문에, 웨이퍼(W)의 에지부에 있어서의 에칭의 균일성이 계속될 수 없다.
한편, 상술한 제 3 및 제 4 실시예에 따른 서셉터에서는, 전열 가스 유입 홈(44 또는 45)에 갈덴 등의 냉매를 충전함으로써 포커스 링(30)을 냉각하고, 디포 지트성의 라디칼을 포커스 링(30)에 강제적으로 부착되게 한다. 이에 따라, 웨이퍼 베벨부에 디포지트성 라디칼이 부착되는 것을 방지할 수 있고, 파티클 오염의 발생을 방지할 수 있다. 포커스 링(30)의 냉각 때문에, 전열 가스 유입 홈(44 또는 45)에 충전하는 냉매의 종류는 특별히 한정되지 않지만, 갈덴은 입수가 용이하기 때문에, 갈덴을 사용하면 플라즈마 처리 장치의 운용 비용을 확실하게 억제할 수 있다.
포커스 링(30)을 냉각할 때는 포커스 링(30)의 온도를 정전 척(25)의 온도보다 20K 이상 낮추는 것이 바람직하다. 드라이 에칭 처리중이라도, 정전 척(25)의 온도는 하부 전극(11) 내부의 냉매실(31)에 의해 약 20℃로 유지된다. 따라서, 포커스 링(30)의 온도를 정전 척(25)의 온도보다 20K 이상 낮춤으로써, 포커스 링(30)의 온도를 0℃ 이하로 낮출 수 있다. 이에 따라, 디포지트성 라디칼이 포커스 링(30)에 확실하게 부착되도록 할 수 있다.
디포지트성 라디칼이 포커스 링(30)에 다량으로 부착되었을 경우에는, 포커스 링(30)을 교환할 필요가 있지만, 포커스 링(30)의 빈번한 교환은 플라즈마 처리 장치의 유지보수 비용을 상승시키기 때문에, 디포지트성 라디칼이 포커스 링(30)에 다량으로 부착되지 못하도록 할 필요가 있다. 이를 위해, 포커스 링(30)에 부착된 디포지트성 라디칼을 제거하는 것이 바람직하다.
거기에서, 상술한 제 3 및 제 4 실시예에 따른 서셉터에서는, 전열 가스 유입 홈(44 또는 45)에 고열의 매체를 충전함으로써 포커스 링(30)을 가열한다. 디포지트성 라디칼은 고열에 의해 승화되기 때문에, 포커스 링(30)을 가열함으로써, 부착된 디포지트성 라디칼을 제거할 수 있다. 이에 따라 포커스 링(30)의 교환 사이클을 연장할 수 있고, 플라즈마 처리 장치의 유지보수 비용을 억제할 수 있다.
포커스 링의 가열 방법은 고온의 열매체의 충전에 한정되지 않는다. 예를 들면 포커스 링의 외주면을 덮는 가열 부재(제 2 가열 수단)에 의한 가열도 좋다.
도 10은 포커스 링을 가열하는 가열 부재 및 가열되는 포커스 링의 개략적인 구성을 도시하는 단면도이다.
도 10에 있어서, 포커스 링(48)의 외반경은 포커스 링(30)과 비교해서 작게 설정됨과 동시에, 포커스 링(48)의 상면은 외주측이 낮아지도록 단차를 이룬다. 가열 부재(47)는 단면이 역 "L"자 모양의 원환형 부재이며, 그 내주 측면이 포커스 링(48)의 외주 측면을 덮는 동시에, "L"자에 있어서의 돌출부가 포커스 링(48)의 상면에 있어서의 단차부에 매끈하게 결합된다. 가열 부재(47)는 라디칼의 충돌에 의해 온도가 상승하기 쉬운 실리콘(Si), 탄화규소(SiC), 이산화규소(SiO2) 등으로 이루어진다.
그리고, 플라즈마 처리 장치내에 있어서 플라즈마를 발생시키고, 가열 부재(47)에 라디칼을 충돌시킴으로써 가열 부재(47)의 온도를 상승시킨다. 온도가 상승된 가열 부재(47)는 그 열을 포커스 링(48)에 전달하여 포커스 링(48)을 가열한다.
가열 부재(47)를 사용하면, 전열 가스 유입 홈(44 또는 45)에 고열의 매체를 충전할 필요를 없앨 수 있기 때문에, 전열 가스 유입 홈(44, 45), 가스 공급 라인(46)이나 전열 가스 공급부(35)의 구조를 간소화할 수 있다.
디포지트성 라디칼의 제거는 포커스 링의 가열뿐만 아니라, 다른 방법에 의해 실현될 수도 있다.
예를 들면, 클리닝 가스를 플라즈마 처리 장치내에 흘려보내서 디포지트성 라디칼을 제거할 수도 있다. 구체적으로는, O2, NF3, SF6나 CF4 등으로 이루어진 클리닝 가스로부터 플라즈마를 발생시키고, 포커스 링에 부착된 디포지트성 라디칼에 발생한 라디칼을 충돌시켜서, 디포지트성 라디칼을 비산시킴으로써, 포커스 링으로부터 디포지트성 라디칼을 용이하게 제거할 수 있다.
또한, 예를 들면 드라이 에칭 처리중에 발생한 플라즈마에 포커스 링을 노출시켜 디포지트성 라디칼을 제거할 수도 있다. 구체적으로는, 처리 가스로부터 발생한 라디칼을 포커스 링에 부착된 디포지트성 라디칼에 충돌시킨다. 이에 따라 포커스 링(30)에 부착된 디포지트를 연속 드라이 에칭 처리중에 제거할 수 있다. 따라서, 웨이퍼(W)의 드라이 에칭 처리의 효율을 저하시키지 않고, 디포지트를 제거할 수 있다.
포커스 링의 냉각 및 가열 방법은 상술한 열매체를 사용하는 방법에 한정되지 않는다. 예를 들면, 도 11에 도시된 바와 같이 포커스 링(30)과 정전 척(25)의 접촉면에 있어서, 전열 가스 유입 홈(44, 45) 대신에, 펠티에 소자(Peltier Device)(49)가 설치되어도 좋다. 펠티에 소자(49)는 직류 전류에 의해 용이하게 가열 또는 냉각을 실행할 수 있으므로, 열매체를 필요로 하지 않는다. 따라서, 열교환 기구의 구성을 간소화할 수 있고, 플라즈마 처리 장치의 초기 비용을 절감할 수 있다. 또한, 펠티에 소자(49)는 포커스 링(30) 및 정전 척(25)중 어디에 설치되어도 좋다.
또한, 포커스 링의 가열 방법으로서, 상술한 방법 외에, 램프의 조사에 의한 가열이나, 저항체의 발열에 의한 가열을 이용할 수도 있다.
또한, 상술한 포커스 링의 가열 방법 및 냉각 방법의 조합은 자유롭기 때문에, 이들 방법을 적절히 조합하여 사용할 수 있다.
제 1 내지 제 4 실시예에 따른 서셉터에서는, 정전 척(25)은 원판형이고, 포커스 링(30)은 원환형이지만, 정전 척(25) 및 포커스 링(30)의 형상은 이것에 한정되지 않고, 예를 들면 피처리체가 LCD 등일 경우, LCD의 형상에 대응해서 정전 척(25)은 사각형 플레이트 형상이 되고, 포커스 링(30)이 사각형 프레임 형상이 될 수도 있다.
다음으로, 본 발명의 제 5 실시예에 따른 서셉터에 대해서 상세한 설명한다.
도 12는 본 발명의 제 5 실시예에 따른 서셉터를 사용할 수 있는 플라즈마 처리 장치의 개략적인 구성을 도시하는 단면도이다.
도 12에 있어서, 처리 용기를 구성하는 진공 챔버(101)는 재질이 예를 들어 알루미늄 등이며, 원통형으로 구성되어 있다.
이 진공 챔버(101)내에는 웨이퍼(W)를 재치하기 위한 서셉터(102)가 설치되어 있고, 이 서셉터(102)는 하부 전극을 겸하고 있다. 또한 진공 챔버(101)내의 천장부에는 상부 전극을 구성하는 샤워 헤드(103)가 설치되어 있고, 이들 서셉터(102)와 샤워 헤드(103)에 의해 한쌍의 평행 평판 전극이 구성되어 있다.
상기 샤워 헤드(103)의 상방에는 가스 확산용 공극(104)이 설치되는 동시에, 이 가스 확산용 공극(104)의 하측에 위치하도록 다수의 세공(105)이 설치된다. 그리고, 처리 가스 공급계(106)로부터 공급된 소정의 처리 가스(에칭 가스)를 가스 확산용 공극(104)내에서 확산시켜, 세공(105)으로부터 웨이퍼(W)를 향해 샤워형으로 공급하도록 구성되어 있다. 본 실시예에서 이 샤워 헤드(103)는 접지 전위가 되도록 하고 있지만, 샤워 헤드(103)에 고주파 전원이 접속되어, 세섭터(102)와 샤워 헤드(103)의 쌍방에 고주파 전압이 인가되는 구성으로 할 수도 있다.
한편, 서셉터(102)에는 정합기(107)를 거쳐서 고주파 전원(108)이 접속되어 있고, 서셉터(102)에 소정 주파수(예를 들면, 수백 킬로헤르츠 내지 100메가 헤르츠 정도의 고주파)의 고주파 전력을 공급한다.
또한, 서셉터(102)의 웨이퍼(W)의 재치면에는, 웨이퍼(W)를 흡착 지지하기 위한 정전 척(109)이 설치된다. 이 정전 척(109)은 절연층(109a)내에 정전 척용 전극(109b)을 설치한 구성으로 되어 있고, 정전 척용 전극(109b)에는 직류 전원(110)이 접속되어 있다. 또한, 서셉터(102)의 상면에는 웨이퍼(W)의 주위를 둘러싸도록 포커스 링(111)이 설치된다.
상기 포커스 링(111)은 그 전체 형상이 고리 모양으로 구성되어 있고, 도 13에도 도시된 바와 같이, 서셉터(102)상에 재치되는 하측 부재(111a)와, 이 하측 부재(111a)의 상측에 배치되는 상측 부재(111b)로 구성되어 있다.
하측 부재(111a)와 상측 부재(111b)는 모두 예를 들어 실리콘 등의 재질로 되어 있고, 고리 모양으로 구성되어 있다. 그리고, 하측 부재(111a)와 상측 부재(111b) 사이에는 간극(111c)이 형성되고 있고, 도 13의 화살표로 도시된 바와 같이, 이 간극(111c)이 처리 가스의 유로로서 기능하도록 되어 있다.
하측 부재(111a)에는 핀 삽입 구멍(113)이 복수개(본 실시예에서는 원주방향을 따라 120°간격으로 합계 3개)가 설치되어 있고, 이들 핀 삽입 구멍(113)에는 각각 핀(114)이 삽입되어 있다. 또한 상측 부재(111b)에는 이들 핀(114)에 대응하여 결합 구멍(115)이 설치되어 있고, 이들 결합 구멍(115)내에 핀(114)의 선단 부분이 삽입된 상태에서, 핀(114) 위에 상측 부재(111b)가 지지되도록 되어 있다. 즉, 상측 부재(111b)는 실질적으로 핀(114)상에 재치된 상태로 되어 있고, 상방으로 들어 올림으로써 하측 부재(111a)로부터 제거되고, 상측 부재(111b)만을 교환 가능한 구조로 되어 있다.
또한, 핀(114)은 각각 하측 부재(111a)에 대하여 착탈 가능하도록 되어 있고, 핀(114)을 길이가 다른 것으로 교환함으로써 하측 부재(111a)와 상측 부재(111b) 사이의 간극(111c)의 폭[도 13에 도시된 간격(갭)G]을 변경 가능하도록 되어 있다.
또한, 도 13의 참조부호 "116"은 포커스 링(111)전체를 서셉터(102)상의 소 정 위치에 위치 결정하기 위한 위치 결정 핀이며, 서셉터(102)상에 원주방향으로 180°간격으로 합계 2개가 설치되어 있다. 또한 하측 부재(111a) 및 상측 부재(111b)에는 이들의 위치 결정 핀(116)에 대응하여 각각 위치 결정 구멍(117, 118)이 설치된다.
또한, 상기 서셉터(102)에는 도면에 도시하지 않은 냉매 순환용의 냉매유로가 형성되고 있고, 서셉터(102)를 소정 온도로 온도 제어 가능하도록 되어 있다. 또한, 서셉터(102)와 웨이퍼(W)의 이면 사이에 냉각용 가스, 예를 들면 헬륨 가스를 공급하는 도면에 도시하지 않은 가스 공급 기구가 설치되어 있고, 이 냉각용 가스에 의해, 서셉터(102)와 웨이퍼(W)의 열교환이 촉진되어, 웨이퍼(W)가 소정 온도로 온도 제어되도록 되어 있다.
도 12에 도시된 바와 같이, 진공 챔버(101)의 바닥에는 배기 포트(120)가 설치되고, 이 배기 포토(120)에는 진공 펌프 등으로 구성된 배기계(121)가 접속되어 있다.
또한, 세셉터(102)의 주위에는 웨이퍼(W)의 재치면에 대하여 대략 평행하게, 고리 모양으로 형성된 배기 링(122)이 설치된다. 이 배기 링(122)에는 다수의 구멍으로 이루어진 배기로가 형성되고 있고, 이들 배기로를 거쳐서 배기계(121)에 의해 진공 배기를 실행함으로써, 서셉터(102)의 주위에 균일한 처리 가스의 흐름이 형성되도록 되어 있다. 또한, 이 배기 링(122)은 전기적으로 접지 전위에 접속되고 있어, 서셉터(102)와 샤워 헤드(103) 사이의 처리 공간에 형성된 플라즈마가 배기 링(122)의 하방 공간으로 누출되는 것을 방지한다.
또한, 진공 챔버(101)의 주위에는 자장 형성 기구(123)가 설치되어 있고, 진공 챔버(101)내의 처리 공간에, 소망의 자장을 형성할 수 있도록 되어 있다. 이 자장 형성 기구(123)에는 회전 기구(124)가 설치되어 있고, 진공 챔버(101)의 주위 로 자장 형성 기구(123)를 회전시킴으로써, 진공 챔버(101)내의 자장을 회전 가능하도록 구성되어 있다.
다음으로, 이와 같이 구성된 플라즈마 에칭 장치에 있어서의 플라즈마 에칭 처리에 대해서 설명한다.
우선, 진공 챔버(1O1)의 도면에 도시하지 않은 반입-반출구에 설치된 도면에 도시하지 않은 게이트 밸브를 개방하고, 반송 기구 등에 의해 웨이퍼(W)를 진공 챔버(101)내에 반입하여 서셉터(102)상에 재치한다. 서셉터(102)상에 재치된 웨이퍼(W)는 이후 정전 척(109)의 정전 척용 전극(109b)에 직류 전원(110)으로부터 소정의 직류 전압을 인가함으로써 흡착 지지된다.
다음으로, 반송 기구를 진공 챔버(101) 밖에 대피시킨 후, 게이트 밸브를 폐쇄하고, 배기계(121)의 진공 펌프 등에 의해 진공 챔버(101) 내부를 배기한다. 진공 챔버(101) 내부가 소정 진공도로 된 후, 진공 챔버(101)내에 가스 확산용 공극(104) 및 세공(105)을 거쳐서, 처리 가스 공급계(106)로부터 소정의 에칭 처리용 처리 가스를 유입하고, 진공 챔버(101) 내부를 소정 압력, 예를 들면 1Pa 내지 1OOPa 정도로 유지한다.
이 상태에서, 고주파 전원(108)으로부터 서셉터(102)에 소정 주파수의 고주파 전력을 공급한다. 서셉터(102)에 고주파 전력이 인가됨으로써, 샤워 헤드(103)와 서셉터(102) 사이의 처리 공간에는 고주파 전계가 형성된다. 또한, 처리 공간에는 자장 형성 기구(123)에 의한 소정의 자장이 형성된다. 이에 따라, 처리 공간에 공급된 처리 가스로부터 소정의 플라즈마가 발생하고, 그 플라즈마에 의해 웨이 퍼(W)상의 소정의 막이 에칭된다.
이 때, 샤워 헤드(103)의 세공(105)으로부터 웨이퍼(W)의 표면에 공급된 처리 가스는 웨이퍼(W)의 표면을 중앙부에서 주연부를 향해서 흐르고, 웨이퍼(W)의 주위로부터 포커스 링(111)의 하측 부재(111a)와 상측 부재(111b) 사이의 간극(111c)을 통과하고, 하방을 향해 유동하여 배기된다. 그리고, 이러한 처리 가스의 흐름이 웨이퍼(W)의 주위에 균일하게 형성된다.
여기에서, 포커스 링이 간극(111c)을 갖지 않을 경우, 웨이퍼(W)의 표면에 공급된 처리 가스는 포커스 링의 상방을 통하여 주위로 흘러나간다. 이 때문에, 웨이퍼(W)의 주연부에 처리 가스의 흐름이 정체되어, 웨이퍼(W) 전체의 에칭 레이트가 저하될 가능성이 있으며, 또한 웨이퍼(W)의 주연부의 에칭 레이트가 중앙부의 에칭 레이트와 다른 경향을 나타낼 가능성이 있다. 이에 대하여 본 실시예에서는 간극(111c)을 갖지 않을 경우와 비교하여, 웨이퍼(W)의 주연부에서의 처리 가스의 흐름을 원활하게 할 수 있고, 상기 의 불량을 해소할 수 있으며, 웨이퍼(W) 전체의 에칭 레이트의 향상이나, 에칭 레이트의 면내 균일성의 향상을 도모할 수 있다.
또한, 상술한 바와 같이 처리 가스가 포커스 링의 상방을 통해 주위로 흘러나가는 경우(간극(111c)을 갖지 않는 경우)는, 포커스 링이 소모되어 포커스 링 상면의 높이가 낮아지면, 이에 따라 처리 가스의 흐름이 점차 변해버린다. 이 때문에, 처리 가스의 체류 시간(체재 시간)이 변화되고, 웨이퍼(W)에 대한 에칭 처리의 상태가 변화되어버리므로, 포커스 링의 소모량이 비교적 적은 시점에 있어서, 포커스 링을 교환할 필요가 발생한다.
이에 대하여, 본 실시예에서는 처리 가스가 간극(111c)을 통해 주위로 흘러나가므로, 소모에 의한 포커스 링 상면 높이의 변화가 웨이퍼(W)의 처리 상태에 미치는 영향을 억제할 수 있고, 포커스 링 교환의 유지보수 타이밍을 장기화할 수 있다.
또한, 포커스 링(111)이 소모되었을 때에 전체를 교환하는 것이 아니라, 상측 부재(111b)만을 교환함으로써, 교환 부품의 비용의 절감을 도모할 수 있고, 운용 비용의 절감을 도모할 수 있다.
이상과 같이 하여, 소정의 플라즈마 에칭 처리가 실행되면, 고주파 전원(108)로부터의 고주파 전력의 공급을 정지하고, 에칭 처리를 정지하고, 상술한 순서와는 반대의 순서로 웨이퍼(W)를 진공 챔버(101) 밖으로 반출한다.
도 14 및 도 15의 그래프는 상술한 포커스 링(111)의 간극(111c)의 간격[갭(G)]의 차이에 의한 웨이퍼(W) 각부의 에칭 상태의 변화를 나타내는 것으로, 동 도면에 있어서, 세로축은 에칭 레이트(nm/min), 가로축은 웨이퍼 중심으로부터의 거리(mm)를 나타내고 있다.
에칭 대상물은 SiN 막이며, 사용한 에칭 가스 및 그 유량은 CHF3/CF4/Ar/O2 = 30/75/600/15sccm, 압력은 23.3Pa(175mTrr), 고주파 전력은 1000W(주파수: 13.56MHz)의 조건에서 에칭을 수행하였다. 또한, 도 14는 포커스 링(111)의 상측 부재(111b)의 두께가 1.5mm인 경우를 나타내고, 도 15는 포커스 링(111)의 상측 부재(111b)의 두께가 2.8mm인 경우를 나타내고 있다. 또한, 각각의 경우에서 포커스 링(111)의 하측 부재(111a)의 두께는 1.5mm이다.
이들 그래프에 나타낸 바와 같이, 간극(111c)의 갭(G)이 0인 경우와 비교하여, 0.5mm, 1.5mm, 2.5mm로 갭(G)을 넓혀감에 따라, 웨이퍼(W) 전체의 에칭 레이트가 상승하였다.
또한, 특히 도 14의 그래프에 현저히 표시되어 있는 대로, 간극(111c)의 갭(G)이 0일 때에, 웨이퍼(W)의 주변부의 에칭 레이트가 중심부의 에칭 레이트보다 낮아지지만, 간극(111c)의 갭(G)을 넓힘으로써, 웨이퍼(W) 주변부의 에칭 레이트를 중심부의 에칭 레이트와 비교하여 상대적으로 보다 많이 상승시킬 수 있고, 웨이퍼(W)의 에칭 레이트의 면내 균일성을 향상시킬 수 있었다.
여기에서, 전술한 바와 같이, 도 15는 상측 부재(111b)의 두께가 2.8mm, 도 14는 상측 부재(111b)의 두께가 1.5mm인 경우를 나타내므로, 도 14에 표시되는 결과는 실질적으로 도 15에 표시된 상태로부터 상측 부재(111b)가 1.3mm 소모된 상태의 결과를 나타낸다. 그리고, 예를 들면 간극(111c)의 갭(G)이 2.5mm의 경우의 도 15 및 도 14의 경우의 에칭 처리 상태의 변화와, 갭(G)이 0인 경우의 같은 변화를 보면 분명한 바와 같이, 갭(G)이 2.5mm인 경우가 갭(G)이 0의 경우와 비교하여, 포커스 링의 소모가 에칭 처리의 면내 균일성에 주는 영향을 경감할 수 있다. 이 때문에, 포커스 링의 교환의 유지보수 빈도를 줄이는 것이 가능해진다.
또한, 갭(G)을 0.5mm보다 좁게 하면, 컨덕턴스의 저하에 기인하여 간극(111c)의 처리 가스 유로로서의 작용이 손상되어, 상기와 같은 현저한 작용 및 효과상의 차이가 나타나지 않게 된다. 이 때문에, 갭(G)은 0.5mm 이상으로 하는 것이 바람직하다.
이상에서 설명한 바와 같이, 본 실시예에서는 포커스 링(111)을 사용하는 것에 의해, 웨이퍼(W) 전체의 에칭 레이트를 높일 수 있고, 이것에 의해 처리량을 증대시켜, 생산성의 향상을 도모할 수 있다.
또한, 상술한 갭(G)이 0일 때에, 웨이퍼(W) 주변부의 에칭 레이트가 중심부의 에칭 레이트보다 낮아지는 에칭 처리에, 포커스 링(111)을 사용하고 적절한 갭(G)의 폭으로 조정함으로써, 웨이퍼(W) 주변부의 에칭 레이트를 중심부의 에칭 레이트와 비교하여 상대적으로 보다 많이 상승시킬 수 있고, 웨이퍼(W)의 에칭 레이트의 면내 균일성을 향상시켜, 고밀도의 에칭 처리를 실행할 수 있다.
또한, 본 실시예에서는 포커스 링(111)의 교환 등의 유지보수 빈도를 줄여서 장치의 가동률을 향상시킬 수 있으므로, 생산성의 향상을 도모할 수 있고, 또한 포커스 링(111)이 소모되었을 때에, 그 일부인 상측 부재(111b)만을 교환함으로써 운용 비용을 절감할 수 있다.
또한, 상기 실시예에 있어서는 본 발명을 반도체 웨이퍼의 에칭에 적용한 경우에 대해서 설명했지만, 본 발명은 그러한 경우에 한정되는 것은 아니고, 예를 들면 액정 표시 장치용의 글래스 기판 등, 다른 피처리 기판의 에칭을 실행할 경우 에 대해서도 같은 방법으로 적용할 수 있다.
상술한 플라즈마 처리 장치로는 1회 유지보수 사이클동안에 있어서 시간 경과와 함께 소모되는 포커스 링의 두께에 따라, 포커스 링 냉각 압력 및 포커스 링 전압의 값을 미리 설정 가능하다. 또한 광 센서 등의 검지 수단에 의해 포커스 링 의 소모 정도를 검지하고, 상기 검지된 값을 포커스 링 냉각 압력 및 포커스 링 전압의 설정 값으로 피드백함으로써, 포커스 링의 소모 정도를 다음회의 처리에 있어서의 프로세스 레시피에 반영하는 것도 가능하다.
또한, 본 발명은 에칭 장치뿐만 아니라, 그 밖의 플라즈마 처리 장치, 예를 들면 CVD 장치 또는 애싱 장치에도 적용 가능하다.
본 발명에 따르면, 비용의 상승을 방지하는 동시에, 포커스 링의 냉각 효율을 비약적으로 개선할 수 있는 플라즈마 처리 장치, 포커스 링 및 서셉터가 제공된다.

Claims (27)

  1. 플라즈마 처리 장치에 있어서,
    플라즈마 처리가 실시되는 피처리체를 재치하는 정전 척과, 상기 정전 척에 접촉부에서 접촉하는 포커스 링을 갖는 서셉터를 포함하며,
    상기 포커스 링은 상기 접촉부를 형성하는 유전체부와, 상기 유전체부를 거쳐서 상기 정전 척에 대향하는 도전체부를 갖고,
    상기 유전체부의 유전율이 포커스 링의 외측으로부터 내측을 향하여 증가하도록 구성되어 있는
    플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 유전체부의 두께는 상기 포커스 링의 반경방향으로 일정한
    플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 유전체부는 상기 도전체부를 이루는 재료의 산화물로 이루어지는
    플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    상기 도전체부를 이루는 재료는 실리콘인
    플라즈마 처리 장치.
  5. 제 1 항에 있어서,
    상기 유전체부를 이루는 재료는 이산화규소인
    플라즈마 처리 장치.
  6. 플라즈마 처리가 실시되는 피처리체를 재치하는 정전 척에 접촉부에서 접촉하는 포커스 링에 있어서,
    상기 접촉부를 형성하는 유전체부와,
    상기 유전체부를 거쳐서 상기 정전 척에 대향하는 도전체부를 포함하고,
    상기 유전체부의 유전율이 포커스 링의 외측으로부터 내측을 향하여 증가하도록 구성되어 있는
    포커스 링.
  7. 서셉터에 있어서,
    플라즈마 처리가 실시되는 피처리체를 재치하는 정전 척과,
    상기 정전 척에 접촉부에서 접촉하는 포커스 링을 포함하며,
    상기 포커스 링은 상기 접촉부를 형성하는 유전체부와, 상기 유전체부를 거쳐서 상기 정전 척에 대향하는 도전체부를 갖고,
    상기 유전체부의 유전율이 포커스 링의 외측으로부터 내측을 향하여 증가하도록 구성되어 있는
    서셉터.
  8. 플라즈마 처리 장치에 있어서,
    플라즈마 처리가 실시되는 피처리체를 재치하는 정전 척과, 상기 피처리체의 주변에 있어서 상기 정전 척에 접촉면에서 접촉하는 포커스 링을 갖는 서셉터와,
    상기 접촉면에 설치된, 상기 포커스 링의 열교환을 실행하는 열교환 수단을 포함하고,
    상기 접촉면에 설치된 상기 열교환 수단은 열매체가 충전되는 홈인
    플라즈마 처리 장치.
  9. 삭제
  10. 제 8 항에 있어서,
    상기 열매체는 갈덴(Galden)인
    플라즈마 처리 장치.
  11. 제 8 항에 있어서,
    상기 포커스 링이 상기 홈을 갖는
    플라즈마 처리 장치.
  12. 제 8 항에 있어서,
    상기 정전 척이 상기 홈을 갖는
    플라즈마 처리 장치.
  13. 제 8 항에 있어서,
    상기 홈의 깊이는 0.1mm 이상인
    플라즈마 처리 장치.
  14. 제 8 항에 있어서,
    상기 홈에 있어서의 코너부는 둥글게 성형되어 있는
    플라즈마 처리 장치.
  15. 제 8 항에 있어서,
    상기 홈은 상기 포커스 링과 동심형의 고리 모양을 갖는 적어도 하나의 홈으로 이루어지는
    플라즈마 처리 장치.
  16. 제 8 항에 있어서,
    상기 열교환 수단은 상기 포커스 링을 냉각하는 냉각 수단을 갖는
    플라즈마 처리 장치.
  17. 제 16 항에 있어서,
    상기 열교환 수단은 전열 가스를 상기 접촉면에 공급하는 공급로를 가지며, 상기 열교환 수단으로부터 공급되는 전열 가스의 압력을 제어하는 제어부를 더 구비하며, 상기 제어부는 복수의 공정으로 이루어지는 상기 플라즈마 처리의 각 공정 에 따라 상기 공급되는 전열 가스의 압력을 변경하는
    플라즈마 처리 장치.
  18. 제 16 항에 있어서,
    상기 포커스 링에 대향하도록 상기 정전 척에 내장되는 전극과, 상기 전극에 인가되는 전압을 제어하는 제어부를 더 구비하며, 상기 전극은 정전 흡착력에 의해 상기 포커스 링을 상기 정전 척에 흡착시키고, 상기 제어부는 복수의 공정으로 이루어지는 상기 플라즈마 처리의 각 공정에 따라 상기 전극에 인가되는 전압을 변경하는
    플라즈마 처리 장치.
  19. 제 16 항에 있어서,
    상기 열교환 수단은 상기 포커스 링의 온도를 상기 정전 척의 온도보다 20K이상 내리는
    플라즈마 처리 장치.
  20. 제 19 항에 있어서,
    상기 열교환 수단은 상기 포커스 링의 온도를 0℃ 이하로 내리는
    플라즈마 처리 장치.
  21. 제 16 항에 있어서,
    상기 열교환 수단은 상기 포커스 링을 가열하는 가열 수단을 갖는
    플라즈마 처리 장치.
  22. 제 16 항에 있어서,
    상기 포커스 링은 상기 포커스 링을 가열하는 제 2 가열 수단을 더 구비하는
    플라즈마 처리 장치.
  23. 제 16 항에 있어서,
    상기 포커스 링은 클리닝 가스에 노출되는
    플라즈마 처리 장치.
  24. 제 16 항에 있어서,
    상기 포커스 링은 플라즈마에 노출되는
    플라즈마 처리 장치.
  25. 제 8 항에 있어서,
    상기 열교환 수단은 펠티에 소자(Peltier device)인
    플라즈마 처리 장치.
  26. 플라즈마 처리가 실시되는 피처리체를 재치하는 정전 척에, 상기 피처리체의 주변에 있어서 접촉면에서 접촉하는 포커스 링에 있어서,
    상기 접촉면에 설치된, 상기 포커스 링의 열교환을 실행하는 열교환 수단을 포함하고,
    상기 접촉면에 설치된 상기 열교환 수단은 열매체가 충전되는 홈인
    포커스 링.
  27. 서셉터에 있어서,
    플라즈마 처리가 실시되는 피처리체를 재치하는 정전 척과,
    상기 피처리체의 주변에 있어서 접촉면에서 상기 정전 척에 접촉하는 포커스 링과,
    상기 접촉면에 설치된, 상기 포커스 링의 열교환을 실행하는 열교환 수단을 포함하고,
    상기 접촉면에 설치된 상기 열교환 수단은 열매체가 충전되는 홈인
    서셉터.
KR1020040028391A 2003-04-24 2004-04-23 플라즈마 처리 장치, 포커스 링 및 서셉터 KR100613198B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00120419 2003-04-24
JP2003120419 2003-04-24
JP2003271975A JP4439853B2 (ja) 2003-07-08 2003-07-08 プラズマ処理装置、フォーカスリング及びプラズマ処理方法
JPJP-P-2003-00271975 2003-07-08
JPJP-P-2003-00204898 2003-07-31
JP2003204898 2003-07-31
JP2004115807A JP4547182B2 (ja) 2003-04-24 2004-04-09 プラズマ処理装置
JPJP-P-2004-00115807 2004-04-09

Publications (2)

Publication Number Publication Date
KR20040093043A KR20040093043A (ko) 2004-11-04
KR100613198B1 true KR100613198B1 (ko) 2006-08-18

Family

ID=33545454

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040028391A KR100613198B1 (ko) 2003-04-24 2004-04-23 플라즈마 처리 장치, 포커스 링 및 서셉터

Country Status (4)

Country Link
US (2) US20040261946A1 (ko)
KR (1) KR100613198B1 (ko)
CN (1) CN100375261C (ko)
TW (1) TWI236086B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120071362A (ko) * 2010-12-22 2012-07-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR101484652B1 (ko) 2011-07-07 2015-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20160013004A (ko) * 2013-05-22 2016-02-03 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
KR20160019375A (ko) * 2014-08-11 2016-02-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 포커스 링
KR20160078917A (ko) * 2014-12-25 2016-07-05 도쿄엘렉트론가부시키가이샤 정전 흡착 방법 및 기판 처리 장치
KR20200109718A (ko) * 2019-03-14 2020-09-23 세메스 주식회사 기판 처리 장치 및 이의 에지 링

Families Citing this family (405)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4133333B2 (ja) * 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
CN1777691B (zh) * 2003-03-21 2011-11-23 东京毅力科创株式会社 用于减少处理过程中基片背部的淀积的方法和装置
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
JP4336320B2 (ja) 2005-02-25 2009-09-30 キヤノンアネルバ株式会社 ウエハホルダ
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
CN1909760B (zh) * 2005-08-05 2010-07-21 中微半导体设备(上海)有限公司 真空反应室及其处理方法
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7736528B2 (en) * 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
KR20080001164A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 홀 휨 방지를 위한 플라즈마식각장치 및 그를 이용한 식각방법
JP2008016727A (ja) * 2006-07-07 2008-01-24 Tokyo Electron Ltd 伝熱構造体及び基板処理装置
WO2008011579A2 (en) * 2006-07-21 2008-01-24 Aixtron, Inc. Small volume symmetric flow single wafer ald apparatus
JP2008078208A (ja) * 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
JP5035884B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 熱伝導シート及びこれを用いた被処理基板の載置装置
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5165968B2 (ja) * 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
JP2009194032A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマ測定方法及びプラズマ測定装置並びに記憶媒体
US9558980B2 (en) * 2008-04-30 2017-01-31 Axcelis Technologies, Inc. Vapor compression refrigeration chuck for ion implanters
JP5227197B2 (ja) * 2008-06-19 2013-07-03 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
JP5331580B2 (ja) * 2008-07-02 2013-10-30 日本碍子株式会社 ウエハ載置装置及びそれに用いる部品
JP5274918B2 (ja) * 2008-07-07 2013-08-28 東京エレクトロン株式会社 プラズマ処理装置のチャンバー内部材の温度制御方法、チャンバー内部材及び基板載置台、並びにそれを備えたプラズマ処理装置
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5255936B2 (ja) * 2008-07-18 2013-08-07 東京エレクトロン株式会社 フォーカスリング及び基板載置台、並びにそれらを備えたプラズマ処理装置
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
KR101063588B1 (ko) * 2008-11-05 2011-09-07 주식회사 디엠에스 커버 링의 수명을 연장하고 플라즈마 반응기의 식각 성능을향상시키기 위한 구조를 가지는 정전 척 어셈블리
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
CN101740340B (zh) * 2008-11-25 2011-12-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及半导体加工设备
KR101045621B1 (ko) * 2008-12-31 2011-06-30 엘아이지에이디피 주식회사 정전척 및 상기 정전척을 이용한 기판의 바이어스 전압 측정방법
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN101866823B (zh) * 2009-04-16 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及向其静电卡盘上放置待加工件的方法
JP5320171B2 (ja) * 2009-06-05 2013-10-23 東京エレクトロン株式会社 基板処理装置
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5415853B2 (ja) * 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
CN101989543B (zh) * 2009-08-07 2012-09-05 中微半导体设备(上海)有限公司 一种用于减少基片背面聚合物的装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101048066B1 (ko) * 2009-08-25 2011-07-11 세메스 주식회사 기판 처리 장치
JP5395633B2 (ja) * 2009-11-17 2014-01-22 東京エレクトロン株式会社 基板処理装置の基板載置台
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
JP5119297B2 (ja) * 2010-06-30 2013-01-16 東京エレクトロン株式会社 基板処理装置
JP5101665B2 (ja) * 2010-06-30 2012-12-19 東京エレクトロン株式会社 基板載置台、基板処理装置および基板処理システム
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US20120114877A1 (en) * 2010-11-05 2012-05-10 Synos Technology, Inc. Radical Reactor with Multiple Plasma Chambers
JP5503503B2 (ja) * 2010-11-09 2014-05-28 東京エレクトロン株式会社 プラズマ処理装置
CN101974738B (zh) * 2010-11-19 2012-10-31 理想能源设备有限公司 等离子体增强化学气相沉积装置
CN102479678A (zh) * 2010-11-30 2012-05-30 深圳深爱半导体有限公司 芯片薄膜的生成方法及生成芯片薄膜的载体
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8946058B2 (en) * 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP5732941B2 (ja) * 2011-03-16 2015-06-10 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9673037B2 (en) * 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6285620B2 (ja) * 2011-08-26 2018-02-28 新光電気工業株式会社 静電チャック及び半導体・液晶製造装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9947559B2 (en) * 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US8486798B1 (en) 2012-02-05 2013-07-16 Tokyo Electron Limited Variable capacitance chamber component incorporating a semiconductor junction and methods of manufacturing and using thereof
US8721833B2 (en) * 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
JP5976377B2 (ja) * 2012-04-25 2016-08-23 東京エレクトロン株式会社 被処理基体に対する微粒子付着の制御方法、及び、処理装置
JP5975755B2 (ja) 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5886700B2 (ja) * 2012-07-09 2016-03-16 東京エレクトロン株式会社 伝熱シート貼付装置及び伝熱シート貼付方法
JP5351316B1 (ja) * 2012-08-15 2013-11-27 株式会社アドバンテスト 試料保持具及びこれを用いた電子ビーム露光方法
JP5996340B2 (ja) * 2012-09-07 2016-09-21 東京エレクトロン株式会社 プラズマエッチング装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
JP2014107387A (ja) * 2012-11-27 2014-06-09 Tokyo Electron Ltd 載置台構造及びフォーカスリングを保持する方法
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR20140101996A (ko) * 2013-02-13 2014-08-21 삼성전자주식회사 기판 지지유닛 및 이를 구비한 플라즈마 식각장치
JP6400273B2 (ja) * 2013-03-11 2018-10-03 新光電気工業株式会社 静電チャック装置
KR101317942B1 (ko) * 2013-03-13 2013-10-16 (주)테키스트 반도체 제조용 척의 에지링 냉각모듈
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
CN104752143B (zh) * 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 一种等离子体处理装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JPWO2015170676A1 (ja) * 2014-05-07 2017-04-20 東京エレクトロン株式会社 プラズマエッチング処理方法
US9112050B1 (en) * 2014-05-13 2015-08-18 Applied Materials, Inc. Dicing tape thermal management by wafer frame support ring cooling during plasma dicing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20160015510A (ko) * 2014-07-30 2016-02-15 삼성전자주식회사 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105489527B (zh) * 2014-09-19 2018-11-06 北京北方华创微电子装备有限公司 承载装置以及半导体加工设备
US10000847B2 (en) * 2014-09-24 2018-06-19 Applied Materials, Inc. Graphite susceptor
JP6149945B2 (ja) * 2014-09-30 2017-06-21 住友大阪セメント株式会社 静電チャック装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN107112275B (zh) 2014-12-19 2020-10-30 应用材料公司 用于基板处理腔室的边缘环
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
JP6555656B2 (ja) * 2015-02-17 2019-08-07 パナソニックIpマネジメント株式会社 プラズマ処理装置および電子部品の製造方法
CN105990084A (zh) * 2015-03-02 2016-10-05 北京北方微电子基地设备工艺研究中心有限责任公司 聚焦环、下电极机构及半导体加工设备
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102424818B1 (ko) * 2015-05-27 2022-07-25 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 포커스 링
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201511282D0 (en) * 2015-06-26 2015-08-12 Spts Technologies Ltd Plasma etching apparatus
CN106548917B (zh) * 2015-09-21 2018-07-27 中微半导体设备(上海)有限公司 调节等离子体刻蚀腔内器件温度的装置及其温度调节方法
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106898574A (zh) * 2015-12-17 2017-06-27 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘机构以及半导体加工设备
CN106920725B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 一种聚焦环的温度调整装置及方法
CN106920729B (zh) * 2015-12-28 2019-05-31 中微半导体设备(上海)股份有限公司 一种均匀刻蚀基片的等离子体处理装置及方法
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10301718B2 (en) * 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP2018063974A (ja) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
CN108074787A (zh) * 2016-11-10 2018-05-25 北京北方华创微电子装备有限公司 下电极结构及半导体加工设备
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6698502B2 (ja) * 2016-11-21 2020-05-27 東京エレクトロン株式会社 載置台及びプラズマ処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180182635A1 (en) * 2016-12-27 2018-06-28 Tokyo Electron Limited Focus ring and substrate processing apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6861579B2 (ja) * 2017-06-02 2021-04-21 東京エレクトロン株式会社 プラズマ処理装置、静電吸着方法および静電吸着プログラム
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216144B (zh) * 2017-07-03 2021-08-06 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP7045635B2 (ja) * 2017-08-30 2022-04-01 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP6974088B2 (ja) 2017-09-15 2021-12-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102332189B1 (ko) * 2017-09-18 2021-12-02 매슨 테크놀로지 인크 플라즈마 처리 장치를 위한 냉각된 포커스 링
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102063108B1 (ko) * 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2019087977A1 (ja) 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
CN111226309B (zh) * 2017-11-06 2023-09-19 日本碍子株式会社 静电卡盘组件、静电卡盘及聚焦环
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
TWI650828B (zh) * 2018-01-10 2019-02-11 弘塑科技股份有限公司 基板處理裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
CN110323117A (zh) * 2018-03-28 2019-10-11 三星电子株式会社 等离子体处理设备
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10790123B2 (en) * 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7204350B2 (ja) * 2018-06-12 2023-01-16 東京エレクトロン株式会社 載置台、基板処理装置及びエッジリング
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102644838B1 (ko) * 2018-10-23 2024-03-11 삼성디스플레이 주식회사 정전척 및 그를 구비하는 정전흡착장치
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
KR102656790B1 (ko) * 2018-11-21 2024-04-12 삼성전자주식회사 정전 척, 및 그를 포함하는 플라즈마 처리 장치
CN109712965B (zh) * 2018-11-30 2020-10-23 泰州泰慧达科技信息咨询中心 一种带有引脚尖端放电的抗静电电路封装结构
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR102244438B1 (ko) 2018-12-17 2021-04-27 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 플라즈마 처리 장치에 사용되는 rf 전극 조립품 및 플라즈마 처리 장치
CN111326390B (zh) * 2018-12-17 2023-09-12 中微半导体设备(上海)股份有限公司 射频电极组件和等离子体处理设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP7204564B2 (ja) * 2019-03-29 2023-01-16 東京エレクトロン株式会社 プラズマ処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11450545B2 (en) * 2019-04-17 2022-09-20 Samsung Electronics Co., Ltd. Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210001176A (ko) * 2019-06-27 2021-01-06 세메스 주식회사 기판 처리 장치
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP2021019099A (ja) * 2019-07-19 2021-02-15 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置及びエッジリング
KR102325223B1 (ko) * 2019-07-22 2021-11-10 세메스 주식회사 기판 처리 장치
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP2021027152A (ja) * 2019-08-05 2021-02-22 キオクシア株式会社 プラズマ処理装置およびプラズマ処理方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN112435912B (zh) * 2019-08-26 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210044568A (ko) 2019-10-15 2021-04-23 삼성전자주식회사 식각 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体系统
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2021077752A (ja) * 2019-11-07 2021-05-20 東京エレクトロン株式会社 プラズマ処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885690B (zh) * 2019-11-29 2023-10-20 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7361588B2 (ja) * 2019-12-16 2023-10-16 東京エレクトロン株式会社 エッジリング及び基板処理装置
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR102503478B1 (ko) * 2019-12-18 2023-02-27 주식회사 히타치하이테크 플라스마 처리 장치
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7454976B2 (ja) * 2020-03-24 2024-03-25 東京エレクトロン株式会社 基板支持台、プラズマ処理システム及びエッジリングの交換方法
JP7450427B2 (ja) * 2020-03-25 2024-03-15 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
JP7442365B2 (ja) * 2020-03-27 2024-03-04 東京エレクトロン株式会社 基板処理装置、基板処理システム、基板処理装置の制御方法および基板処理システムの制御方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11276601B2 (en) * 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
TW202234461A (zh) * 2020-05-01 2022-09-01 日商東京威力科創股份有限公司 蝕刻裝置及蝕刻方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN211957594U (zh) * 2020-05-29 2020-11-17 北京鲁汶半导体科技有限公司 一种离子束刻蚀旋转平台
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115698376A (zh) * 2020-07-15 2023-02-03 朗姆研究公司 用于衬底处理的排除环
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
KR20220029103A (ko) * 2020-09-01 2022-03-08 삼성전자주식회사 플라즈마 공정 장비
JP2022042379A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112397366B (zh) * 2020-11-05 2023-07-14 北京北方华创微电子装备有限公司 一种承载装置及半导体反应腔室
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN112652515B (zh) * 2020-12-09 2023-08-15 长江存储科技有限责任公司 等离子体刻蚀装置及其边缘环
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112670142A (zh) * 2020-12-24 2021-04-16 北京北方华创微电子装备有限公司 静电卡盘和半导体工艺设备
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN115440558A (zh) * 2021-06-03 2022-12-06 长鑫存储技术有限公司 半导体蚀刻设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115020225B (zh) * 2022-08-08 2022-12-13 广州粤芯半导体技术有限公司 一种金属硬掩膜一体化刻蚀的方法及装置

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US586240A (en) * 1897-07-13 Island
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH04279044A (ja) 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3292540B2 (ja) * 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH0774231A (ja) 1993-08-31 1995-03-17 Tokyo Electron Ltd 処理装置及びその使用方法
JPH07135200A (ja) 1993-11-11 1995-05-23 Tokyo Electron Ltd エッチング装置
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JPH07211681A (ja) 1994-01-19 1995-08-11 Hitachi Ltd 洗浄方法および洗浄装置
US5491603A (en) * 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
JP3600271B2 (ja) 1994-05-25 2004-12-15 東京エレクトロン株式会社 処理装置
US5552124A (en) 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH0982781A (ja) 1995-09-18 1997-03-28 Kokusai Electric Co Ltd 半導体製造装置
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
JPH09232290A (ja) 1996-02-19 1997-09-05 Sony Corp 半導体製造装置
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
JPH10303288A (ja) * 1997-04-26 1998-11-13 Anelva Corp プラズマ処理装置用基板ホルダー
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
JPH11330047A (ja) 1998-05-12 1999-11-30 Sony Corp エッチング装置及びエッチング方法
JP3583289B2 (ja) 1998-05-28 2004-11-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
JP2000150471A (ja) * 1998-11-09 2000-05-30 Sony Corp エッチング装置及びこれを用いた半導体装置の製造方法
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6363882B1 (en) 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP4417574B2 (ja) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP2001308065A (ja) * 2000-04-19 2001-11-02 Nec Corp ドライエッチング装置およびドライエッチング方法
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US20040081439A1 (en) * 2000-05-04 2004-04-29 Applied Materials, Inc. Actively-controlled electrostatic chuck heater
JP2002009048A (ja) 2000-06-20 2002-01-11 Matsushita Electric Ind Co Ltd プラズマ処理装置のフォーカスリング
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP2002100614A (ja) * 2000-09-25 2002-04-05 Nec Corp 半導体製造装置および半導体製造方法
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
JP4133333B2 (ja) * 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US6528427B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101995449B1 (ko) * 2010-12-22 2019-07-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR20120071362A (ko) * 2010-12-22 2012-07-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR101484652B1 (ko) 2011-07-07 2015-01-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20160013004A (ko) * 2013-05-22 2016-02-03 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
KR102138953B1 (ko) 2013-05-22 2020-07-28 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
KR20160019375A (ko) * 2014-08-11 2016-02-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 포커스 링
KR102364323B1 (ko) * 2014-08-11 2022-02-16 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 포커스 링
KR20160078917A (ko) * 2014-12-25 2016-07-05 도쿄엘렉트론가부시키가이샤 정전 흡착 방법 및 기판 처리 장치
KR102374799B1 (ko) * 2014-12-25 2022-03-15 도쿄엘렉트론가부시키가이샤 정전 흡착 방법 및 기판 처리 장치
KR20220034761A (ko) * 2014-12-25 2022-03-18 도쿄엘렉트론가부시키가이샤 정전 흡착 방법 및 기판 처리 장치
US11521886B2 (en) 2014-12-25 2022-12-06 Tokyo Electron Limited Substrate processing apparatus and substrate support
KR102582878B1 (ko) * 2014-12-25 2023-09-25 도쿄엘렉트론가부시키가이샤 정전 흡착 방법 및 기판 처리 장치
KR20200109718A (ko) * 2019-03-14 2020-09-23 세메스 주식회사 기판 처리 장치 및 이의 에지 링
KR102175087B1 (ko) * 2019-03-14 2020-11-05 세메스 주식회사 기판 처리 장치 및 이의 에지 링

Also Published As

Publication number Publication date
CN100375261C (zh) 2008-03-12
US20040261946A1 (en) 2004-12-30
KR20040093043A (ko) 2004-11-04
US8124539B2 (en) 2012-02-28
TW200507156A (en) 2005-02-16
US20110000883A1 (en) 2011-01-06
TWI236086B (en) 2005-07-11
CN1540738A (zh) 2004-10-27

Similar Documents

Publication Publication Date Title
KR100613198B1 (ko) 플라즈마 처리 장치, 포커스 링 및 서셉터
JP4547182B2 (ja) プラズマ処理装置
JP5492578B2 (ja) プラズマ処理装置
US5746928A (en) Process for cleaning an electrostatic chuck of a plasma etching apparatus
US20100122774A1 (en) Substrate mounting table and substrate processing apparatus having same
US7895970B2 (en) Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
KR100345420B1 (ko) 플라즈마처리장치
US5458687A (en) Method of and apparatus for securing and cooling/heating a wafer
JP2680338B2 (ja) 静電チャック装置
US8440050B2 (en) Plasma processing apparatus and method, and storage medium
US20070283891A1 (en) Table for supporting substrate, and vacuum-processing equipment
US20100326600A1 (en) Plasma dry etching apparatus having coupling ring with cooling and heating units
US20080106842A1 (en) Mounting device, plasma processing apparatus and plasma processing method
US20070169891A1 (en) Focus ring and plasma processing apparatus
CN108987233B (zh) 等离子体处理装置和静电吸附方法
US20080156441A1 (en) Plasma processing apparatus and electrode plate, electrode supporting body, and shield ring thereof
US20060090855A1 (en) Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20080242086A1 (en) Plasma processing method and plasma processing apparatus
KR102332028B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP2007123796A (ja) プラズマ処理室用構造物、プラズマ処理室、及びプラズマ処理装置
US9253862B2 (en) Plasma processing method and plasma processing apparatus
JP3113796B2 (ja) プラズマ処理装置
US20210391151A1 (en) Edge ring and plasma processing apparatus
JPH09289201A (ja) プラズマ処理装置
CN101303998B (zh) 等离子体处理装置、聚焦环和基座

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180717

Year of fee payment: 13