JP7152400B2 - シートを結合するためのシロキサンプラズマ高分子 - Google Patents

シートを結合するためのシロキサンプラズマ高分子 Download PDF

Info

Publication number
JP7152400B2
JP7152400B2 JP2019531566A JP2019531566A JP7152400B2 JP 7152400 B2 JP7152400 B2 JP 7152400B2 JP 2019531566 A JP2019531566 A JP 2019531566A JP 2019531566 A JP2019531566 A JP 2019531566A JP 7152400 B2 JP7152400 B2 JP 7152400B2
Authority
JP
Japan
Prior art keywords
coating layer
sheet
bonding surface
bonding
article
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019531566A
Other languages
English (en)
Other versions
JP2019531946A (ja
Inventor
アラン ベルマン,ロバート
フォン,ジアンウェイ
マズンダー,プランティック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of JP2019531946A publication Critical patent/JP2019531946A/ja
Application granted granted Critical
Publication of JP7152400B2 publication Critical patent/JP7152400B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/061Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/28Layered products comprising a layer of synthetic resin comprising synthetic resins not wholly covered by any one of the sub-groups B32B27/30 - B32B27/42
    • B32B27/283Layered products comprising a layer of synthetic resin comprising synthetic resins not wholly covered by any one of the sub-groups B32B27/30 - B32B27/42 comprising polysiloxanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • B32B37/24Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with at least one layer not being coherent before laminating, e.g. made up from granular material sprinkled onto a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/005Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising one layer of ceramic material, e.g. porcelain, ceramic tile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • B32B9/041Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material of metal
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J183/00Adhesives based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Adhesives based on derivatives of such polymers
    • C09J183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/04Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving separate application of adhesive ingredients to the different surfaces to be joined
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/06Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving heating of the applied adhesive
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • B32B37/24Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with at least one layer not being coherent before laminating, e.g. made up from granular material sprinkled onto a substrate
    • B32B2037/246Vapour deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/06Coating on the layer surface on metal layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/26Polymeric coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/28Multiple coating on one surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/712Weather resistant
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/732Dimensional properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/748Releasability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2383/00Polysiloxanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/14Semiconductor wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/26Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen nitrogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2301/00Additional features of adhesives in the form of films or foils
    • C09J2301/40Additional features of adhesives in the form of films or foils characterized by the presence of essential components
    • C09J2301/416Additional features of adhesives in the form of films or foils characterized by the presence of essential components use of irradiation

Description

関連出願の説明
本出願は、その内容が依拠され、ここに全て引用される、2016年8月30日に出願された米国仮特許出願第62/381124号の米国法典第35編第119条の下での優先権の恩恵を主張するものである。
本開示は、広く、担体上にシートを備えた物品および担体上のシートを加工する方法に関し、より詳しくは、ガラス担体上に可撓性ガラスシートを備えた物品およびガラス担体上の可撓性ガラスシートを加工する方法に関する。
可撓性基板は、ロール・ツー・ロール加工を使用したより安価な装置の展望、およびより薄く、より軽く、より可撓性の耐久性ディスプレイを製造する可能性を提示する。しかしながら、高品質ディスプレイのロール・ツー・ロール加工に要求される技術、設備、および過程は、まだ十分には開発されていない。パネル製造業者は既に、ガラスの大型シートを加工するための工具類にかなり投資をしてきたので、シート・ツー・シート加工による、担体への可撓性基板の積層および表示装置の製造は、より薄く、より軽く、より可撓性のディスプレイの価値ある提案を開発するためのより短期の解決策を提示する。ディスプレイは、高分子シート、例えば、ポリエチレンナフタレート(PEN)上で実証されており、その表示装置の製造は、PENがガラス担体に積層されたシート・ツー・シート式であった。しかしながら、PENの温度上限により、デバイス品質および使用できる過程が制限される。その上、高分子基板の高い透過率のために、ほぼ密封包装が要求される有機発光ダイオード(OLED)装置の環境劣化がもたらされる。薄膜被包は、この制限を克服するための展望を提示するが、大量で許容できる収率を提示することはまだ実証されていない。
同じような方法で、1つ以上の薄いガラス基板に積層されたガラス担体を使用して、表示装置を製造することができる。その薄いガラスの低い透過率および改善された耐温度性と耐化学性によって、より高性能でより長い寿命の可撓性ディスプレイが可能になると期待されている。
低温ポリシリコン(LTPS)デバイス製造プロセスにおいて、例えば、600℃以上に達する温度では、真空、およびウェットエッチング環境が使用されることがある。これらの条件により、使用できる材料が限定され、担体/薄いシートに高い要求が課せられる。したがって、望ましいことは、製造業者の既存の資本インフラを利用し、より高い加工温度で薄いガラスと担体との間の結合強度の損失または汚染をもたらさずに、薄いガラス、すなわち、厚さが0.3ミリメートル(mm)以下のガラスの加工を可能にする担体手法であって、プロセスの終わりに薄いガラスが担体から容易に剥離する担体手法である。
商業上の利益の1つは、製造業者は、例えば、光起電(PV)構造、OLED、液晶ディスプレイ(LCD)、およびパターン化薄膜トランジスタ(TFT)電子機器のための薄いガラスシートの利点を得つつ、加工装置に既存の資本投資を利用できることである。その上、そのような手法により、結合を促進するための薄いガラスシートおよび担体の洗浄と表面処理のためのプロセスを含むプロセス柔軟性が可能になる。
公知の結合方法の試練は、ポリシリコンTFTを加工するために使用される高温である。携帯型ディスプレイ、ノート型およびデスクトップ型ディスプレイへのより高い画素密度、高解像度、および高リフレッシュ速度の要求、並びにOLEDディスプレイの幅広い利用により、パネル製造業者は、アモルファスシリコンTFTバックプレーンから酸化物TFTまたはポリシリコンTFTバックプレーンへと推し進められている。OLEDは電流駆動装置であるので、高移動度が望ましい。ポリシリコンTFTは、ドライバおよび他の構成部品の起動を統合する利点も提示する。ドーパント活性化には、より高い温度、理想的には600℃を超える温度が好ましい。
上記を踏まえて、高温加工(それが使用される半導体またはディスプレイ製造プロセスに適合しないであろうガス放出を生じずに)を含む、TFTおよびフラットパネルディスプレイ(FPD)加工の厳しさに耐えることができ、それでも、別の薄いシートを加工するためにその担体を再利用できるように、薄いシートの全域を担体から取り外せる(一度に全て、または区域ずつのいずれかで)、薄いシートと担体の物品が必要とされている。本明細書には、TFTおよびFPD加工(LTPS加工を含む)に耐えるのに十分に強力であるが、高温加工後でさえも、シートを担体から剥離できるほど十分に弱い一時的結合を生じるように、担体と薄いシートとの間の接着を制御する方法が記載されている。そのように制御された結合を利用して、再利用できる担体を有する物品、またあるいは、担体とシートとの間の制御された結合のパターン化区域を有する物品を製造することができる。より詳しくは、本開示は、薄いシートと担体との間の、室温のファンデルワールス結合および/または水素結合、および高温の共有結合の両方を制御するために、薄いシート、担体、またはその両方に設けられるコーティング層(様々な材料および関連する表面熱処理を含む)を提供する。さらにより詳しくは、本開示には、薄いシートを担体に結合する働きをするコーティング層を堆積させる方法、結合のためのコーティング層を調製し、そのコーティング層を薄いシートと担体の両方に結合させる方法が記載されている。これらの方法は、結合エネルギーが高過ぎないように(高すぎると、電子機器の加工後に構成部品が分離できなくなるであろう)、また結合エネルギーが低すぎないように(低すぎると、結合品質が損なわれ、それゆえ、電子機器の加工中に、薄いシートと担体との間の剥離またはその間への流体侵入の可能性が生じるであろう)、構成部品の間の結合を生じる。これらの方法は、低いガス放出性を示し、高温加工、例えば、LTPS TFT加工、並びに追加の加工工程、例えば、湿式洗浄およびドライエッチングに耐えるガラス物品も製造する。代わりの実施の形態において、前記コーティング層を使用して、さらなる加工オプションを与えるために、例えば、追加のデバイス加工のために物品を小片にダイシングした後でさえも、担体とシートとの間の密封性を維持するために、共有結合領域と共に、様々な制御された結合区域(真空処理、湿式処理、および/または超音波洗浄処理を含む様々な処理を通じて、担体と薄いシートが十分に結合したままである)を作り出すことができる。
第1の態様では、物品において、
第1のシート結合面を有する第1のシート、
第2のシート結合面を有する第2のシート、および
第1のコーティング層結合面と第2のコーティング層結合面を有し、プラズマ重合した有機シロキサン化合物から作られたコーティング層、
を備え、
第1のコーティング層結合面は第1のシート結合面と結合しており、第2のコーティング層結合面は第2のシート結合面と結合している、物品がある。
第1の態様の一例において、そのコーティング層はポリ(ジフェニルシロキサン)から作られる。
第1の態様の別の例において、その第1のコーティング層結合面は、40mJ/mと75mJ/mの間の表面エネルギーを有する。
第1の態様の別の例において、そのコーティング層は、100nm未満の厚さを有する。
第1の態様の別の例において、そのコーティング層は、単層である。
第1の態様の別の例において、その第1のシートは、200μm未満の厚さを有する。
第1の態様の別の例において、その第1のコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、600mJ/m未満の結合エネルギーで第1のシート結合面に結合されている。
第1の態様の別の例において、その第1のコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで第1のシート結合面に結合されており、物品を600℃の温度に暴露する前であって、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面は窒素雰囲気において400℃の温度でアニール処理された。
第1の態様の別の例において、その第1のコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、600mJ/m未満の結合エネルギーで第1のシート結合面に結合されており、物品を600℃の温度に暴露する前であって、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面は窒素雰囲気において400℃の温度でアニール処理された。
第1の態様の別の例において、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面を窒素雰囲気において400℃の温度でアニール処理した後、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である。
第1の態様の別の例において、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面を窒素雰囲気において400℃の温度でアニール処理した後、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが5未満である。
第1の態様の別の例において、第1のシートはガラスシートである。
第1の態様の別の例において、第2のシートはガラスシートである。
第1の態様の別の例において、第1のシートはガラスシートであり、第2のシートはガラスシートである。
第2の態様では、プラズマ重合した有機シロキサン化合物が、第1のシート結合面および第2のシート結合面の少なくとも一方の上にモノマーを堆積させることによって形成される、第1の態様の物品が提供される。
第2の態様の一例において、そのモノマーは、低圧プラズマ化学的気相成長法(CVD)または大気圧プラズマCVDを使用して、第1のシート結合面および第2のシート結合面の少なくとも一方の上に堆積される。
第3の態様では、そのモノマーが、式(RSi(Xの化合物から作られ、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;mは、1、2または3であり;各Xは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;nは、1、2または3である、第2の態様の物品が提供される。
第3の態様の一例において、Rはアリールである。
第3の態様の別の例において、Xはアルコキシである。
第3の態様の別の例において、Rはアリールであり、Xはアルコキシである。
第3の態様の別の例において、そのモノマーは、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、またはジフェニルシランである。
第4の態様では、そのモノマーが、下記の構造を有するジシロキサン化合物から作られ、式中、R~Rの各々が、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、態様2の物品が提供される。
Figure 0007152400000001
第4の態様の一例において、そのモノマーは、ヘキサメチルジシロキサンである。
第5の態様では、そのコーティング層が、底部コーティング層および上部コーティング層からなり、その底部コーティング層は上部コーティング層と第2のシートとの間にある、第1の態様の物品が提供される。
第5の態様の一例において、その底部コーティング層は、10nmと80nmの間の厚さを有し、その上部コーティング層は、10nmと50nmの間の厚さを有する。
第6の態様では、物品を製造する方法において、
プラズマCVDを使用して、第2のシートの結合面上にモノマーを堆積させることによって、プラズマ重合した有機シロキサン化合物から作られたコーティング層を第2のシートの結合面上に形成する工程であって、そのコーティング層はコーティング層結合面を有する工程、および
そのコーティング層結合面を第1のシートの結合面に結合する工程、
を有してなる方法がある。
第6の態様の一例において、そのモノマーは、低圧プラズマCVDまたは大気圧プラズマCVDを使用して、第2のシートの結合面上に堆積される。
第6の態様の一例において、そのコーティング層は、ポリ(ジフェニルシロキサン)から作られる。
第6の態様の別の例において、そのコーティング層は、100nm未満の厚さを有する。
第6の態様の別の例において、そのコーティング層は、単層である。
第6の態様の別の例において、その第1のシートは、200μm未満の厚さを有する。
第6の態様の別の例において、そのコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、600mJ/m未満の結合エネルギーで第1のシートの結合面に結合されている。
第6の態様の別の例において、第1のシートはガラスシートである。
第6の態様の別の例において、第2のシートはガラスシートである。
第6の態様の別の例において、第1のシートはガラスシートであり、第2のシートはガラスシートである。
第7の態様では、第1のシートの結合面がコーティング層結合面に結合される前に、そのコーティング層結合面の表面エネルギーを増加させる工程をさらに含む、第6の態様の方法が提供される。
第7の態様の一例において、そのコーティング層結合面の表面エネルギーは、その結合面を酸素、窒素、またはその組合せに暴露することによって、増加させられる。
第7の態様の別の例において、そのコーティング層結合面の表面エネルギーは、40mJ/mと75mJ/mの間まで増加させられる。
第8の態様では、そのモノマーが、式(RSi(Xの化合物から作られ、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;mは、1、2または3であり;各Xは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;nは、1、2または3である、第6の態様の方法が提供される。
第8の態様の一例において、Rはアリールである。
第8の態様の別の例において、Xはアルコキシである。
第8の態様の別の例において、Rはアリールであり、Xはアルコキシである。
第8の態様の別の例において、そのモノマーは、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、またはジフェニルシランである。
第9の態様では、そのモノマーが、下記の構造を有するジシロキサン化合物から作られ、式中、R~Rの各々が、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、態様6の方法が提供される。
Figure 0007152400000002
第9の態様の一例において、そのモノマーは、ヘキサメチルジシロキサンである。
第10の態様では、そのコーティング層が、底部コーティング層および上部コーティング層からなり、その底部コーティング層は上部コーティング層と第2のシートとの間にある、第6の態様の方法が提供される。
第10の態様の別の例において、その底部コーティング層は、10nmと80nmの間の厚さを有し、その上部コーティング層は、10nmと50nmの間の厚さを有する。
第11の態様では、第1のシートおよびコーティング層が結合される前に、そのコーティング層に熱アニール処理を施す工程をさらに含む、態様6の方法が提供される。
第11の態様の一例において、そのコーティング層は、窒素雰囲気において熱アニール処理に施される。
第11の態様の別の例において、そのコーティング層は、少なくとも300℃の温度で熱アニール処理に施される。
第11の態様の別の例において、そのコーティング層は、少なくとも400℃の温度で熱アニール処理に施される。
第11の態様の別の例において、そのコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで第1のシートの結合面に結合されている。
第11の態様の別の例において、そのコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、600mJ/m未満の結合エネルギーで第1のシートの結合面に結合されている。
第11の態様の別の例において、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である。
第11の態様の別の例において、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが5未満である。
第12の態様では、物品において、
第1のシート結合面を有する第1のシート、
第2のシート結合面を有する第2のシート、および
第1のコーティング層結合面と第2のコーティング層結合面を有し、ポリ(ジフェニルシロキサン)から作られたコーティング層、
を備え、
第1のコーティング層結合面は第1のシート結合面と結合しており、第2のコーティング層結合面は第2のシート結合面と結合している、物品がある。
第12の態様の一例において、その第1のコーティング層結合面は、40mJ/mと75mJ/mの間の表面エネルギーを有する。
第12の態様の別の例において、そのコーティング層は、100nm未満の厚さを有する。
第12の態様の別の例において、そのコーティング層は、モノマーの堆積によって形成される単層である。
第12の態様の別の例において、その第1のシートは、200μm未満の厚さを有する。
第12の態様の別の例において、その第1のコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで第1のシート結合面に結合されており、物品を600℃の温度に暴露する前であって、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面は窒素雰囲気において400℃の温度でアニール処理された。
第12の態様の別の例において、その第1のコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、600mJ/m未満の結合エネルギーで第1のシート結合面に結合されており、物品を600℃の温度に暴露する前であって、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面は窒素雰囲気において400℃の温度でアニール処理された。
第12の態様の別の例において、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面を窒素雰囲気において400℃の温度でアニール処理した後、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である。
第12の態様の別の例において、第1のコーティング層結合面が第1のシート結合面に結合される前に、第1のコーティング層結合面を窒素雰囲気において400℃の温度でアニール処理した後、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが5未満である。
第12の態様の別の例において、第1のシートはガラスシートである。
第12の態様の別の例において、第2のシートはガラスシートである。
第12の態様の別の例において、第1のシートはガラスシートであり、第2のシートはガラスシートである。
第13の態様では、ポリ(ジフェニルシロキサン)コーティング層が、第1のシート結合面および第2のシート結合面の少なくとも一方の上にモノマーを堆積させることによって形成される、第12の態様の物品が提供される。
第13の態様の一例において、そのモノマーは、低圧プラズマCVDまたは大気圧プラズマCVDを使用して、第1のシート結合面および第2のシート結合面の少なくとも一方の上に堆積される。
第13の態様の別の例において、そのモノマーは、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである。
Figure 0007152400000003
第14の態様では、そのコーティング層が、底部コーティング層および上部コーティング層からなり、その底部コーティング層は上部コーティング層と第2のシートとの間にある、第12の態様の物品が提供される。
第14の態様の別の例において、その底部コーティング層は、10nmと80nmの間の厚さを有し、その上部コーティング層は、10nmと50nmの間の厚さを有する。
第15の態様では、物品を製造する方法において、
第2のシートの結合面上にモノマーを堆積させることによって、ポリ(ジフェニルシロキサン)から作られたコーティング層を第2のシートの結合面上に形成する工程であって、そのコーティング層はコーティング層結合面を有する工程、および
そのコーティング層結合面を第1のシートの結合面に結合する工程、
を有してなる方法がある。
第15の態様の一例において、そのモノマーは、低圧プラズマCVDまたは大気圧プラズマCVDを使用して、第2のシートの結合面上に堆積される。
第15の態様の別の例において、そのモノマーは、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである。
Figure 0007152400000004
第15の態様の別の例において、そのコーティング層は、100nm未満の厚さを有する。
第15の態様の別の例において、そのコーティング層は、単層である。
第15の態様の別の例において、その第1のシートは、200μm未満の厚さを有する。
第15の態様の別の例において、第1のシートはガラスシートである。
第15の態様の別の例において、第2のシートはガラスシートである。
第15の態様の別の例において、第1のシートはガラスシートであり、第2のシートはガラスシートである。
第16の態様では、そのコーティング層が、底部コーティング層および上部コーティング層からなり、その底部コーティング層は上部コーティング層と第2のシートとの間にある、第15の態様の方法が提供される。
第16の態様の別の例において、その底部コーティング層は、10nmと80nmの間の厚さを有し、その上部コーティング層は、10nmと50nmの間の厚さを有する。
第17の態様では、第1のシートおよびコーティング層が結合される前に、そのコーティング層に熱アニール処理を施す工程をさらに含む、態様15の方法が提供される。
第17の態様の一例において、そのコーティング層は、窒素雰囲気において熱アニール処理に施される。
第17の態様の別の例において、そのコーティング層は、少なくとも300℃の温度で熱アニール処理に施される。
第17の態様の別の例において、そのコーティング層は、少なくとも400℃の温度で熱アニール処理に施される。
第17の態様の別の例において、そのコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで第1のシートの結合面に結合されている。
第17の態様の別の例において、そのコーティング層結合面は、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した後に、600mJ/m未満の結合エネルギーで第1のシートの結合面に結合されている。
第17の態様の別の例において、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である。
第17の態様の別の例において、窒素雰囲気において10分間に亘り物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが5未満である。
先の態様のどの1つも、単独で、または先に述べられたその態様の例のどの1つ以上との組合せで設けられてもよい;すなわち、第1の態様は、単独で、または先に述べられた第1の態様の例のどの1つ以上との組合せで設けられてもよく、第2の態様は、単独で、または先に述べられた第2の態様の例のどの1つ以上との組合せで設けられてもよく;その他も同様である。
添付図面は、本開示の原理のさらなる理解を与えるために含まれ、本明細書に包含され、その一部を構成する。図面は、1つ以上の実施の形態を示しており、説明と共に、例として、その原理と作動を説明する働きをする。本明細書および図面に開示された様々な特徴は、いずれと全ての組合せで使用しても差し支えないことが理解されよう。非限定例として、様々な特徴は、明細書において態様として先に述べられたように、互いと組み合わされてもよい。
本明細書に開示された実施の形態の先と他の特徴、態様および利点は、以下の詳細な説明を、添付図面を参照して読んだときに、よりよく理解される。
間のコーティング層により薄いシートに結合された担体を有する物品の概略側面図 図1の物品の分解された部分的な切り欠き図 堆積された状態および加工が施された際の、プラズマ重合したフェニルシリコーンおよびジフェニルシリコーンコーティング層の厚さのグラフ 担体および対応するカバーウエハー上のプラズマ重合したフェニルシリコーンおよびジフェニルシリコーンコーティング層の表面エネルギーのグラフ -Oプラズマ処理された、プラズマ重合したフェニルシリコーンおよびジフェニルシリコーンコーティング層により担体に結合された薄いガラスに関する結合エネルギーおよびブリスター区域の変化パーセントのグラフ 堆積された状態および流動窒素中で10分間に亘りアニール処理された後の担体および対応するカバーウエハー上のプラズマ重合したジフェニルシリコーンコーティング層の表面エネルギーのグラフ 表面活性化および結合の前に、流動窒素中で10分間に亘りアニール処理された後の、N-Oプラズマ処理された、プラズマ重合したフェニルシリコーンおよびジフェニルシリコーンにより担体に結合された薄いガラスに関する結合エネルギーおよびブリスター区域の変化パーセントのグラフ
ここで、添付図面を参照して、以下に例示の実施の形態をより詳しく記載する。できるときはいつでも、同じまたは同様の部分を称するために、図面に亘り、同じ参照番号が使用される。しかしながら、請求項の主題は、多くの異なる形態で具体化でき、ここに述べられた実施の形態に限定されると解釈すべきではない。
ここに用いられているような方向の用語(例えば、上方、下方、左右、前、後、上部、底部)は、描かれた図面に関してのみ使用され、絶対的な向きを暗示する意図はない。
範囲は、「約」1つの特定の値から、および/または「約」別の特定の値までと、ここに表現することができる。そのような範囲が表現された場合、別の実施の形態は、その1つの特定の値から、および/または他方の特定の値までを含む。同様に、値が「約」という先行詞を使用して近似として表現されている場合、特定の値は別の実施の形態を形成することが理解されよう。複数の範囲の各々の端点は、他方の端点に関してと、他方の端点と関係なくの両方で有意であることがさらに理解されよう。
第2のシート上の第1のシートを加工することができる解決策が与えられ、それによって、第1のシート、例えば、薄いガラスシートの少なくとも複数の部分(全てまでも含む)が、その薄いシート上で加工されたデバイスが第2のシート、例えば、担体から取り外せるように、「非結合」状態のままである。有利な表面形状特徴を維持するために、その担体は、典型的に、ディスプレイ等級のガラス基板である。したがって、ある状況において、担体を一度使用した後に単に廃棄することは、無駄が多く、高くつく。それゆえ、ディスプレイの製造費を低下させるために、複数の薄いシート基板を加工するために、担体を再利用できることが望ましい。本開示は、高温加工を含む、TFTなどの製造ラインの苛酷な環境を通じて薄いシートを加工できる物品および方法であって、その高温加工は、約400℃以上の温度での加工であり、製造されているデバイスのタイプに応じて様々であろう、例えば、アモルファスシリコンまたはアモルファスインジウム・ガリウム・亜鉛酸化物(IGZO)のバックプレーン加工におけるような約450℃まで、結晶質IGZO加工におけるような約500~550℃まで、またはLTPSおよびTFTプロセスに典型的なような約600~650℃までの温度-それでも、薄いシートまたは担体に損傷(例えば、担体および薄いシートの一方が2片以上に割れるまたは壊れる)を与えずに、薄いシートを担体から容易に取り外すことができ、それによって、担体を再利用できる物品および方法を述べる。
ガラス物品
図1および2に示されるように、ガラス物品2は、厚さ8を有し、厚さ28を有する第1のシート20(例えば、薄いガラスシート、例えば、以下に限られないが、例えば、10~50μm、50~100μm、100~150μm、150~300μm、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20、または10μmを含む、約300μm以下の厚さを有するもの)、厚さ38を有するコーティング層30、および厚さ18を有する第2のシート10を備える。
ガラス物品2は、より厚いシート、例えば、約0.4mm以上程度、0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm、または1.0mmのシートのために設計された装置における第1のシート20の加工を可能にするように構成されているが、第1のシート20自体は、約300μm以下である。厚さ8は、厚さ18、28、および38の合計であり、この厚さは、1つの装置、例えば、基板シート上に電子機器の構成部品を配置するために設計された装置が加工するように設計されたより厚いシートの厚さと等しくて差し支えない。一例において、加工装置は、700μmのシートのために設計されており、その第1のシートが約300μmの厚さ28を有する場合、ひいては、厚さ38が取るに足らないと考えて、厚さ18は、約400μmと選択されるであろう。すなわち、コーティング層30は、一定の縮尺で描かれておらず、むしろ、説明のためだけに、大幅に誇張されている。その上、図2において、コーティング層30は、切り取られて示されている。そのコーティング層は、再利用できる担体を提供するときに、結合面14上に均一に配置することができる。典型的に、厚さ38は、ナノメートルの幅で、例えば、2nmから1μm、5nmから250nm、10から50nm、または20から100nm、もしくは約30、40、50、60、70、80、または90nmであろう。コーティング層の存在は、表面化学分析により、例えば、飛行時間型二次イオン質量分析(ToF SIMS)により検出されるであろう。
第2のシート10は、第一面12、結合面14、および周囲16を有する。第2のシート10は、ガラスを含むどの適切な材料のものであってもよい。他の例において、この第2のシートは、非ガラス材料、例えば、セラミック、ガラスセラミック、シリコンウエハー、または金属であって差し支えない(表面エネルギーおよび/または結合は、ガラス担体に関して下記に記載されるものと同様の様式で制御されるであろうから)。第2のシート10は、ガラスから製造されている場合、アルミノケイ酸塩、ホウケイ酸塩、アルミノホウケイ酸塩、ソーダ石灰ケイ酸塩を含むどの適切な組成のものであってよく、その最終用途に応じて、アルカリ含有または無アルカリのいずれであってもよい。厚さ18は、約0.2から3mm、またはそれより大きくてもよく、例えば、0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0、または3mm、もしくはそれより大きくてもよく、上述したように、厚さ28、および厚さ38が無視できない場合、厚さ38に依存する。1つの実施の形態において、第2のシート10は、図示されるように、一層から作られても、互いに結合された多数の層(多数の薄いシートを含む)から作られてもよい。さらに、担体は、第1世代のサイズ以上、例えば、第2世代、第3世代、第4世代、第5世代、第8世代以上(例えば、100mm×100mmから3メートル×3メートル以上のシートサイズ)のサイズのものであってよい。
第1のシート20は、第一面22、結合面24、および周囲26を有する。周囲16(第2のシート)および26は、どの適切な形状のものであってよく、互いに同じであっても、互いに異なってもよい。さらに、第1のシート20は、ガラス、セラミック、シリコンウエハー、ガラスセラミック、または金属を含むどの適切な材料のものであってもよい。第2のシート10について先に記載したように、第1のシート20は、ガラスから製造されている場合、アルミノケイ酸塩、ホウケイ酸塩、アルミノホウケイ酸塩、ソーダ石灰ケイ酸塩を含むどの適切な組成のものであってよく、その最終用途に応じて、アルカリ含有または無アルカリのいずれであってもよい。この薄いシートの熱膨張係数は、高温での加工中に物品のどのような反りも減少させるために、担体のものと実質的に同じに一致させることができる。第1のシート20の厚さ28は、先に述べたように、300μm以下である。さらに、この第1のシートは、第1世代のサイズ以上、例えば、第2世代、第3世代、第4世代、第5世代、第8世代以上(例えば、100mm×100mmから3メートル×3メートル以上のシートサイズ)のサイズのものであってよい。
ガラス物品2は、既存の装置による加工に合う厚さを有することができ、同様に、加工が行われる苛酷な環境に耐えることができる。例えば、フラットパネルディスプレイ(FPD)加工は、湿式超音波、真空、および高温(例えば、400℃以上)加工を含むであろう。あるプロセスについて、先に述べたように、その温度は、500℃以上、550℃以上、600℃以上、650℃以上、そして700℃以上であることがある。
物品2が加工される苛酷な環境に耐えるために、結合面14は、第1のシート20が第2のシート10から分離しないような十分な強度で結合面24に結合されるべきである。そしてこの強度は、加工中に第1のシート20が第2のシート10から分離しないように、加工中ずっと維持されるべきである。さらに、第1のシート20を第2のシート10から取り外すために(例えば、第2のシート10を再利用できるように)、結合面14は、最初に設計された結合力、および/または、例えば、その物品が高温、例えば、400℃以上から700℃以上の温度での加工を経る場合に起こるような最初に設計された結合力の変更により生じる結合力のいずれによっても、結合面24に強すぎるほど結合されるべきではない。これらの目的の両方を達成するように、結合面14と結合面24との間の結合強度を制御するために、コーティング層30を使用してもよい。この制御された結合力は、第1のシート20および第2のシート10の極性と非極性の表面エネルギー成分を調節することによって制御される全付着エネルギーに対するファンデルワールス(および/または水素結合)および共有引力(c(covalent attractive)エネルギーを制御することによって達成される。この制御された結合は、例えば、400℃以上の温度、そしてある場合には、500℃以上、550℃以上、600℃以上、650℃以上、そして700℃以上の加工温度を含むFPD加工に耐えるのに十分に強力であり、そしてシートを分離するのに十分であるが第1のシート20および/または第2のシート10に著しい損傷を与えない力の印加により剥離可能なままである。例えば、その力は、第1のシート20または第2のシート10のいずれも割らないべきである。そのような剥離により、第1のシート20およびその上に製造されたデバイスを取り外すことができ、担体として第2のシート10を再利用することもできる。
コーティング層30は、第1のシート20と第2のシート10との間の固体層として示されているが、その必要はない。例えば、コーティング層30は、0.1nmから1μm厚程度(例えば、1nmから10nm、10nmから50nm、100nm、250nm、500nmから1μm)であってよく、結合面14の全体部分を完全に覆わなくてもよい。例えば、被覆率は、結合面14の100%以下、1%から100%、10%から100%、20%から90%、または50%から90%であってよい。他の実施の形態において、コーティング層30は、50nm厚まで、または他の実施の形態において、100nmより250nm厚までであってよい。コーティング層30は、第2のシート10および第1のシート20の一方または他方とたとえ接触していなくても、第2のシート10と第1のシート20との間に配置されると考えてよい。コーティング層30の別の態様において、その層は、結合面14が結合面24に結合する能力を変更し、それによって、第2のシート10と第1のシート20との間の結合強度を制御する。コーティング層30の材料と厚さ、並びに結合前の結合面14、24の処理を使用して、第2のシート10と第1のシート20との間の結合強度(付着エネルギー)を制御することができる。
コーティング層の組成
コーティング層の例としては、有機シロキサン、特に有機シロキサン高分子が挙げられる。そのような有機シロキサン高分子は、薄いシートまたは担体の少なくとも一方の上に酸素・ケイ素結合を有するモノマーを堆積させることにより、または酸化剤の存在下でケイ素含有モノマーを堆積させることにより、形成することができる。酸素・ケイ素結合を有するモノマーを堆積させるときにも、酸化剤を使用して差し支えない。
有機シロキサン高分子を形成するのに適したモノマーの一群に、下記に示されるような、式(RSi(Xの化合物があり、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せ(すなわち、アリールアルキル)であり;mは、1、2または3であり;各Xは、独立して、前駆体基または離脱基であり;nは、1、2または3である。前駆体基の例としては、ヒドロキシおよびアルコキシが挙げられる。離脱基の例としては、水素、ハロゲン、アミノ、アリール、アルキル、アルケニル、アルキニル、およびその組合せ(すなわち、アリールアルキル)が挙げられる。前記化合物がフェニルシランまたはジフェニルシランである場合など、Xが離脱基である場合、有機シロキサン高分子は、これらのモノマーを酸化剤、例えば、空気、酸素、亜酸化窒素、二酸化炭素、水蒸気、または過酸化水素と反応させることによって、製造することができる。この反応は、堆積前に、プラズマ中で行うことができる。この群のモノマーによる好ましい化合物の例に、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、またはジフェニルシランがある。
好ましい実施の形態において、Rはアリールである。別の好ましい実施の形態において、Xはアルコキシである。別の好ましい実施の形態において、Rはアリールであり、Xはアルコキシである。さらに別の好ましい実施の形態において、前記モノマーは、下記の構造を有するジフェニルシラン化合物であり、ここで、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せ(すなわち、アリールアルキル)である。そのモノマーが、ジメトキシジフェニルシランまたはジエトキシジフェニルシラン(両方ともメトキシまたは両方ともエトキシであるXおよびXに対応する)であることが好ましい。そのようなモノマーを堆積させて、ポリ(ジフェニルシロキサン)である有機シロキサン高分子を得ることが好ましい。
Figure 0007152400000005
有機シロキサン高分子を形成するのに適したモノマーの別の群に、下記の構造を有するジシロキサン化合物があり、ここで、R~Rは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せ(すなわち、アリールアルキル)である。好ましい実施の形態において、そのモノマーはヘキサメチルジシロキサンである。
Figure 0007152400000006
前記コーティング層は、単層からなり得る。そのコーティング層が、100nm未満、例えば、90nm未満、80nm未満、70nm未満、60nm未満、50nm未満、40nm未満、30nm未満、20nm未満、または10nm未満の厚さを有することが好ましい。
そのコーティング層は、複数の層、例えば、2層からなっても差し支えない。そのコーティング層は、底部コーティング層および上部コーティング層からなることができ、その底部コーティング層は担体に結合するのに適しており、その上部コーティング層は、薄いシートに結合するのに適している。その底部コーティング層は、50nm未満、例えば、40nm未満、30nm未満、20nm未満、または10nm未満であることが好ましい。その上部コーティング層は、30nm未満、例えば、20nm未満、または10nm未満であることが好ましい。その底部コーティング層がより多くエラストマー系有機ケイ素を有することが好ましいのに対し、上部コーティング層がより多くのシロキサンの存在を有することが好ましい。
コーティング層の堆積
コーティング層を提供する被覆方法の例に、化学的気相成長(CVD)技術、および同様の方法がある。CVD技術の具体例としては、CVD、低圧CVD、大気圧CVD、プラズマ支援CVD(PECVD)、大気圧プラズマCVD、原子層堆積(ALD)、プラズマALD、および化学ビームエピタキシーが挙げられる。被覆方法の別の例は、湿式化学の使用によるものであり、これは、ある場合に使用されることがある。
反応性ガス混合物は、有機シランまたは有機シロキサンモノマーを含有するが、この混合物は、制御された量の酸化剤、例えば、空気、酸素、亜酸化窒素、二酸化炭素、水蒸気、または過酸化水素、および/または不活性ガス、例えば、ヘリウム、ネオン、アルゴン、クリプトン、キセノンも含むことがある。
コーティング層の表面エネルギー
このコーティング層は、1つの表面(極性および分散成分を含む)について測定して、約40から約75mJ/mの範囲にある表面エネルギーを有する結合面を与えることができ、それにより、その表面により弱い結合が生じる。
一般に、コーティング層の表面エネルギーは、堆積された際、および/または、例えば、窒素による活性化によりさらに処理された際に、測定することができる。この固体表面の表面エネルギーは、空気中の固体表面上に個別に堆積された3つの液体-水、ジヨードメタンおよびヘキサデカン-の静的接触角を測定することによって、間接的に測定される。ここに開示された表面エネルギーは、Wuモデル(S.Wu, J.Polym. Sci. C, 34, 19, 1971参照)にしたがって決定した。Wuモデルにおいて、全成分、極性成分、および分散成分を含む表面エネルギーは、3つの試験液体:水、ジヨードメタンおよびヘキサデカンの3つの接触角に理論モデルを合わせることによって測定される。3つの液体の接触角値から、回帰分析を行って、固体表面エネルギーの極性成分と分散成分を計算する。表面エネルギー値を計算するために使用される理論モデルは、3つの液体の3つの接触角値および固体表面(下付文字「S」により示される)並びに3つの試験液体の表面エネルギーの分散成分と極性成分を関連付ける以下の3つの独立した式を含む:
Figure 0007152400000007
Figure 0007152400000008
Figure 0007152400000009
式中、下付文字「W」、「D」および「H」は、それぞれ、水、ジヨードメタンおよびヘキサデカンを表し、上付き文字「d」および「p」は、それぞれ、表面エネルギーの分散成分と極性成分を表す。ジヨードメタンおよびヘキサデカンは、実質的に非極性液体であるので、先の一連の式は、以下に変換される:
Figure 0007152400000010
Figure 0007152400000011
Figure 0007152400000012
先の一連の3つの式(4~6)から、回帰分析によって、固体表面の2つの未知のパラメータである分散と極性の表面エネルギー成分
Figure 0007152400000013
が計算される。しかしながら、この手法では、固体表面の表面エネルギーを測定できる限界最大値がある。この限界最大値は水の表面張力であり、それは73mJ/mである。固体表面の表面エネルギーが水の表面張力よりもかなり大きい場合、その表面は、水で十分に濡れ、それによって、接触角が0に近づく。したがって、表面エネルギーのこの値を超えると、全ての計算された表面エネルギー値は、実際の表面エネルギー値にかかわらず、約73~75mJ/mに相当するであろう。例えば、2つの固体表面の実際の表面エネルギーが75mJ/mおよび150mJ/mである場合、液体の接触角を使用して計算された値は、両方の表面について、約75mJ/mとなる。
したがって、ここに開示された全ての接触角は、空気中の固体表面上に液滴を配置し、固体表面と、接触線での液体空気界面との間の角度を測定することによって測定される。それゆえ、表面エネルギー値が40mJ/mから75mJ/mであると主張された場合、これらの値は、実際の表面エネルギー値ではなく、上述した方法に基づく計算された表面エネルギー値に対応することを理解すべきである(計算値がその値に近づくと、75mJ/mより大きくなり得る)。
コーティング層のアニール処理
コーティング層を堆積させた後、その層を必要に応じてアニール処理することができる。このアニール処理は、コーティング層内の部分的に重合した材料を除去する働きをすることができる。この除去により、例えば、高温での第1のシートおよび第2のシートの加工中に、コーティング層のガス放出を著しく減少させることができる。ガス放出のこの減少により、高温でのより強力な結合をもたらすことができ、それにより、薄いシートの加工をより成功させることが可能になる。アニール処理は、急速熱処理システム(RTP)内で行うことができる。アニール処理は、100℃超、例えば、200℃超、300℃超、400℃超、500℃超、または600℃超の温度であり得る。アニール処理は、例えば、窒素、酸素、または空気を含む雰囲気内で行うことができる。アニール処理は、少なくとも15秒、例えば、少なくとも30秒、少なくとも45秒、少なくとも1分、少なくとも2分、少なくとも3分、少なくとも4分、少なくとも5分、少なくとも6分、少なくとも7分、少なくとも8分、少なくとも9分、または少なくとも10分に亘り得る。アニール処理の時間と温度は、コーティング層の組成に応じて様々であってよい。特定のアニール処理の時間および温度が特定の組成に十分であるか否かは、ガス放出試験1を使用して決定されるであろう。すなわち、コーティング層の特定の組成について、時間・温度アニール処理サイクルを実施し、次いで、ガス放出試験1(下記に記載されている)を行うことができる。カバーの表面エネルギーの変化(ガス放出試験1における)が10mJ/m未満である場合、ひいては、その時間・温度アニール処理サイクルは、ガス放出を最小にするのに十分である。あるいは、特定のアニール処理の時間および温度の充足性(ガス放出を最小にするための)は、その試験時間に亘り特定の試験温度に暴露したときのコーティング層の厚さの変化を観察することによって、分析してもよい。より詳しくは、その上にコーティング層が堆積された基板を、充足性について試験するために、特定の時間・温度アニール処理過程にかける。その時間・温度アニール処理過程を経た後、その基板およびコーティング層(コーティング層を覆うために別の基板がそこに結合されていない)を所望の時間・温度デバイス加工サイクルにかける。所望の時間・温度デバイス加工サイクル後、コーティング層の厚さの変化が最小である場合、ひいては、そのアニール処理は、ガス放出を最小にするのに十分である。アニール処理の時間・温度サイクルの大雑把な開始点を見つけるために、コーティング層として使用すべき材料の熱質量分析が考えられるであろう。ほとんどの材料の厚さ減少(または材料損失)が生じる、予測されるデバイス加工温度辺りの温度が、良好な出発温度であろう。次に、最小のガス放出をもたらす最も効果的な組合せが何により与えられるか見つけるために、時間と温度を変えることができる。一般に、アニール処理温度が上昇するにつれて、十分なアニール処理効果を与えるための時間が減少する。同様に、アニール処理温度が低下するにつれて、十分なアニール処理効果を与えるための時間が増加する。
コーティング層の表面活性化
結合のための所望の表面エネルギーは、最初に堆積された有機シロキサンコーティング層の表面エネルギーによって達成されないであろう。それゆえ、堆積された層をさらに処理してもよい。例えば、コーティング層が堆積された後、そのコーティング層に追加の結合能力を加えるために、1つ以上の官能基を必要に応じて加えることができる。例えば、官能基を加えると、コーティング層と薄いシートとの間の結合の追加の部位を与えることができる。その官能基は、プラズマ、例えば、大気圧または低圧プラズマを使用して、加えることができる。その官能基は、極性であることが好ましく、前駆体、例えば、水素、二酸化炭素、窒素、亜酸化窒素、アンモニア、アクリル酸、アリルアミン、アリルアルコール、またはその混合物を使用して加えることができる。
コーティング層に対する第1のシートまたは第2のシートの結合エネルギー
一般に、2つの表面間の付着エネルギー(すなわち、結合エネルギー)は、ダブルカンチレバービーム法またはウェッジ試験によって測定することができる。これらの試験は、コーティング層/第1のシートまたは第2のシートの界面での接着ボンドジョイント上での力および影響を質的様式でシミュレートする。ウェッジ試験は、一般に、結合エネルギーを測定するために使用される。例えば、ASTM D5041、Standard Test Method for Fracture Strength in Cleavage of Adhesives in Bonded Joints、およびASTM D3762、Standard Test Method for Adhesive-Bonded Surface Durability of Aluminumは、楔で2枚の基板の結合を測定するための標準試験方法である。
一例として、その試験方法の要約は、試験が行われる温度と相対湿度、例えば、研究室内での温度と相対湿度を記録する工程を含み得る。第1のシートは、第1のシートと第2のシートとの間の結合を壊す、すなわち、剥離の開始のために、ガラス物品の角で穏やかに最初に剥離されるか、分離される。第2のシートからの第1のシートの剥離を開始するために、鋭いカミソリの刃、例えば、厚さが228±20μmのGEMブランドのカミソリの刃を使用することができる。剥離の開始部の形成の際に、結合を疲労させるために、瞬間的な持続圧力が必要なことがある。剥離部分およびシート間の分離が増加するように剥離前縁が伝播するのを観察できるまで、アルミニウムタブが取り外された平らなカミソリの刃をゆっくりと挿入する。平らなカミソリの刃は、剥離開始を誘発するために、著しく挿入する必要がない。剥離開始部が一旦形成されたら、ガラス物品は、剥離区域が安定化するように少なくとも5分間に亘り静置される。高湿度の環境、例えば、相対湿度が50%を超える環境では、より長い静置時間が必要であろう。
剥離開始部が生じたガラス物品を顕微鏡で評価して、剥離長さを記録する。剥離長さは、第2のシートからの第1のシートの端部分離点から、カミソリの刃の最も近い非先細部分まで測定される。剥離長さは、記録され、結合エネルギーを計算するために以下の式に用いられる。
Figure 0007152400000014
式中、γは結合エネルギーを表し、tは刃物、カミソリの刃または楔の厚さを表し、Eは第1のシート(例えば、薄いガラスシート)のヤング率を表し、tw1は第1のシートの厚さを表し、Eは第2のシート(例えば、ガラス担体)のヤング率を表し、tw2は第2のシートの厚さを表し、Lは、上述したような刃物、カミソリの刃または楔の挿入の際の、第1のシートと第2のシートとの間の剥離長さである。
その結合エネルギーは、シリコンウエハー結合におけるように挙動すると理解され、その場合、最初に水素結合されたウエハーの対が加熱されて、シラノール-シラノール水素結合の多くまたは全てをSi-O-Si共有結合に転化させる。最初の室温での水素結合により、約100~200mJ/m程度の結合エネルギーが生じ、これにより結合した表面の分離は可能であるが、高温加工(400から800℃程度)中に達成されるような完全に共有結合したウエハー対は、約2000~3000mJ/mの付着エネルギーを有し、これでは、結合した表面の分離はできない;その代わりに、2つのウエハーはモノリスとして機能する。他方で、両方の表面が、下にある基板の影響を遮蔽するのに十分に大きい厚さの低表面エネルギー材料、例えば、フルオロポリマーで完全に被覆されると、その付着エネルギーは、その被覆材料のものとなるであろうし、非常に低くなり、結合面の間の付着が低くなるか、なくなるであろう。それにより、薄いシートは、担体上で加工できないであろう。2つの極端な例を考える:(a)シラノール基で飽和した2つの標準洗浄1(当該技術分野で公知のSC1)で洗浄したガラス面を、水素結合により(それにより、付着エネルギーは約100~200mJ/mである)室温で互いに結合した、その後、シラノール基を共有Si-O-Si結合(それにより、付着エネルギーは2000~3000mJ/mになる)に転化させる温度に加熱した。この後者の付着エネルギーは高すぎて、ガラス面の対を取り外せない;および(b)低い表面付着エネルギー(表面当たり約12~20mJ/m)を有するフルオロポリマーで完全に被覆された2つのガラス面を室温で結合させ、高温に加熱した。この後者の場合(b)では、ガラス面は低温では結合しないだけでなく(ガラス面が合わされときの、約24~40mJ/mの全付着エネルギーは低すぎるので)、極性反応基が少なすぎるので、それらは、高温でも結合しない。これら2つの極端な例の間、例えば、50~1000mJ/mの間に、所望の程度の制御された結合を生じることのできる、ある範囲の付着エネルギーが存在する。その結果、本願の発明者等は、これらの2つの極端な例の間の結合エネルギーをもたらし、よって、苛酷なFPD加工を通じて互いに結合された一対のガラス基板(例えば、ガラス担体および薄いガラスシート)を維持するのに十分であるだけでなく、加工が完了した後に第1のシート(例えば、薄いシート)を第2のシート(例えば、担体)から取り外せる程度(例えば、400℃以上から700℃の高温加工の後でさえも)の制御された結合を生じることができる、コーティング層を提供する様々な方法を発見した。さらに、第1のシートの第2のシートからの取外しは、機械力により、少なくとも第1のシートに著しい損傷を与えない様式で、好ましくは第2のシートにも著しい損傷を与えないように、行うことができる。
選り抜きの表面改質剤、すなわち、コーティング層、および/または結合前の表面の熱または窒素処理を使用することによって、適切な結合エネルギーを達成することができる。この適切な結合エネルギーは、結合面14および結合面24のいずれか一方または両方の化学修飾剤の選択により達成されることがあり、その化学修飾剤は、ファンデルワールス(および/または水素結合、これらの用語は、明細書を通じて交換可能に使用される)付着エネルギー、並びに高温加工(例えば、400℃以上から700℃までの程度)により生じる、起こり得る共有結合付着エネルギーの両方を制御する。
特定の加熱条件後に、薄いシート、例えば、薄いガラスシートに対する改質層の結合エネルギーを試験した。特定の表面改質層により、薄いシートが担体に結合したままとなり、それでも加工後に薄いシートを担体から剥離できるか否かを確かめるために、以下の試験を行った。物品(表面改質層を介して担体に結合した薄いシート)を炉に入れ、この炉を、毎秒4℃の速度で所望の加工試験温度まで昇温させた。次に、物品を10分間に亘り炉(所望の加工試験温度に維持した)内に保持した。次に、この炉を45分以内で約150℃に冷却し、試料を取り出した。次に、その物品を、先に述べた結合エネルギー試験にしたがって結合エネルギーについて試験した。
ガラス物品の製造
ガラス物品を製造するために、コーティング層が、シートの内の1つ、好ましくは第2のシート上に案内される。所望であれば、コーティング層に、表面エネルギーを増加させ、コーティング層の結合性能を改善するために、表面活性化およびアニール処理などの工程を施しても差し支えない。他方のシートは、第1のシートであることが好ましいが、この他方のシートを結合するために、他方のシートをコーティング層に接触させる。コーティング層が十分に高い表面エネルギーを有する場合、他方のガラスシートをコーティング層に案内すると、そのガラスシートが自己成長(self-propagating)結合によりコーティング層に結合される。自己成長結合は、組立時間および/または費用を減少させる上で都合よい。しかしながら、自己成長結合が生じない場合、薄いガラスシートは、例えば、複数のシートを互いにローラでプレスすることによって、または結合のために2片の材料を一緒にするための、積層の技術分野で公知の他の技術によって、積層などの追加の技術を使用して、コーティング層に結合することができる。
コーティング層のガス放出
典型的なウエハー結合用途に使用される高分子接着剤は、一般に、10~100μm厚であり、その温度限界で、またはその近くで質量の約5%を失う。厚い高分子膜から発生するそのような物質について、質量分析法により質量損失またはガス放出の量を数量化することは容易である。他方で、10から100nm厚以下程度の薄い表面処理、例えば、先に記載されたプラズマ重合したコーティング層、並びに熱分解シリコーン油の薄層からのガス放出を測定することは、より難題である。そのような物質について、質量分析法は、十分な感度がない。しかしながら、ガス放出を測定するための他の方法が数多くある。
本開示によるガス放出は、以下のガス放出試験により測定される。この試験にしたがって、少量のガス放出を測定することは、組み立てられた物品、すなわち、薄いガラスシートが試験すべきコーティング層を介してガラス担体に結合されたものに基づき、ガス放出を決定するために、ブリスター区域の変化パーセントを使用する。ガラス物品の加熱中、担体と薄いシートとの間に形成されるブリスターは、コーティング層のガス放出を示す。薄いシートの下のガス放出は、薄いシートと担体との間の強力な接着により制限されるであろう。それでもなお、10nm厚以下の層(例えば、プラズマ重合した材料、自己組織化単分子層(SAM)、および熱分解シリコーン油表面処理)は、より小さい絶対質量損失にもかかわらず、熱処理中にブリスターをまだ形成するであろう。そして、薄いシートと担体との間のブリスターの形成により、薄いシート上でのデバイス加工中に、パターン生成、フォトリソグラフィー加工、および/またはアライメントに関する問題が生じるであろう。その上、薄いシートと担体との間の結合区域の境界での泡立ちにより、あるプロセスからのプロセス流体が後続プロセスを汚染するという問題が生じるであろう。5以上のブリスター区域の変化%は、重大であり、ガス放出を示し、望ましくない。他方で、1以下のブリスター区域の変化%は、取るに足らず、ガス放出がないことを示す。
手作業結合によるクラス1000のクリーンルーム内での結合した薄いガラスの平均ブリスター区域は、約1%である。結合した担体におけるブリスター%は、担体、薄いガラスシート、および表面調製の清浄度の関数である。これらの初期欠陥は、熱処理後のブリスター成長の核形成部位としての機能を果たすので、1%未満の熱処理の際のブリスター区域のどの変化も、試料調製のばらつき内である。この試験を行うために、透過原稿ユニットを備えた市販のデスクトップ型スキャナー(Epson Expression 10000XL Photo)を使用して、結合直後の薄いシートと担体を結合する区域の第1の走査画像を作成した。508dpi(50μm/画素)および24ビットRGBを使用し、標準Epsonソフトウェアを使用して部品を走査した。この画像処理ソフトウェアは、最初に、必要に応じて、試料の異なる区分の画像を1つの画像に綴じ、スキャナー・アーチファクトを除去する(スキャナーに試料を用いずに行った検定標準走査を使用することにより)ことによって、画像を調製する。次に、その結合区域を、標準的な画像処理技術、例えば、閾値化、ホールフィリング、縮小処理/膨張処理、およびブロブ解析を使用して解析する。Epson Expression 10000XL Photoプリンタの代わりに、Epson Expression 11000XL Photoも同様に使用してよい。透過モードにおいて、結合区域のブリスターは、走査画像で目に見え、ブリスター区域の値を決定することができる。次に、ブリスター区域を全結合区域(すなわち、薄いシートと担体との間の全重複区域)と比較して、全結合区域に対する結合区域内のブリスター区域の面積%を計算する。次に、それらの試料を、10分までに亘り、300℃、400℃、500℃、および600℃の試験限界温度で、N雰囲気内においてModular Process Technology(MPT、カリフォルニア州、サンノゼに事業所がある)から得られるMPT-RTP600s Rapid Thermal Processingシステム内で熱処理する。具体的に、実施した時間・温度サイクルは、以下を含んだ:物品を、室温および大気圧で加熱室に入れる工程;次に、加熱室を毎分9℃の速度で試験限界温度に加熱した;約10分間に亘り加熱室を試験限界温度に維持した;次に、加熱室を炉の冷める速度で200℃に冷却した;加熱室から物品を取り出し、物品を室温まで冷ませた;次いで、物品をオプティカルスキャナーで2回目に走査した。次に、第2の走査からのブリスター区域%を先のように計算し、第1の走査からのブリスター区域%と比較して、ブリスター区域の変化%を決定した。先に述べたように、5%以上のブリスター区域の変化は、重大であり、ガス放出を示す。ブリスター区域の変化%は、ブリスター区域の元のばらつき%のために、測定基準として選択した。すなわち、ほとんどのコーティング層は、薄いシートと担体を調製した後であって、それらを結合する前の、取扱いと清浄度のために、第1の走査において約2%のブリスター区域を有する。しかしながら、材料間でばらつきが生じることがある。
ブリスター区域の変化パーセントにより例示されるような、測定されたブリスター区域%も、第1のシートの結合面と接触していないコーティング層の結合面の全表面積のパーセントとして特徴付けることができる。上述したように、第1のシートと接触していないコーティング層の結合面の全表面積のパーセントは、ガラス物品が、毎分約400℃から約600℃の範囲の速度で、室温から、500℃、600℃、650℃、そして700℃まで室内で加熱し、次に、10分間に亘り試験温度に保持し、その後、ガラス物品を室温まで冷ますことによる温度サイクルを施した後に、5%未満、3%未満、1%未満、そして0.5%未満までであることが好ましい。ここに記載されたコーティング層により、ガラス物品に上述した温度サイクルおよび熱試験を施した後に、第1のシートを2片以上に割らずに、第1のシートを第2のシートから分離することができる。
ガラス物品の加工
必要に応じて、結合表面の調製と共に、コーティング層を使用すると、制御された結合区域、すなわち、物品をFPDタイプのプロセス(真空および湿式プロセスを含む)で加工するのに十分な、第1のシートと第2のシートとの間の室温結合を提供できる結合区域であり、なおかつ、物品の高温加工、例えば、FPDタイプの加工またはLTPS加工後に、第1のシートを第2のシートから取り外せる(それらのシートに損傷を与えずに)ように第1のシートと第2のシートとの間の共有結合を制御する(高温でさえも)結合区域を達成することができる。FPD加工に適した再利用できる担体を提供するであろう、潜在的な結合面の調製、および様々な結合エネルギーを有するコーティング層を評価するために、一連の試験を使用して、各々の適合性を評価した。異なるFPD用途には異なる要件があるが、LTPSおよび酸化物TFTプロセスは、現段階では最も厳しいと思われる。それゆえ、これらのプロセスは、物品2の所望の用途であるので、それらのプロセスの工程を代表する試験を選択した。酸化物TFTプロセスにおいて、約400℃のアニール処理が使用されるのに対し、LTPS加工では、600℃を超える結晶化およびドーパント活性化工程が使用される。したがって、以下の試験は、特定の結合面の調製およびコーティング層により、FPD加工中ずっと薄いシートを担体に結合したままにする一方で、そのような加工(400℃以上から700℃までの温度での加工を含む)後に、薄いシートを担体から取り外せる(薄いシートおよび/または担体に損傷を与えずに)傾向を評価するために行った。
実施例1
酸素およびジメトキシジフェニルシランからの低圧プラズマ放電で、0.7mmの担体(ニューヨーク州、コーニング所在のCorning Incorporatedから入手できる、Corning(登録商標)EAGLE XG(登録商標)無アルカリディスプレイ用ガラスから製造された)上にジフェニルシリコーンプラズマ高分子コーティング層(ポリ(ジェニルシロキサン)としても知られている)を堆積させた。堆積は、150℃で気化器中に0.1ミリリットル毎分(mL/分)のジメトキシジフェニルシランを流すことによる50ミリトル(mT)(約6.7Pa)の反応室圧力、40標準立方センチメートル毎分(sccm)のO、2つのRF駆動電極に、13.56MHzのRFの周波数での25~50ワット(W)のバイアスを印加して、壁を150℃に加熱したPlasma-Treat PTS 150システム(カリフォルニア州、ベルモント所在のPlasmatreat USA Inc.から入手できる低圧高温壁CVD反応装置)内で行った。このシステムにおいて、RFが反応室内の一対の電極を駆動し、基板が、電極間の放電の浮遊電位にある。
比較例1
酸素およびフェニルトリエトキシシランからの低圧プラズマ放電で、担体(0.7mm厚の「Corning」「EAGLE XG」無アルカリディスプレイ用ガラスから製造した)上にフェニルシリコーンプラズマ高分子コーティング層(ポリ(フェニルシロキサン)としても知られている)を堆積させた。堆積は、150℃、0.1mL/分のフェニル-トリエトキシシランによる50mT(約6.7Pa)、40sccmのO流、および13.56MHzのRFの周波数での25~50Wのバイアスで、Plasma-Treat PTS 150システム内で行った。
実施例1および比較例1の試験
コーティング層の熱安定性
表示の温度での流動窒素中の10分間の加工に対してコーティング層の厚さをプロットすることにより明示されるような、プラズマ重合したフェニルシリコーンおよびジフェニルシリコーンコーティング層の熱安定性が、図3に示されている。図3から、加工温度が300℃を超えると、プラズマ重合したフェニルシリコーンおよびジフェニルシリコーンは、堆積された状態ではあまり熱安定性ではないことが分かる。詳しくは、両方の材料は、300℃を超える、特に400℃以上の温度での流動窒素中の10分の加熱の際に、著しい膜厚損失を示した。
コーティング層の品質
熱的不安定性は、図4に示されるような著しい量のガス放出をもたらす。この図は、試験1において論じたような、表示の温度での流動窒素中の10分間の加工に対して被覆された担体およびカバーウエハーの表面エネルギーをプロットしたグラフである。詳しくは、試験1によれば、ガス放出は、10mJ/m超の表面エネルギーの変化により示されるのに対し、5mJ/m未満の表面エネルギーの変化は、ガス放出のないことと一致する。このグラフには示されていないが、フェニルシリコーンおよびジフェニルシリコーンのカバーの各々の表面エネルギーは、室温(約16℃)で、約75mJ/m、すなわち、裸ガラスのものであった。図4から分かるように、フェニルシリコーンカバー(白丸のデータ点)は、加工温度が室温(約16℃)から300℃および600℃に移動したときに、10mJ/m超の表面エネルギーの変化を経た。室温での約75mJ/mから300℃での約50mJ/mへのフェニルシリコーンカバーの表面エネルギーの減少は、材料が担体から剥がれ、カバー上に堆積されていることと一致する。300℃から600℃へのフェニルシリコーンカバーの表面エネルギーの増加は、カバー上に以前に堆積された(担体から剥がれた)材料が、そのようなより高い温度で焼き払われていることと一致する。同様に、ジフェニルシリコーンカバー(白い正方形のデータ点)も、加工温度が300℃から600℃に移動するときに、10mJ/m超の表面エネルギーの変化を示した。しかしながら、室温から300℃への移動では、ジフェニルシリコーンカバーの表面エネルギー(白い正方形のデータ点)は、約75mJ/mとほぼ同じままであった。このように、少なくとも300℃までと、400℃未満の温度で、ジフェニルシリコーンは、ガス放出がほとんどまたは全くなく、堆積された状態で使用できる。
表面活性化
プラズマ重合したフェニルシリコーンおよびジフェニルシリコーンの表面は、表1に示されるように、窒素、または窒素と酸素の混合物へのプラズマ暴露によって容易に改変された。このプラズマ活性化により、そのコーティングの表面エネルギーが、N・O混合物で、フェニルシリコーンについての40mJ/mから、裸ガラスの表面エネルギー近くまで上昇した。この表面改質は、Oxford PlasmaLab 100内で行ったが、低圧または大気圧放電によっても行うことができたであろう。このプラズマ処理は、2つの逐次工程で行うことができる。詳しくは、水素プラズマ(30秒間、10sccmのC流、50sccmのH流、5mT(約0.67Pa)の圧力の反応室、1500Wのコイル、13.56MHzの周波数での50WのRF)による処理の直後に、プラズマを消さずに、Nプラズマ処理(5mT(約0.67Pa)の反応室圧、40sccmのN流、1500Wのコイル、13.56MHzの周波数での50Wのバイアス、5秒間)、またはN・Oプラズマ処理(5秒間、35sccmのN流、5sccmのO2流、15mT(約2.0Pa)の反応室圧、800Wのコイル、13.56MHzの周波数での50W RFのバイアス)のいずれかが続く。表面エネルギーを裸ガラスのものの近くまで上昇させることによって、薄いガラスシートは、高速自己成長結合によって担体と室温で結合した。
表1には、フェニルシリコーン層およびジフェニルシリコーン層の、接触角(水「W」、ヘキサデカン「HD」およびジヨードメタン「DIM」)および表面エネルギー(Wuモデルにより測定して(先に記載の)、分散成分「D」、極性成分「P」、および全「T」)が示されている。詳しくは、表1には、裸の担体、被覆されたが未処理の担体、および窒素、または窒素と酸素により処理された被覆層に関する、接触角および表面エネルギーが示されている。それゆえ、例えば、表1の第一行目は、裸の担体が、8.37のW接触角、19.67のHD接触角、24.67のDIM接触角、および75.92mJ/mの全表面エネルギー(その内、分散成分が34.56mJ/mであり、極性成分が41.36mJ/mである)を有したことを示している。同様に、表1の第二行目は、フェニルシリコーンで被覆されたが未処理の担体が、81.63のW接触角、2.83のHD接触角、53.2のDIM接触角、および40.09mJ/mの全表面エネルギー(その内、分散成分が30.36mJ/mであり、極性成分が9.73mJ/mである)を有したことを示している。
Figure 0007152400000015
結合品質
コーティング層の表面エネルギーを、例えば、被覆された担体のN・O処理によって、裸ガラスのものの近くまで上昇させた後、100μmの薄いガラスシート(ニューヨーク州、コーニング所在のCorning Incorporatedから入手できる、「Corning」Willow(登録商標)ガラスから製造された)をそれに結合した。示された温度での流動窒素中での、MPT-RTP600s Rapid Thermal Processingシステムを使用した10分の処理後の担体上のプラズマ重合したフェニルシリコーンおよびジフェニルシリコーンコーティング層の結合エネルギーおよびそれに結合した薄いガラスシートのブリスター区域の変化で示されるような、コーティング層と薄いガラスシートとの間の結合の品質が、図5に示されている。図から分かるように、結合エネルギーが減少すると、ブリスター区域が増加し、これは、コーティング層に結合した薄いガラスシートの表面の割合が減少することを示す。より詳しくは、フェニルシリコーンについて、試料が300℃を超える温度に加熱されたとき、例えば、400℃で加熱されたとき(ほぼ20%のブリスター区域の変化)、または500℃で加熱されたとき(15%を超えるブリスター区域の変化)、ブリスター区域の変化%(先の試験2による、白の菱形データ点により示されるような)は5%を軽く上回る。したがって、この材料は、約300℃の温度まで有用である。同様に、ジフェニルシリコーンについて、試料が400℃超に加熱されたとき、例えば、500℃で加熱されたとき(10%超のブリスター区域の変化)、または600℃で加熱されたとき(約25%のブリスター区域の変化)、ブリスター区域の変化%(先の試験2による、白の正方形データ点により示されるような)は5%を軽く上回る。したがって、この材料は、約400℃の温度まで有用である。
アニール処理
ガス放出を減少させるために、表面改質層のどのような表面活性化も前に、被覆された担体にも、測定の前であって、薄いシートとの結合の前に、流動窒素中において400℃で10分間に亘りアニール処理を施した。図6は、最初のアニール処理工程の有無による、ジフェニルシリコーン(「DPSO」)のガス放出(試験1による)の比較を示している。より詳しくは、図6は、示された温度での流動窒素中の10分間の加熱後の、被覆された担体の表面エネルギーおよびカバーウエハーの表面エネルギーを示している。最初のアニール処理工程に行われた測定は、「400C outgas」または「outgas400C」で示され、最初のアニール処理工程が行われなかった測定は、堆積された状態について、「as dep」または「as deposited」で示されている。それゆえ、図6に示されるように、担体上に堆積された状態のDPSOの表面エネルギーは、担体が300℃から約600℃に及ぶ温度で加熱されたときに、50mJ/m辺りでほぼ一定のままである、黒の菱形データ点を参照のこと;堆積された状態のDPSOを有する担体上に配置されたカバーウエハーの表面エネルギー(試験1による)は、300℃で加熱された後の約75mJ/mから、400℃以上で加熱された後の約50mJ/mに減少する、白の菱形データ点を参照のこと;担体上に堆積され、次いで、10分間に亘り400℃での加熱の最初のアニール処理工程が施されたDPSOの表面エネルギーは、300℃で加熱された後に、約55mJ/mの表面エネルギーを有し、400℃から500℃で加熱された後の約50mJ/mの表面エネルギーを有し、600℃で加熱された後の約60mJ/mの表面エネルギーを有する、黒の正方形のデータ点を参照のこと;そして、DPSOが堆積された担体上に堆積され、次いで、10分間に亘り400℃でアニール処理されたカバーウエハーの表面エネルギー(試験1による)は、300℃で加熱された後の約65mJ/mから、600℃で加熱された後の約60mJ/mに変化する、白の正方形のデータ点を参照のこと。それゆえ、白の正方形のデータ点により示されるように、アニール処理されたDPSO上のカバーウエハーの表面エネルギーの変化は、300℃から600℃の範囲に亘り5mJ/m未満しか変化せず、これは、試験1のように、ガス放出がないことと一致する。
アニール処理後の結合品質
図7は、図示された測定前に、流動窒素中において10分間に亘る400℃での最初のアニール処理工程を施した試料に関する結合エネルギーおよびブリスター区域の変化%(試験2による)を示している。すなわち、0.7mmの「EAGLE XG」担体に、プラズマ重合したフェニルシリコーンまたはプラズマ重合したジフェニルシリコーンのいずれかを被覆し、次いで、流動窒素中における10分間に亘る400℃での加熱を施し、次いで、その被覆された担体を、N・Oプラズマで表面活性化して、その表面エネルギーを裸ガラスのものの近くまで上昇させた後に、100μmの「Willow」Glass薄いガラスシートに結合して試験物品を形成した。次に、この試験物品に、流動窒素中において10分間に亘り示された温度でのMPT-RTP600s Rapid Thermal Processingシステム内における処理を行った。図7の測定は以下の事を示す:フェニルシリコーンが結合した試験物品の結合エネルギーは、急激に、すなわち、300℃で加熱したときの約700mJ/mから、600℃で加熱したときの約0mJ/mに減少する、黒の菱形データ点を参照のこと;フェニルシリコーンが結合した試験物品のブリスター区域の変化パーセントは、300℃で加熱したときに5%未満のままであったが、物品を400℃以上の温度で加熱したときに、10%超(ガス放出を示す)に急激に上昇する、白の菱形データ点を参照のこと;ジフェニルシリコーンが結合した試験物品の結合エネルギーは、約300℃から約600℃の範囲の温度で加熱したときに、約750mJ/mから約550mJ/mの範囲のままであり、薄いガラスシートは担体から剥離可能なままである、黒の正方形のデータ点を参照のこと;ジフェニルシリコーンが結合した試験物品のブリスター区域の変化パーセントは、300℃から600℃の範囲の温度で加熱したときに、10%未満のままである、白の正方形のデータ点を参照のこと。ジフェニルシリコーンが結合した試験物品について、特に500℃辺りで、ある程度のガス放出があったが、その試料は、それでも、試験中ずっと完全なままであり、600℃で加熱された後でさえも、剥離可能であった。これは、これらの試験物品のために調製されたジフェニルシリコーンは、少なくとも600℃までの温度を含む幅広い温度範囲に亘り、すなわち、LTPS加工のために、有用であろう。他方で、図7の試験物品のために調製されたフェニルシリコーンは、300℃までの温度を要求するデバイス、例えば、カラーフィルタやタッチセンサを加工するために有用であろう。
実施例2
2つの別々の実験において、室温または100℃で誘電体バリア放電(DBD)タイプのリニア型大気圧プラズマ内において0.7mmの「EAGLE XG」担体上にプラズマ重合したヘキサメチルジシロキサン(HMDSO)コーティング層を堆積させた(約100ワットと200ワットの間の電力、13.56MHzの周波数を使用し、約30または約50sccmの流量でHMDSOを運ぶための搬送ガスとしてのHe、0~10sccmのO流量、および主要ガスとしての余計なHe、室温または100℃の堆積、2mm辺りのプラズマヘッドと基板の距離を使用して)。2つの別々の実験に関する堆積におけるHMDSOおよびO流量、並びに原子間力顕微鏡(AFM)により測定される表面エネルギーおよび粗さが、表2に示されている。次に、被覆された担体を清浄な100μmの薄いガラスシート(「Corning」「Willow」ガラスから製造された)に結合し、N環境のRTP内において10分間に亘り600℃に曝した。堆積条件、表面エネルギー、結合エネルギーおよびブリスター区域の変化も、表2に示されている。この薄いガラスは、たった364mJ/mの結合エネルギーであることに留意すると、600℃の加工後でさえも、処理済み基板から容易に剥離できたであろう。600℃での加熱後のブリスター区域の8~9%の変化パーセントは、いくらかあるが、最小のガス放出と一致する。実施例2はクリーンルーム内で行われず、それによって、担体、薄いガラスシート、およびコーティング層は、加工中に粒子汚染に曝されたことに留意することが有益である。この実施例が、担体、薄いガラスシート、および/またはコーティング層への汚染が減少した、クリーンルーム内で行われた場合、薄いガラスシートと担体との間のより良好な結合品質により与えられる、核形成に対する障壁が増加しているので、ブリスター区域の変化はさらにより小さかったであろうと考えられる。
Figure 0007152400000016
請求項の主題の精神および範囲から逸脱せずに、ここに開示された実施の形態に、様々な改変および変更を行えることが当業者には明白であろう。記載された精神および様々な原理から実質的に逸脱せずに、上述した実施の形態に、多くの変更および改変が行われるであろう。そのような改変および変更の全ては、本開示の範囲内に含まれ、以下の特許請求の範囲により保護されることが意図されている。例えば、非限定的な実施の形態には以下が挙げられる。
実施の形態1
物品において、
第1のシート結合面を有する第1のシート、
第2のシート結合面を有する第2のシート、および
第1のコーティング層結合面と第2のコーティング層結合面を有し、プラズマ重合した有機シロキサン化合物から作られたコーティング層、
を備え、
前記第1のコーティング層結合面は前記第1のシート結合面と結合しており、前記第2のコーティング層結合面は前記第2のシート結合面と結合している、物品。
実施の形態2
前記プラズマ重合した有機シロキサン化合物が、前記第1のシート結合面および前記第2のシート結合面の少なくとも一方の上にモノマーを堆積させることによって形成される、実施の形態1の物品。
実施の形態3
前記モノマーが、式(RSi(Xの化合物から作られ、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;mは、1、2または3であり;各Xは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;nは、1、2または3である、実施の形態2の物品。
実施の形態4
がアリールである、および/またはXがアルコキシである、実施の形態3の物品。
実施の形態5
前記モノマーが、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、およびジフェニルシランからなる群より選択される少なくとも1種類のモノマーである、実施の形態3の物品。
実施の形態6
前記モノマーが、下記の構造を有するジシロキサン化合物から作られ、式中、R~Rの各々が、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施の形態2の物品。
Figure 0007152400000017
実施の形態7
前記モノマーがヘキサメチルジシロキサンである、実施の形態6の物品。
実施の形態8
前記コーティング層がポリ(ジフェニルシロキサン)から作られる、実施の形態1の物品。
実施の形態9
前記第1のコーティング層結合面が、40mJ/mと75mJ/mの間の表面エネルギーを有する、実施の形態1から8いずれか1つの物品。
実施の形態10
前記コーティング層が、100nm未満の厚さを有する、実施の形態1から9いずれか1つの物品。
実施の形態11
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施の形態1から10いずれか1つの物品。
実施の形態12
前記第1のコーティング層結合面が、窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで前記第1のシート結合面に結合されている、実施の形態1から11いずれか1つの物品。
実施の形態13
窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である、実施の形態1から12いずれか1つの物品。
実施の形態14
物品を製造する方法において、
プラズマCVDを使用して、第2のシートの結合面上にモノマーを堆積させることによって、プラズマ重合した有機シロキサン化合物から作られたコーティング層を該第2のシートの結合面上に形成する工程であって、該コーティング層はコーティング層結合面を有する工程、および
前記コーティング層結合面を第1のシートの結合面に結合する工程、
を有してなる方法。
実施の形態15
前記第1のシートの結合面が前記コーティング層結合面に結合される前に、該結合面を酸素、窒素、またはその組合せに暴露して、該コーティング層結合面の表面エネルギーを増加させる工程をさらに含み、該コーティング層結合面の表面エネルギーが、40mJ/mと75mJ/mの間まで増加させられる、実施の形態14の方法。
実施の形態16
前記モノマーが、式(RSi(Xの化合物から作られ、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;mは、1、2または3であり;各Xは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;nは、1、2または3である、実施の形態14の方法。
実施の形態17
がアリールである、および/またはXがアルコキシである、実施の形態16の方法。
実施の形態18
前記モノマーが、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、およびジフェニルシランからなる群より選択される少なくとも1種類のモノマーを含む、実施の形態16の方法。
実施の形態19
前記モノマーが、下記の構造を有するジシロキサン化合物から作られ、式中、R~Rの各々が、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施の形態14の方法。
Figure 0007152400000018
実施の形態20
前記モノマーがヘキサメチルジシロキサンである、実施の形態19の方法。
実施の形態21
前記コーティング層がポリ(ジフェニルシロキサン)から作られる、実施の形態14の方法。
実施の形態22
前記コーティング層が、100nm未満の厚さを有する、実施の形態14から20いずれか1つの方法。
実施の形態23
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施の形態14から21いずれか1つの方法。
実施の形態24
前記第1のシートおよび前記コーティング層が結合される前に、該コーティング層に窒素雰囲気において少なくとも300℃の温度で熱アニール処理を施す工程をさらに含む、実施の形態14から22いずれか1つの方法。
実施の形態25
前記コーティング層に、少なくとも400℃の温度で熱アニール処理に施す工程を含む、実施の形態24の方法。
実施の形態26
物品において、
第1のシート結合面を有する第1のシート、
第2のシート結合面を有する第2のシート、および
第1のコーティング層結合面と第2のコーティング層結合面を有し、ポリ(ジフェニルシロキサン)から作られたコーティング層、
を備え、
前記第1のコーティング層結合面は前記第1のシート結合面と結合しており、前記第2のコーティング層結合面は前記第2のシート結合面と結合している、物品。
実施の形態27
前記ポリ(ジフェニルシロキサン)コーティング層が、前記第1のシート結合面および前記第2のシート結合面の少なくとも一方の上にモノマーを堆積させることによって形成され、該モノマーは、該第1のシート結合面および該第2のシート結合面の少なくとも一方の上に堆積されており、該モノマーは、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施の形態26の物品。
Figure 0007152400000019
実施の形態28
前記第1のコーティング層結合面が、40mJ/mと75mJ/mの間の表面エネルギーを有する、実施の形態26の物品。
実施の形態29
前記コーティング層が、100nm未満の厚さを有する、実施の形態26から28いずれか1つの物品。
実施の形態30
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施の形態26から29いずれか1つの物品。
実施の形態31
前記第1のコーティング層結合面が、窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで前記第1のシート結合面に結合されている、実施の形態26から30いずれか1つの物品。
実施の形態32
窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である、実施の形態26から31いずれか1つの物品。
実施の形態33
物品を製造する方法において、
第2のシートの結合面上にモノマーを堆積させることによって、ポリ(ジフェニルシロキサン)から作られたコーティング層を該第2のシートの結合面上に形成する工程であって、該コーティング層はコーティング層結合面を有する工程、および
前記コーティング層結合面を第1のシートの結合面に結合する工程、
を有してなる方法。
実施の形態34
前記モノマーが、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施の形態33の方法。
Figure 0007152400000020
実施の形態35
前記コーティング層が、100nm未満の厚さを有する、実施の形態33または34の方法。
実施の形態36
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施の形態33から35いずれか1つの方法。
実施の形態37
前記第1のシートおよび前記コーティング層が結合される前に、該コーティング層に窒素雰囲気において少なくとも300℃の温度で熱アニール処理を施す工程をさらに含む、実施の形態33から36いずれか1つの方法。
実施の形態38
前記コーティング層に、少なくとも400℃の温度で熱アニール処理に施す工程を含む、実施の形態37の方法。
以下、本発明の好ましい実施形態を項分け記載する。
実施形態1
物品において、
第1のシート結合面を有する第1のシート、
第2のシート結合面を有する第2のシート、および
第1のコーティング層結合面と第2のコーティング層結合面を有し、プラズマ重合した有機シロキサン化合物から作られたコーティング層、
を備え、
前記第1のコーティング層結合面は前記第1のシート結合面と結合しており、前記第2のコーティング層結合面は前記第2のシート結合面と結合している、物品。
実施形態2
前記プラズマ重合した有機シロキサン化合物が、前記第1のシート結合面および前記第2のシート結合面の少なくとも一方の上にモノマーを堆積させることによって形成される、実施形態1に記載の物品。
実施形態3
前記モノマーが、式(RSi(Xの化合物から作られ、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;mは、1、2または3であり;各Xは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;nは、1、2または3である、実施形態2に記載の物品。
実施形態4
がアリールである、および/またはXがアルコキシである、実施形態3に記載の物品。
実施形態5
前記モノマーが、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、およびジフェニルシランからなる群より選択される少なくとも1種類のモノマーである、実施形態3に記載の物品。
実施形態6
前記モノマーが、下記の構造を有するジシロキサン化合物から作られ、式中、R~Rの各々が、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施形態2に記載の物品。
Figure 0007152400000021
実施形態7
前記モノマーがヘキサメチルジシロキサンである、実施形態6に記載の物品。
実施形態8
前記コーティング層がポリ(ジフェニルシロキサン)から作られる、実施形態1に記載の物品。
実施形態9
前記第1のコーティング層結合面が、40mJ/mと75mJ/mの間の表面エネルギーを有する、実施形態1から8いずれか1つに記載の物品。
実施形態10
前記コーティング層が、100nm未満の厚さを有する、実施形態1から8いずれか1つに記載の物品。
実施形態11
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施形態1から8いずれか1つに記載の物品。
実施形態12
前記第1のコーティング層結合面が、窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで前記第1のシート結合面に結合されている、実施形態1から8いずれか1つに記載の物品。
実施形態13
窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である、実施形態1から8いずれか1つに記載の物品。
実施形態14
物品を製造する方法において、
プラズマCVDを使用して、第2のシートの結合面上にモノマーを堆積させることによって、プラズマ重合した有機シロキサン化合物から作られたコーティング層を該第2のシートの結合面上に形成する工程であって、該コーティング層はコーティング層結合面を有する工程、および
前記コーティング層結合面を第1のシートの結合面に結合する工程、
を有してなる方法。
実施形態15
前記第1のシートの結合面が前記コーティング層結合面に結合される前に、該結合面を酸素、窒素、またはその組合せに暴露して、該コーティング層結合面の表面エネルギーを増加させる工程をさらに含み、該コーティング層結合面の表面エネルギーが、40mJ/mと75mJ/mの間まで増加させられる、実施形態14に記載の方法。
実施形態16
前記モノマーが、式(RSi(Xの化合物から作られ、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;mは、1、2または3であり;各Xは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;nは、1、2または3である、実施形態14に記載の方法。
実施形態17
がアリールである、および/またはXがアルコキシである、実施形態16に記載の方法。
実施形態18
前記モノマーが、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、およびジフェニルシランからなる群より選択される少なくとも1種類のモノマーを含む、実施形態16に記載の方法。
実施形態19
前記モノマーが、下記の構造を有するジシロキサン化合物から作られ、式中、R~Rの各々が、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施形態14に記載の方法。
Figure 0007152400000022
実施形態20
前記モノマーがヘキサメチルジシロキサンである、実施形態19に記載の方法。
実施形態21
前記コーティング層がポリ(ジフェニルシロキサン)から作られる、実施形態14に記載の方法。
実施形態22
前記コーティング層が、100nm未満の厚さを有する、実施形態14から20いずれか1つに記載の方法。
実施形態23
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施形態14から20いずれか1つに記載の方法。
実施形態24
前記第1のシートおよび前記コーティング層が結合される前に、該コーティング層に窒素雰囲気において少なくとも300℃の温度で熱アニール処理を施す工程をさらに含む、実施形態14から20いずれか1つに記載の方法。
実施形態25
前記コーティング層に、少なくとも400℃の温度で熱アニール処理に施す工程を含む、実施形態24に記載の方法。
実施形態26
物品において、
第1のシート結合面を有する第1のシート、
第2のシート結合面を有する第2のシート、および
第1のコーティング層結合面と第2のコーティング層結合面を有し、ポリ(ジフェニルシロキサン)から作られたコーティング層、
を備え、
前記第1のコーティング層結合面は前記第1のシート結合面と結合しており、前記第2のコーティング層結合面は前記第2のシート結合面と結合している、物品。
実施形態27
前記ポリ(ジフェニルシロキサン)コーティング層が、前記第1のシート結合面および前記第2のシート結合面の少なくとも一方の上にモノマーを堆積させることによって形成され、該モノマーは、該第1のシート結合面および該第2のシート結合面の少なくとも一方の上に堆積されており、該モノマーは、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施形態26に記載の物品。
Figure 0007152400000023
実施形態28
前記第1のコーティング層結合面が、40mJ/mと75mJ/mの間の表面エネルギーを有する、実施形態26に記載の物品。
実施形態29
前記コーティング層が、100nm未満の厚さを有する、実施形態26から28いずれか1つに記載の物品。
実施形態30
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施形態26から28いずれか1つに記載の物品。
実施形態31
前記第1のコーティング層結合面が、窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した後に、700mJ/m未満の結合エネルギーで前記第1のシート結合面に結合されている、実施形態26から28いずれか1つに記載の物品。
実施形態32
窒素雰囲気において10分間に亘り前記物品を600℃の温度に暴露した場合、ブリスター区域の変化パーセントが10未満である、実施形態26から28いずれか1つに記載の物品。
実施形態33
物品を製造する方法において、
第2のシートの結合面上にモノマーを堆積させることによって、ポリ(ジフェニルシロキサン)から作られたコーティング層を該第2のシートの結合面上に形成する工程であって、該コーティング層はコーティング層結合面を有する工程、および
前記コーティング層結合面を第1のシートの結合面に結合する工程、
を有してなる方法。
実施形態34
前記モノマーが、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、実施形態33に記載の方法。
Figure 0007152400000024
実施形態35
前記コーティング層が、100nm未満の厚さを有する、実施形態33または34に記載の方法。
実施形態36
前記コーティング層が底部コーティング層および上部コーティング層からなり、該底部コーティング層は該上部コーティング層と前記第2のシートとの間にあり、該底部コーティング層は10nmと80nmの間の厚さを有し、該上部コーティング層は10nmと50nmの間の厚さを有する、実施形態33または34に記載の方法。
実施形態37
前記第1のシートおよび前記コーティング層が結合される前に、該コーティング層に窒素雰囲気において少なくとも300℃の温度で熱アニール処理を施す工程をさらに含む、実施形態33または34に記載の方法。
実施形態38
前記コーティング層に、少なくとも400℃の温度で熱アニール処理に施す工程を含む、実施形態37に記載の方法。
2 物品
8、18、28、38 厚さ
10 第2のシート
12、22 第一面
14、24 結合面
16、26 周囲
20 第1のシート
30 コーティング層

Claims (15)

  1. 物品において、
    第1のシート結合面を有する第1のシート、
    第2のシート結合面を有する第2のシート、および
    第1のコーティング層結合面と第2のコーティング層結合面を有し、プラズマ重合した有機シロキサン化合物から作られたコーティング層、
    を備え、
    前記第1のコーティング層結合面は前記第1のシート結合面と結合しており、前記第2のコーティング層結合面は前記第2のシート結合面と結合しており、
    前記第1のシート結合面と結合される直前の前記第1のコーティング層結合面は、40mJ/mと75mJ/mの間の表面エネルギーを有している、物品。
  2. 前記コーティング層がポリ(ジフェニルシロキサン)から作られる、請求項1記載の物品。
  3. 物品を製造する方法において、
    プラズマCVDを使用して、第2のシートの結合面上にモノマーを堆積させることによって、プラズマ重合した有機シロキサン化合物から作られたコーティング層を該第2のシートの結合面上に形成する工程であって、該コーティング層はコーティング層結合面を有する工程、
    前記コーティング層結合面を第1のシートの結合面に結合する工程、および、
    前記第1のシートの結合面が前記コーティング層結合面に結合される前に、該結合面を酸素、窒素、またはその組合せに暴露して、該コーティング層結合面の表面エネルギーを増加させる工程を有し、
    該コーティング層結合面の表面エネルギーが、40mJ/mと75mJ/mの間まで増加させられる、方法。
  4. 前記モノマーが、式(RSi(Xの化合物から作られ、式中、各Rは、独立して、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;mは、1、2または3であり;各Xは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せであり;nは、1、2または3である、請求項3記載の方法。
  5. がアリールである、および/またはXがアルコキシである、請求項4記載の方法。
  6. 前記モノマーが、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリブロモシラン、フェニルトリクロロシラン、ジメトキシジフェニルシラン、ジエトキシジフェニルシラン、ジブロモジフェニルシラン、ジクロロジフェニルシラン、ビス(ジメチルアミノ)ジフェニルシラン、ビス(ジエチルアミノ)ジフェニルシラン、フェニルシラン、およびジフェニルシランからなる群より選択される少なくとも1種類のモノマーを含む、請求項4記載の方法。
  7. 前記モノマーが、下記の構造を有するジシロキサン化合物から作られ、式中、R~Rの各々が、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、請求項3記載の方法。
    Figure 0007152400000025
  8. 前記モノマーがヘキサメチルジシロキサンである、請求項7記載の方法。
  9. 前記コーティング層がポリ(ジフェニルシロキサン)から作られる、請求項3から8いずれか1項記載の方法。
  10. 前記第1のシートおよび前記コーティング層が結合される前に、該コーティング層に窒素雰囲気において少なくとも300℃の温度で熱アニール処理を施す工程をさらに含む、請求項3から9いずれか1項記載の方法。
  11. 物品において、
    第1のシート結合面を有する第1のシート、
    第2のシート結合面を有する第2のシート、および
    第1のコーティング層結合面と第2のコーティング層結合面を有し、ポリ(ジフェニルシロキサン)から作られたコーティング層、
    を備え、
    前記第1のコーティング層結合面は前記第1のシート結合面と結合しており、前記第2のコーティング層結合面は前記第2のシート結合面と結合しており、
    前記第1のシート結合面と結合される直前の前記第1のコーティング層結合面は、40mJ/mと75mJ/mの間の表面エネルギーを有している、物品。
  12. 前記ポリ(ジフェニルシロキサン)コーティング層が、前記第1のシート結合面および前記第2のシート結合面の少なくとも一方の上にモノマーを堆積させることによって形成され、該モノマーは、該第1のシート結合面および該第2のシート結合面の少なくとも一方の上に堆積されており、該モノマーは、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、請求項11記載の物品。
    Figure 0007152400000026
  13. 物品を製造する方法において、
    第2のシートの結合面上にモノマーを堆積させることによって、ポリ(ジフェニルシロキサン)から作られたコーティング層を該第2のシートの結合面上に形成する工程であって、該コーティング層はコーティング層結合面を有する工程、および
    前記コーティング層結合面を第1のシートの結合面に結合する工程、
    を有してなる方法。
  14. 前記モノマーが、下記の構造を有するジフェニルシラン化合物から作られ、式中、XおよびXは、独立して、水素、ハロゲン、ヒドロキシ、アルコキシ、アミノ、アリール、アルキル、アルケニル、アルキニル、またはその組合せである、請求項13記載の方法。
    Figure 0007152400000027
  15. 前記第1のシートおよび前記コーティング層が結合される前に、該コーティング層に窒素雰囲気において少なくとも300℃の温度で熱アニール処理を施す工程をさらに含む、請求項13または14記載の方法。
JP2019531566A 2016-08-30 2017-08-29 シートを結合するためのシロキサンプラズマ高分子 Active JP7152400B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662381124P 2016-08-30 2016-08-30
US62/381,124 2016-08-30
PCT/US2017/049019 WO2018044837A1 (en) 2016-08-30 2017-08-29 Siloxane plasma polymers for sheet bonding

Publications (2)

Publication Number Publication Date
JP2019531946A JP2019531946A (ja) 2019-11-07
JP7152400B2 true JP7152400B2 (ja) 2022-10-12

Family

ID=61301607

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019531566A Active JP7152400B2 (ja) 2016-08-30 2017-08-29 シートを結合するためのシロキサンプラズマ高分子

Country Status (7)

Country Link
US (2) US11097509B2 (ja)
JP (1) JP7152400B2 (ja)
KR (1) KR102469504B1 (ja)
CN (1) CN109922952A (ja)
SG (1) SG11201901743WA (ja)
TW (2) TW202216444A (ja)
WO (1) WO2018044837A1 (ja)

Families Citing this family (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN109671614B (zh) * 2017-08-10 2020-08-21 长江存储科技有限责任公司 一种晶圆键合方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN109504309A (zh) * 2018-12-12 2019-03-22 深圳德邦界面材料有限公司 一种外观改进的复合导热垫片及其制备方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN110497659A (zh) * 2019-07-18 2019-11-26 尼尔金属(苏州)有限公司 一种复合材料及其制备方法及使用其的电子产品
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
WO2021015059A1 (ja) * 2019-07-25 2021-01-28 Agc株式会社 積層部材
WO2021015057A1 (ja) * 2019-07-25 2021-01-28 Agc株式会社 積層部材
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN113451122A (zh) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 一种在iii-v衬底上沉积高粘附性薄膜的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115991951B (zh) * 2021-10-20 2024-02-20 江苏菲沃泰纳米科技股份有限公司 一种复合涂层、制备方法及器件

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009035720A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2016106047A (ja) 2016-01-07 2016-06-16 住友ベークライト株式会社 化粧板
JP2017506170A (ja) 2014-01-27 2017-03-02 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体

Family Cites Families (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (ja) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
WO1992022604A1 (en) 1991-06-14 1992-12-23 W.L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3136951B2 (ja) * 1994-06-28 2001-02-19 松下電工株式会社 ガラスクロスの表面処理方法
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
US5718967A (en) * 1995-10-13 1998-02-17 The Dow Chemical Company Coated plastic substrate
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
AU9296098A (en) 1997-08-29 1999-03-16 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6852650B2 (en) * 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2267720A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6602606B1 (en) 1999-05-18 2003-08-05 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW473783B (en) 1999-08-13 2002-01-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
CN1314086C (zh) 2000-02-01 2007-05-02 模拟装置公司 具有抗静摩擦特性的芯片、微机电装置及其制造方法
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
DE60232415D1 (de) 2001-06-20 2009-07-02 Showa Denko Kk Licht emittierendes material und organische leuchtdiode
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
DE60221753T2 (de) 2001-06-29 2007-12-06 Crystal Systems Inc. Beschlagungsresistente transparente Artikel, Stoffe, die eine hydrophile anorganische Schicht hoher Härte bilden und Verfahren zur Herstellung einer beschlagungsarmen Linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7056751B2 (en) 2002-11-20 2006-06-06 Reveo, Inc. Method and system for increasing yield of vertically integrated devices
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
CN1675058B (zh) 2002-08-07 2010-12-29 株式会社丰田中央研究所 包括粘合层的层压产品和包括保护膜的层压产品
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
TWI302908B (en) 2002-09-18 2008-11-11 Fujifilm Electronic Materials Additives to prevent degradation of alkyl-hydrogen siloxanes
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
US7482249B2 (en) 2002-11-29 2009-01-27 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
DE50311232D1 (de) 2002-12-17 2009-04-09 Wipf Ag Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
WO2005036604A2 (en) 2003-10-09 2005-04-21 E.I. Dupont De Nemours And Company Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
KR101078509B1 (ko) 2004-03-12 2011-10-31 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 박막 트랜지스터의 제조 방법
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
US7541264B2 (en) 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
CN101175633B (zh) 2005-04-19 2011-12-21 宇部兴产株式会社 聚酰亚胺薄膜层合体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
WO2007018028A1 (ja) 2005-08-09 2007-02-15 Asahi Glass Company, Limited 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP1818860B1 (en) 2006-02-08 2011-03-30 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
DE102006013834A1 (de) * 2006-03-23 2007-09-27 Tesa Ag Elektrolumineszierende Haftklebemassen
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
EP2025650A1 (en) 2006-05-08 2009-02-18 Asahi Glass Company, Limited Thin-sheet glass laminate, process for manufacturing display apparatus using the laminate, and supporting glass substrate
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
KR20090037856A (ko) 2006-07-12 2009-04-16 아사히 가라스 가부시키가이샤 보호 유리가 부착된 유리 기판, 보호 유리가 부착된 유리 기판을 사용한 표시 장치의 제조 방법 및 박리지용 실리콘
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
EP2128105A4 (en) 2007-03-12 2013-03-27 Asahi Glass Co Ltd GLASS PROTECTIVE GLASS SUBSTRATE AND METHOD FOR MANUFACTURING DISPLAY DEVICE USING GLASS PROTECTIVE GLASS SUBSTRATE
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
EP2150556B1 (de) 2007-04-26 2011-01-12 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
EP3225599A1 (en) 2007-06-20 2017-10-04 Asahi Glass Company, Limited Method for treatment of surface of oxide glass with fluorinating agent
CN101779268B (zh) 2007-06-25 2013-11-06 布鲁尔科技公司 高温旋涂暂时性粘合组合物
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
WO2009085362A2 (en) 2007-10-05 2009-07-09 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
RU2010129076A (ru) 2008-01-24 2012-01-20 Брюэр Сайенс Инк. (Us) Способ обратимого крепления полупроводниковой пластины со сформированными устройствами к несущей подложке
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
AU2009212751B2 (en) 2008-02-05 2013-02-07 Saint-Gobain Performance Plastics Corporation Multi-layer article
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
US8685201B2 (en) 2008-04-08 2014-04-01 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
CN102007524B (zh) 2008-04-17 2013-07-31 旭硝子株式会社 玻璃层叠体、带支撑体的显示装置用面板及它们的制造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
JP2012509393A (ja) 2008-11-19 2012-04-19 ダウ コーニング コーポレーション シリコーン組成物およびその製造方法
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
US8455872B2 (en) 2008-12-05 2013-06-04 Koninklijke Philips Electronics N.V. Electronic devices having plastic substrates
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
CA2751881C (en) 2009-02-27 2013-09-17 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
WO2010129459A2 (en) 2009-05-06 2010-11-11 Corning Incorporated Carrier for glass substrates
WO2010128611A1 (ja) 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
CN102459704B (zh) 2009-06-03 2014-08-20 应用材料公司 用于蚀刻的方法和设备
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
WO2011024690A1 (ja) 2009-08-27 2011-03-03 旭硝子株式会社 フレキシブル基材-支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
CN102481764B (zh) 2009-09-08 2014-11-05 旭硝子株式会社 玻璃/树脂层叠体、及使用其的电子设备
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
WO2011029752A1 (en) 2009-09-11 2011-03-17 Loctite (R & D) Limited Compositions for polymer bonding
JP5510901B2 (ja) 2009-09-18 2014-06-04 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
KR20120098640A (ko) 2009-10-20 2012-09-05 아사히 가라스 가부시키가이샤 유리 적층체 및 그의 제조 방법, 및 표시 패널의 제조 방법 및 그 제조 방법에 의해 얻어지는 표시 패널
CN102574371B (zh) 2009-10-20 2015-10-07 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
DE102010063301A1 (de) 2009-12-17 2011-06-22 CeramTec GmbH, 73207 Oberflächenkonditionierung zur Verbesserung der Knochenzementadhäsion an keramischen Substraten
WO2011086991A1 (ja) 2010-01-12 2011-07-21 日本電気硝子株式会社 ガラスフィルム積層体及びその製造方法並びにガラスフィルムの製造方法
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP5835214B2 (ja) 2010-05-11 2015-12-24 旭硝子株式会社 積層体の製造方法、および積層体
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
EP2703156B1 (en) 2010-07-28 2015-01-14 Nippon Electric Glass Co., Ltd. Glass film laminate
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
US20130188324A1 (en) 2010-09-29 2013-07-25 Posco Method for Manufacturing a Flexible Electronic Device Using a Roll-Shaped Motherboard, Flexible Electronic Device, and Flexible Substrate
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
KR101211961B1 (ko) 2011-02-01 2012-12-18 마이크로 테크놀러지 가부시키가이샤 박판 글라스 기판 첩합체 및 그 제조방법
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
KR20140018937A (ko) 2011-04-22 2014-02-13 아사히 가라스 가부시키가이샤 적층체, 그 제조 방법 및 용도
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
JP5963218B2 (ja) 2011-09-27 2016-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄いガラス基板用のキャリアおよびその使用方法
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
CN103889712B (zh) 2011-10-18 2015-07-08 旭硝子株式会社 层叠体、层叠体的制造方法及带有电子器件用构件的玻璃基板的制造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
CN107097004A (zh) 2012-02-08 2017-08-29 康宁股份有限公司 切割设备及切割方法
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
KR20150023312A (ko) 2012-05-29 2015-03-05 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
EP2885253B1 (en) 2012-08-17 2021-06-02 Corning Incorporated Ultra-thin strengthened glasses
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
WO2014050798A1 (ja) 2012-09-28 2014-04-03 Hoya株式会社 電子機器用カバーガラス及びその製造方法
JP6323957B2 (ja) 2012-10-12 2018-05-16 コーニング インコーポレイテッド 残留強度を有する物品
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
CN106030686A (zh) 2012-12-13 2016-10-12 康宁股份有限公司 玻璃和制备玻璃制品的方法
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
WO2014142280A1 (ja) 2013-03-15 2014-09-18 日産自動車株式会社 非水電解質二次電池
KR102239613B1 (ko) 2013-03-15 2021-04-13 코닝 인코포레이티드 유리 시트의 벌크 어닐링
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
TWI617521B (zh) 2013-04-02 2018-03-11 Asahi Glass Co Ltd 附有被覆膜之玻璃基板及其製造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
KR20160114106A (ko) 2014-01-27 2016-10-04 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 표면 개질 층의 처리
CN106104778A (zh) 2014-01-27 2016-11-09 康宁股份有限公司 用于聚合物表面与载体的受控粘结的制品和方法
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
JP6443350B2 (ja) 2014-02-07 2018-12-26 Agc株式会社 ガラス積層体
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
WO2015156395A1 (ja) 2014-04-10 2015-10-15 旭硝子株式会社 ガラス積層体およびその製造方法、電子デバイスの製造方法
KR20160146712A (ko) 2014-04-25 2016-12-21 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
JPWO2016017645A1 (ja) 2014-08-01 2017-07-06 旭硝子株式会社 無機膜付き支持基板およびガラス積層体、ならびに、それらの製造方法および電子デバイスの製造方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN107635769B (zh) 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
JP7106276B2 (ja) 2015-06-26 2022-07-26 コーニング インコーポレイテッド シート及び担体を有する物品及び方法
WO2018038961A1 (en) 2016-08-22 2018-03-01 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009035720A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2017506170A (ja) 2014-01-27 2017-03-02 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
JP2016106047A (ja) 2016-01-07 2016-06-16 住友ベークライト株式会社 化粧板

Also Published As

Publication number Publication date
SG11201901743WA (en) 2019-03-28
US20210362470A1 (en) 2021-11-25
TW202216444A (zh) 2022-05-01
CN109922952A (zh) 2019-06-21
WO2018044837A1 (en) 2018-03-08
US11097509B2 (en) 2021-08-24
US20190176435A1 (en) 2019-06-13
TW201825623A (zh) 2018-07-16
KR20190039327A (ko) 2019-04-10
KR102469504B1 (ko) 2022-11-22
JP2019531946A (ja) 2019-11-07

Similar Documents

Publication Publication Date Title
JP7152400B2 (ja) シートを結合するためのシロキサンプラズマ高分子
US11660841B2 (en) Articles and methods for bonding sheets with carriers
US10538452B2 (en) Bulk annealing of glass sheets
EP3584229B1 (en) Method for controlled bonding of glass sheets with carriers
US10014177B2 (en) Methods for processing electronic devices
US20190184686A1 (en) Articles of controllably bonded sheets and methods for making same
US20150099110A1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
TWI594960B (zh) 玻璃片之大量退火
WO2017024197A1 (en) Articles and methods for bonding sheets with carriers
JP7431160B2 (ja) 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
TWI821867B (zh) 具以可控制式黏結的薄片之製品及製作其之方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200831

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220831

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220929

R150 Certificate of patent or registration of utility model

Ref document number: 7152400

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150