CN102459704B - 用于蚀刻的方法和设备 - Google Patents

用于蚀刻的方法和设备 Download PDF

Info

Publication number
CN102459704B
CN102459704B CN201080025011.5A CN201080025011A CN102459704B CN 102459704 B CN102459704 B CN 102459704B CN 201080025011 A CN201080025011 A CN 201080025011A CN 102459704 B CN102459704 B CN 102459704B
Authority
CN
China
Prior art keywords
substrate
gas
etch
steps
etch reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201080025011.5A
Other languages
English (en)
Other versions
CN102459704A (zh
Inventor
阿兰·切斯里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102459704A publication Critical patent/CN102459704A/zh
Application granted granted Critical
Publication of CN102459704B publication Critical patent/CN102459704B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • C23F4/04Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00 by physical dissolution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的实施例针对衬底蚀刻方法和设备。在一实施例中,提供了一种用于在等离子体蚀刻反应器中蚀刻衬底的方法,其包括以下步骤:a)在蚀刻反应器中将聚合物沉积在衬底上;b)在蚀刻反应器中使用包括含氟气体和氧的气体混合物蚀刻衬底;c)在蚀刻反应器中使用未混合氧的含氟气体蚀刻设置在衬底上的含硅层;以及d)重复步骤a)、b)及c),直至达到了蚀刻到含硅层内的特征结构的终点。

Description

用于蚀刻的方法和设备
技术领域
本发明的实施例一般而言涉及用于蚀刻的方法和设备,并且更具体而言涉及用于蚀刻微机电系统(MEMS)器件之类的方法和设备。
背景技术
微机电系统(MEMS)器件的需求已经对于处理设备公司造成新挑战。一个挑战是提供适于高效地等离子体蚀刻用于制造MEMS结构的材料的设备。举例而言,用于蚀刻的处理设备必须能够维持良好的临界尺寸控制和掩模选择性,从而成功地以商业可实施的规模来制造MEMS结构。此外,对于用在光学器件的MEMS结构,处理设备必须产生足够平滑的侧壁,而不会抑制性能目标的达成。
硅是通常用于MEMS结构的材料。用于MEMS制造的硅蚀刻通常是在反应性离子蚀刻(RIE)反应器中执行的。典型的RIE反应器大致上具有受限的小等离子体产生区域以及受限的功率能力。这使其难以在较大的衬底规格上达到良好的蚀刻均匀性,并也限制了蚀刻速率。此外,RIE反应器大致上在衬底中心处相对于边缘处蚀刻得更快速,这会限制潜在的产品产量和品质。
一些RIE蚀刻反应器利用循环蚀刻处理,该循环蚀刻处理包括一些程序步骤(例如蚀刻和沉积,或蚀刻、闪蚀、和沉积)。循环蚀刻处理可使用时间多任务气体模块化(TMGM)系统或波希(Bosch)系统,以按顺序提供蚀刻剂和沉积物质。沉积物质提供在先前经蚀刻的表面上提供保护膜以保护该表面(一般是沟槽的侧壁)免于进一步蚀刻。这两个步骤进行重复而形成了越来越深的沟槽。循环蚀刻处理的不佳控制会不利地增加侧壁的粗糙度,这会造成微电子组件具有缺陷。
所以,需要一种经改良的用于蚀刻的方法和设备。
发明内容
本发明的实施例针对衬底蚀刻方法和设备。在一实施例中,提供了一种用于在等离子体蚀刻反应器中蚀刻衬底的方法,其包括以下步骤:a)在蚀刻反应器中将聚合物沉积在衬底上;b)在蚀刻反应器中使用包括含氟气体和氧的气体混合物蚀刻衬底;c)在蚀刻反应器中使用未混合氧的含氟气体蚀刻设置在衬底上的含硅层;以及d)重复步骤a)、b)及c),直至达到了蚀刻到含硅层内的特征结构的终点。
在另一实施例中,提供了一种用于在等离子体蚀刻反应器中蚀刻衬底的方法,其包括以下步骤:a)在蚀刻反应器中将聚合物沉积在衬底上;b)在蚀刻反应器中使用气体混合物蚀刻衬底,该气体混合物包括含氟气体和氧,该气体混合物另外包括He或HeO2的至少一者;c)在蚀刻反应器中使用未混合氧的含氟气体蚀刻设置在衬底上的含硅层;以及d)重复步骤a)、b)及c),直至达到了蚀刻到含硅层内的特征结构的终点。
在一些实施例中,使用包括含氟气体和氧的气体混合物来蚀刻该衬底的步骤以比使用未混合氧的含氟气体来蚀刻设置在衬底上的含硅层的步骤更低的压力来执行。
在一些实施例中,使用包括含氟气体和氧的气体混合物来蚀刻衬底的步骤以比使用未混合氧的含氟气体来蚀刻设置在衬底上的含硅层的步骤更高的偏压功率来执行。
在一些实施例中,在沉积步骤与蚀刻步骤之间执行净化步骤。在额外的实施例中,净化包括使He或HeO2的至少一者流入蚀刻反应器。
在一些实施例中,使用包括含氟气体和氧的气体混合物来蚀刻衬底的步骤在存在从SF6与O2形成的等离子体的情况下执行。
在一些实施例中,使用包括含氟气体和氧的气体混合物来蚀刻衬底的步骤在存在从He和HeO2的至少一者以及SF6和O2形成的等离子体的情况下执行,而使用未混合氧的含氟气体来蚀刻设置在衬底上的含硅层的步骤在存在从SF6形成的等离子体的情况下执行。
附图说明
可藉由参考本发明的实施例得到对前文简短总结的本发明的具体说明,从而可以详细理解本发明的前述特征,实施例中的一些在附图中示出。但是应注意的是,附图仅示出本发明的典型实施例,因此不应视为对其范围的限制,因为本发明可容许其他等同实施例。
图1是衬底蚀刻反应器的一个实施例的剖视图。
图2是衬底支撑组件的一个实施例的局部剖视图。
图3是蚀刻处理的一个实施例的流程图。
为帮助理解,在可行的情况下使用相同的附图标记来表示对于各附图共有的相同组件。但是,应了解,附图仅示出本发明的典型实施例,因此不应视为对其范围的限制,因为本发明可容许其他等同实施例。
具体实施方式
本发明一般而言涉及用于蚀刻的设备和方法。尽管在此描述的设备和方法特别地有利于蚀刻硅以用于MEMS应用,但是应了解本发明的实施例不局限于硅蚀刻的使用,而是可有益地用在其他类型的材料并/或用在其他蚀刻反应器中。为了更好地理解本发明的设备及其使用方法的创新性,以下将对附图进行参照。
图1是蚀刻反应器100的一个实施例的剖视图。蚀刻反应器100包括下腔室主体102、上腔室主体104、及室顶106,它们围绕出处理容积108。室顶106可以是平坦的或具有其他几何形态。在一实施例中,室顶106是穹顶。可更换的间隔件110被设置在室顶106与上腔室主体104之间,使得室顶106相对于上腔室主体104的倾斜度和/或高度可依需要选择性地改变。
RF线圈112设置在室顶106上方,并且经由匹配电路116耦接到一RF源114。室顶106可使RF功率透射,从而使从RF源114施加到线圈112的源功率可感应地耦合到且激发被置于反应器100的处理容积108中的气体以维持等离子体170。传统上,施加到线圈112的功率称为源功率。
可以约10W至约5000W范围内的功率且以约12MHz至约13.5MHz范围内的频率提供源功率。该源功率可以是脉冲式的。
上腔室主体104包括泵送通道118,泵送通道118将反应器100的处理容积108经由节流阀122连接到泵120。泵120和节流阀122可操作以控制反应器100的处理容积108内的压力。泵120也可移除蚀刻副产物。挡板180可设置在泵送通道118中,以将泵120的污染减到最少并改善处理容积108内的传导性。
反应器100具有与其耦接的快速气体交换系统124,快速气体交换系统124经由喷嘴126提供处理和/或其他气体到处理容积108,其中喷嘴126位于上腔室主体104的内部周围或其他适当位置。快速气体交换系统124选择性地容许任何单一气体或多种气体的组合提供到处理容积108。在一实施例中,快速气体交换系统124具有三条输送管线128,每条输送管线耦接到不同的气体源。输送管线128可耦接到相同或不同的喷嘴126。
在图1所绘示的实施例中,每条输送管线128包括第一阀130、质量流量计132、及第二阀134。第二阀134耦接到共享T形件138,T形件138耦接到喷嘴126。气体从质量流量计132流动到处理容积108所通过的管路的长度小于约2.5m,藉此容许更快速地在这些气体间切换。快速气体交换系统124可藉由隔离阀136来与反应器100的处理容积108隔离,其中隔离阀136设置在T形件138与喷嘴126之间。
排放管路162耦接在隔离阀136与T形件138之间,以容许残余气体从快速气体交换系统124净化,而不会进入反应器100。提供关闭阀164,以在气体输送到反应器100的处理容积108时关闭排放管路162。
耦接到快速气体交换系统124的气体源可提供气体,包括但不限于六氟化硫(SF6)、氧、氩、三氟甲烷(CHF3)、C4F8、NF3、CF4、CHF3、ClF3、BrF3、IF3、HeO2、和/或氦。流动控制阀可包括气压操作以容许快速的响应。在一实例中,快速气体交换系统124可操作以高达1000sccm输送SF6和C4F8、以约500sccm输送氦、及以约200sccm输送氧气(O2)和氩。在一替代性实施例中,快速气体交换系统124可进一步包括第三气体盘(其包含等离子体维持气体,诸如氩和/或氦),并且可操作以在下述的循环蚀刻方法期间持续地输送气体到反应器100。
蚀刻反应器100额外地包括衬底支撑组件140,衬底支撑组件140设置在处理容积108中。衬底支撑组件140包括静电夹盘142,静电夹盘142安装在热隔离体144上。热隔离体144将静电夹盘142与杆172隔离,其中该杆172将静电夹盘142支撑在下腔室主体102的底部上方。
升降销146设置穿过衬底支撑组件140。升降板148设置在衬底支撑组件140下方,并且可由升降机154致动以选择性地使升降销146发生位移以用于升降和/或放置衬底150于静电夹盘142的上表面152上。
静电夹盘142包括至少一个电极(未示出),其可被通电以静电地将衬底150保持于静电夹盘142的上表面152。静电夹盘142的电极经由匹配电路158耦接到偏压功率源156。偏压功率源156可选择性地使静电夹盘142的电极通电,以在蚀刻期间控制离子的方向性。
藉由偏压功率源156施加到静电夹盘142的偏压功率可被脉冲化,例如重复地储存或收集能量经过一段时间的能量并接着在另一段时间快速地释放能量以输送增加的瞬间功率量,同时功率源可持续地施加。尤其是,可使用产生器的脉冲性能来脉冲化该偏压功率,产生器的脉冲性能是由控制系统来设定以提供功率为开启的时间百分比(即所谓的“占空周期”)。在一实施例中,脉冲化的偏压功率的开启时间和关闭时间可在蚀刻循环中是一致的。举例而言,若功率开启约3毫秒且关闭约15毫秒,则占空周期将为约16.67%。单位为每秒循环或赫兹(Hz)的脉冲频率等于1.0除以单位为秒的开启和关闭时段的总和。举例而言,当偏压功率开启约3毫秒且关闭约15毫秒时(总和为约18毫秒),则单位为每秒循环的脉冲频率为约55.55Hz。在一实施例中,可以使用开启/关闭时间点在蚀刻循环期间会改变的特殊的脉冲模式。在一实施例中,藉由改变施加到衬底的偏压功率,蚀刻循环可以在沉积和/或蚀刻步骤之间切换。偏压功率被脉冲化,以有助于减少沟槽侧壁的扇形化(scalloping)、改善抗蚀剂的选择性、改善蚀刻速率、及避免材料界面底切(undercut)。
再参照图2,背侧气体源160耦接穿过衬底支撑组件140,以提供一或多种气体到界定在衬底150与静电夹盘142的上表面152之间的空间202。由背侧气体源160提供的气体可包括He和/或背侧处理气体。背侧处理气体是从衬底与衬底支撑件之间输送的气体,其会在蚀刻循环期间藉由与腔室中的材料(例如处理气体、蚀刻副产物、掩模或位在衬底上的其他层、或用于蚀刻标靶的材料)进行反应而影响蚀刻或聚合速率。在一实施例中,背侧处理气体是含氧气体(例如O2)。在一实施例中,对于硅蚀刻应用,背侧气体中He对O2的体积或质量比例为约50∶50至约70∶30。可构思的是,可使用其他的背侧处理气体以控制靠近衬底边缘处的处理。可构思的是,背侧处理气体的使用对于单一步骤蚀刻处理以及下述的循环蚀刻处理是有益的。
为了使背侧气体源160提供的处理气体能够抵达衬底150的边缘,背侧气体从衬底150的边缘泄漏的速率大于传统背侧气体系统的泄漏速率。在一实施例中,藉由将衬底150与静电夹盘142的上表面152之间的空间202中的气体压力维持在约4和26Torr之间来提高泄漏速率。在另一实施例中,压力维持在约10和22Torr之间。在又一实施例中,压力维持在约14和20Torr之间。可构思的是,泄漏速率(如箭头208所示)也可以藉由在支撑衬底150的凸缘206和静电夹盘142的上表面152中提供槽口(204,如虚线所示)或其他特征结构来实现,该槽口或其他特征结构可促进在静电夹盘142与衬底150之间的背侧气体的泄漏。
图3示出用于蚀刻衬底的方法300的一个实施例的流程图。方法300可在蚀刻反应器100或其他适当的蚀刻反应器中实施。方法300开始于步骤302,其将衬底传送到布置在反应器100中的衬底支撑组件140。
在可选的步骤304,将背侧气体提供到衬底与衬底支撑组件之间的间隙空间。在一实施例中,背侧气体含有背侧处理气体。背侧气体也可含有He或其他惰性气体。当在306的循环蚀刻处理的整个或一部分过程期间使用背侧处理气体时,背侧处理气体会影响蚀刻或沉积子步骤中至少一者,如以下所进一步描述。
在一实施例中,背侧处理气体包括聚合物形成气体。在一实施例中,聚合物形成气体是含氧气体,例如O2。氦或其他惰性气体可以存在于背侧气体中。在一实施例中,在背侧气体中氦对背侧处理气体的重量或质量比例为约50∶50至约70∶30之间。提供背侧气体的压力在约4至26Torr的范围内或适于确保背侧气体从晶片边缘下方泄漏的其他压力,从而使得背侧处理气体会影响衬底表面上的处理。
在步骤306,执行循环蚀刻处理。循环蚀刻处理包括至少一个沉积子步骤和至少一个蚀刻子步骤。子步骤重复地执行直至达到终点为止。终点可透过时间、流出物监控、等离子体监控、厚度监控或其他适当的终点侦测方法来决定。在一实施例中,在步骤306执行的循环蚀刻处理包括聚合物沉积子步骤308、可选的净化子步骤310、第一蚀刻子步骤312、及第二蚀刻子步骤314。在步骤306的循环蚀刻处理期间,衬底温度可维持在约-10℃至约+10℃之间,例如可维持在约+10℃。
聚合物沉积子步骤308可包括经由快速气体岐管提供聚合物形成气体。聚合物形成气体可包括含碳气体,例如C4F8等。在聚合物沉积子步骤308期间,可以约100sccm至约500sccm之间(例如约150sccm至约450sccm之间)的速率提供C4F8到蚀刻反应器100的处理容积108内,同时将腔室压力维持在约60milliTorr至约120milliTorr之间(例如约85milliTorr)。RF功率可施加到线圈112,以维持由聚合物形成气体所生成的等离子体。在一实施例中,施加到线圈112的RF功率为约1000W至约2500W,例如约1500W至约2100W。RF偏压功率可以施加到静电夹盘142的电极以促进沉积。在一实施例中,偏压功率源156提供到静电夹盘142的电极的RF偏压功率为约1W至约500W,例如约70W至约350W。RF偏压功率可被脉冲化或被施加成连续加载(continuous duty)。子步骤308的持续时间为约1.0秒至约5.0秒,例如约1.0秒至约3.0秒。
沉积子步骤308提供了良好的侧壁聚合物覆盖性,其有助于将循环蚀刻处理期间的扇形化减到最小。
可选地,在沉积子步骤308与第二蚀刻子步骤314之间执行净化子步骤310。在净化子步骤310期间,开启节流阀,以增加从沉积子步骤308残留在处理容积108中的气体的泵吸。这大致上会使处理容积内的压力下降。净化子步骤310的持续时间可以是小于约1.0秒或依需要设定。在一实施例中,在净化子步骤310期间没有施加RF等离子体或偏压功率。
在一实施例中,净化子步骤310可包括提供He和/或HeO2到处理容积108。RF功率施加到线圈,以维持从He和/或HeO2气体形成的等离子体。在一实施例中,施加到线圈112的RF功率为约1000W至约2500W,例如约1500W至约2100W。RF偏压功率可施加到静电夹盘142的电极,以在净化子步骤310期间促进聚合物从循环的蚀刻特征结构的底部的移除,藉此加速后续的蚀刻子步骤。在一实施例中,由偏压功率源156提供的RF偏压功率为约1W至约500W,例如约70W至约350W。RF偏压功率可被脉冲化或被施加成连续加载。
第一蚀刻子步骤312大致上提供较短的各向同性蚀刻,以优先地从正被蚀刻的特征结构的底部水平表面蚀刻聚合物,来暴露硅材料,用于后续的第二蚀刻子步骤314期间的各向异性蚀刻。第一蚀刻子步骤312包括提供含氟气体和氧气的混合物到处理容积108。适当的含氟气体包括SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3、或其衍生物。在第一蚀刻子步骤312期间提供的气体混合物可包括其他气体,例如He和/或HeO2
在一实施例中,在第一蚀刻子步骤312期间提供的气体混合物可包括约100sccm至约500sccm的SF6、小于20sccm的O2。可选地,气体混合物可包括约0至约200sccm的He。可选地,气体混合物可包括约0至约100sccm的HeO2。处理容积108内气体混合物的压力维持在约25milliTorr至约85milliTorr。提供约1000W至约2500W的RF功率到线圈112,以维持从气体混合物形成的等离子体。提供约1W至约500W的RF偏压功率(连续的或脉冲化的)到静电夹盘142的电极。第一蚀刻子步骤312可具有约0.5秒至约5.0秒的持续时间。
在另一实施例中,第一蚀刻子步骤312包括提供约150sccm至约450sccm的SF6和约5sccm至约10sccm的O2。可选地,气体混合物可包括约50sccm至约150sccm的He。可选地,气体混合物可包括约50sccm至约75sccm的HeO2。处理容积108内气体混合物的压力维持在约25milliTorr至约65milliTorr。提供约1500W至约2100W的RF功率到线圈112,以维持从气体混合物形成的等离子体。提供约70W至约350W的RF偏压功率(连续的或脉冲化的)到静电夹盘142的电极。第一蚀刻子步骤312可具有约1.0秒至约4.0秒的持续时间。
在一实施例中,相对于第二蚀刻子步骤314而言的在第一蚀刻子步骤312所使用的低压力和高偏压的功率可产生高方向性的离子轰击。与气体混合物结合的方向性离子轰击可提升沟槽的底部(被蚀刻的特征结构)处的聚合物移除,而不会不利地影响侧壁聚合物覆盖性。
在第一蚀刻子步骤312后,执行第二蚀刻子步骤314。第二蚀刻子步骤314包括提供不含氧的含氟气体到处理容积108。含氧气体没有和含氟气体混合。适当的含氟气体包括SF6、NF3、CF4、CHF3、C1F3、BrF3、IF3、或其衍生物。可在第二蚀刻子步骤314期间可选地提供He。实验已经显示若在第二蚀刻子步骤314期间添加氧,则会发生侧壁凹洞化(sidewall pitting),这被认为是由O2对保护侧壁的聚合物的攻击所造成的。此外,藉由具有不含氧的第二蚀刻子步骤314,在其他步骤(子步骤)期间被导入处理容积108中的任何残余的氧可被良好地净化,藉此避免了O2和后续沉积子步骤308期间所提供的C4F8混合和弱化其钝化能力。
在一实施例中,在第二蚀刻子步骤314期间提供约100sccm至约500sccm的SF6。处理容积108内的气体的压力可以不同于第一蚀刻子步骤312期间所使用的压力。举例而言,在第二蚀刻子步骤314期间,处理容积108内的气体的压力可维持在约35milliTorr至约85milliTorr。提供约1000W至约2500W的RF功率到线圈112,以维持从SF6形成的等离子体。提供约1W至约500W的RF偏压功率(连续的或脉冲化的)到静电夹盘142的电极。第二蚀刻子步骤314可具有约0.5秒至约15.0秒的持续时间。
在一实施例中,第二蚀刻子步骤314的压力相对于第一蚀刻子步骤312期间使用的压力而增加,同时降低偏压功率以提供更高的氟自由基密度。相对较高的压力以及低偏压功率提供了化学驱动的低偏压处理,这可维持侧壁整体性且改善抗蚀剂的选择性。
在另一实施例中,第二蚀刻子步骤314包括提供约150sccm至约450sccm的SF6到处理容积108。处理容积108内的压力可维持在约35milliTorr至约110milliTorr。提供约1500W至约2100W的RF功率到线圈112,以维持从SF6气体形成的等离子体。提供约70W至约350W的RF偏压功率(连续的或脉冲化的)到静电夹盘142的电极。第二蚀刻子步骤314可具有约1.0秒至约12.0秒的持续时间。
在子步骤308、310、312与314之间的快速切换和返回藉由在快速气体交换系统中使用响应时间在300ms范围中的质量流量控制器来实现。子步骤308、310、312与314之间较快速的切换可带来较快速的蚀刻速率及较少的特征侧壁扇形化。
尽管前述说明针对本发明的实施例,但可在不脱离本发明的基本范畴下设想出本发明的其他和进一步的实施例,并且本发明的范畴是由所附权利要求确定。

Claims (15)

1.一种用于在等离子体蚀刻反应器中蚀刻衬底的方法,包括以下步骤:
a)在蚀刻反应器中将聚合物层沉积在衬底的含硅层上;
b)在所述蚀刻反应器中,使用包括含氟气体和氧的气体混合物蚀刻所述衬底的所述聚合物层,其中所述气体混合物包括100sccm至500sccm的含氟气体和小于20sccm的氧;
c)在所述蚀刻反应器中,使用未混合氧的含氟气体蚀刻设置在所述衬底上的所述含硅层;以及
d)重复步骤a)、b)及c),直至达到了蚀刻到所述含硅层内的特征结构的终点。
2.根据权利要求1所述的方法,其中,步骤b)还包括以下步骤:
在存在He或HeO2的至少一者的情况下蚀刻所述衬底。
3.根据权利要求1所述的方法,其中,步骤b)使用比步骤c)更低的压力来执行。
4.根据权利要求1项所述的方法,其中,步骤b)使用比步骤c)更高的偏压功率来执行。
5.根据权利要求1所述的方法,还包括以下步骤:
在步骤a)与步骤b)之间净化所述蚀刻反应器。
6.根据权利要求5所述的方法,其中,所述净化的步骤还包括以下步骤:
使He或HeO2的至少一者流入所述蚀刻反应器。
7.根据权利要求5所述的方法,其中,所述净化的步骤还包括以下步骤:
在所述蚀刻反应器中,从He或HeO2的至少一者形成等离子体。
8.根据权利要求7所述的方法,其中,所述净化的步骤还包括以下步骤:
以RF功率来向所述衬底加偏压。
9.根据权利要求1所述的方法,其中,步骤c)还包括以下步骤:
从SF6形成等离子体。
10.根据权利要求1所述的方法,其中,步骤b)还包括以下步骤:
从SF6和O2形成等离子体。
11.根据权利要求1所述的方法,其中,步骤b)还包括以下步骤:
从He和HeO2的至少一者以及SF6和O2的气体混合物形成等离子体;并且
其中,步骤c)还包括以下步骤:
从SF6形成等离子体。
12.一种用于在等离子体蚀刻反应器中蚀刻衬底的方法,包括以下步骤:
a)在蚀刻反应器中将聚合物沉积在设置于衬底上的含硅层上;
b)在维持于第一压力的所述蚀刻反应器中使用包括含氟气体和氧的气体混合物来各向同性地蚀刻所述聚合物和所述含硅层,其中所述气体混合物包括100sccm至500sccm的含氟气体和小于20sccm的氧;
c)在维持于第二压力的所述蚀刻反应器中使用未混合氧的含氟气体来各向异性地蚀刻所述含硅层,其中,所述第二压力高于所述第一压力,所述含氟气体包含从SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3、及其衍生物所构成的群组中选择的气体混合物;以及
d)重复步骤a)、b)及c),直至达到了蚀刻到所述含硅层内的特征结构的终点。
13.根据权利要求12所述的方法,还包括以下步骤:
在步骤a)与步骤b)之间,以He或HeO2的至少一者来净化所述蚀刻反应器。
14.根据权利要求13所述的方法,其中,所述净化的步骤还包括以下步骤:
在净化期间,在所述蚀刻反应器中从He或HeO2的至少一者形成等离子体;以及
在净化期间,向所述衬底加偏压。
15.根据权利要求12所述的方法,还包括以下步骤:
在步骤b)期间,使He和HeO2的至少一者从所述衬底的背侧流出。
CN201080025011.5A 2009-06-03 2010-05-24 用于蚀刻的方法和设备 Expired - Fee Related CN102459704B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US18360409P 2009-06-03 2009-06-03
US61/183,604 2009-06-03
PCT/US2010/035959 WO2010141257A2 (en) 2009-06-03 2010-05-24 Method and apparatus for etching

Publications (2)

Publication Number Publication Date
CN102459704A CN102459704A (zh) 2012-05-16
CN102459704B true CN102459704B (zh) 2014-08-20

Family

ID=43298404

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080025011.5A Expired - Fee Related CN102459704B (zh) 2009-06-03 2010-05-24 用于蚀刻的方法和设备

Country Status (5)

Country Link
US (1) US8298959B2 (zh)
KR (1) KR101360876B1 (zh)
CN (1) CN102459704B (zh)
TW (1) TWI419228B (zh)
WO (1) WO2010141257A2 (zh)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010088267A2 (en) * 2009-01-31 2010-08-05 Applied Materials, Inc. Method and apparatus for etching
US9318341B2 (en) 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2013002885A1 (en) 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140335679A1 (en) * 2013-05-09 2014-11-13 Applied Materials, Inc. Methods for etching a substrate
US9280051B2 (en) 2013-06-12 2016-03-08 Applied Materials, Inc. Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
KR20160145062A (ko) 2014-04-09 2016-12-19 코닝 인코포레이티드 디바이스 변경된 기판 물품 및 제조 방법
CN105097494B (zh) * 2014-05-08 2018-03-06 北京北方华创微电子装备有限公司 刻蚀方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP2018524201A (ja) 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
WO2016209897A1 (en) 2015-06-26 2016-12-29 Corning Incorporated Methods and articles including a sheet and a carrier
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106571293A (zh) * 2015-10-09 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 一种硅片刻蚀方法
KR101877646B1 (ko) * 2015-12-17 2018-07-13 고려대학교 산학협력단 흑린 식각장치
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019118660A1 (en) * 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110600364B (zh) * 2019-09-20 2022-04-15 武汉新芯集成电路制造有限公司 改善晶圆边缘刻蚀机台内缺陷的方法
US11342195B1 (en) * 2021-02-04 2022-05-24 Tokyo Electron Limited Methods for anisotropic etch of silicon-based materials with selectivity to organic materials

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1441959A (zh) * 2000-07-12 2003-09-10 应用材料有限公司 刻蚀半导体结构中的钨或氮化钨栅极的方法
CN1819122A (zh) * 2005-01-13 2006-08-16 东京毅力科创株式会社 蚀刻方法、程序、记录介质和等离子体处理装置
CN101174081A (zh) * 2006-10-30 2008-05-07 应用材料股份有限公司 掩模刻蚀工艺
CN101202229A (zh) * 2006-12-13 2008-06-18 上海华虹Nec电子有限公司 超大规模集成电路逻辑器件中斜肩式侧墙的刻蚀方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5380677A (en) * 1993-06-23 1995-01-10 Vlsi Technology, Inc. Method for reducing resistance at interface of single crystal silicon and deposited silicon
EP0706070A3 (de) * 1994-10-04 1997-04-02 Siemens Ag Verfahren zum Trockenätzen eines Halbleitersubstrats
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
JP3527901B2 (ja) * 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP2008172184A (ja) * 2006-02-23 2008-07-24 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
KR100875653B1 (ko) * 2006-06-30 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
JP4912907B2 (ja) * 2007-02-06 2012-04-11 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1441959A (zh) * 2000-07-12 2003-09-10 应用材料有限公司 刻蚀半导体结构中的钨或氮化钨栅极的方法
CN1819122A (zh) * 2005-01-13 2006-08-16 东京毅力科创株式会社 蚀刻方法、程序、记录介质和等离子体处理装置
CN101174081A (zh) * 2006-10-30 2008-05-07 应用材料股份有限公司 掩模刻蚀工艺
CN101202229A (zh) * 2006-12-13 2008-06-18 上海华虹Nec电子有限公司 超大规模集成电路逻辑器件中斜肩式侧墙的刻蚀方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2008-172184A 2008.07.24

Also Published As

Publication number Publication date
WO2010141257A2 (en) 2010-12-09
US8298959B2 (en) 2012-10-30
KR101360876B1 (ko) 2014-02-11
KR20120027459A (ko) 2012-03-21
TWI419228B (zh) 2013-12-11
WO2010141257A3 (en) 2011-03-03
TW201104746A (en) 2011-02-01
US20100308014A1 (en) 2010-12-09
CN102459704A (zh) 2012-05-16

Similar Documents

Publication Publication Date Title
CN102459704B (zh) 用于蚀刻的方法和设备
JP4796965B2 (ja) エッチング方法及び装置
CN103283005B (zh) 用于蚀刻基板的方法
CN102301458B (zh) 用于蚀刻的方法和设备
WO2011009413A1 (zh) 一种深硅刻蚀方法
JP2011515855A5 (zh)
Sammak et al. Deep vertical etching of silicon wafers using a hydrogenation-assisted reactive ion etching
JP2012142495A (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2007531280A (ja) 最少スカラップ基板の処理方法
TW201304001A (zh) 高蝕刻速率之提供方法
CN108573867B (zh) 硅深孔刻蚀方法
US9330888B2 (en) Dry etching method
JP6021131B2 (ja) エッチング方法およびエッチング装置
CN112563134A (zh) 基片的刻蚀方法和薄膜晶体管
JP7190988B2 (ja) エッチング方法及び基板処理装置
Shul et al. Selective deep-Si-trench etching with dimensional control
CN111916349A (zh) 硅刻蚀方法
JP5154013B2 (ja) ドライエッチング方法
JP2014179553A (ja) エッチング方法およびエッチング装置
US20190244827A1 (en) Apparatus and method for anisotropic drie etching with fluorine gas mixture
Ahn et al. Fast release process of metal structure using chemical dry etching of sacrificial Si layer
JP5284679B2 (ja) プラズマエッチング方法
JP4958658B2 (ja) プラズマ処理方法
JP5361600B2 (ja) ドライエッチング装置及びドライエッチング方法
CN105097486B (zh) 一种多晶硅蚀刻方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140820

Termination date: 20160524