TWI419228B - 蝕刻之方法與設備 - Google Patents

蝕刻之方法與設備 Download PDF

Info

Publication number
TWI419228B
TWI419228B TW099117056A TW99117056A TWI419228B TW I419228 B TWI419228 B TW I419228B TW 099117056 A TW099117056 A TW 099117056A TW 99117056 A TW99117056 A TW 99117056A TW I419228 B TWI419228 B TW I419228B
Authority
TW
Taiwan
Prior art keywords
etching
gas
substrate
reactor
plasma
Prior art date
Application number
TW099117056A
Other languages
English (en)
Other versions
TW201104746A (en
Inventor
Alan Cheshire
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201104746A publication Critical patent/TW201104746A/zh
Application granted granted Critical
Publication of TWI419228B publication Critical patent/TWI419228B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • C23F4/04Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00 by physical dissolution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Claims (20)

  1. 一種用以在一電漿蝕刻反應器中蝕刻一基材之方法,包含以下步驟:a)在一蝕刻反應器中沉積一聚合物於一基材上;b)在該蝕刻反應器中使用一氣體混合物蝕刻該基材,該氣體混合物包括一含氟氣體與氧,其中該氣體混合物包括小於20sccm之氧;c)在該蝕刻反應器中使用一沒有混合氧之含氟氣體蝕刻位在該基材上之一含矽層;及d)重複步驟a)、b)及c),直至達到了蝕刻到該含矽層內之一特徵結構的終點。
  2. 如申請專利範圍第1項所述之方法,其中步驟b)更包含:在He或HeO2 之至少一者存在下蝕刻該基材。
  3. 如申請專利範圍第1項所述之方法,其中步驟b)係執行在比步驟c)更低之壓力。
  4. 如申請專利範圍第1項所述之方法,其中步驟b)係使用比步驟c)更高之偏壓功率來執行。
  5. 如申請專利範圍第1項所述之方法,其中步驟c)更包 含:從SF6 形成一電漿。
  6. 如申請專利範圍第1項所述之方法,其中步驟b)更包含:從SF6 與O2 形成一電漿。
  7. 如申請專利範圍第1項所述之方法,其中步驟b)更包含:從SF6 與O2 之氣體混合物以及He與HeO2 之至少一者形成一電漿;及步驟c)更包含:從SF6 形成一電漿。
  8. 如申請專利範圍第1項所述之方法,其中步驟b)更包含:在HeO2 存在下蝕刻該基材。
  9. 如申請專利範圍第1項所述之方法,更包含:使一背側製程氣體從該基材之一邊緣與一基材組件之間流出且流入該電漿蝕刻反應器,其中該背側製程氣體是一氣體,該氣體會影響步驟d)期間藉由和該電漿蝕刻反應器中材料反應的蝕刻或聚合速率。
  10. 如申請專利範圍第9項所述之方法,其中該背側製程 氣體包括至少氧。
  11. 如申請專利範圍第1項所述之方法,更包含:在步驟a)與步驟b)之間淨化該蝕刻反應器。
  12. 如申請專利範圍第11項所述之方法,其中該淨化的步驟更包含:使He或HeO2 之至少一者流入該蝕刻反應器。
  13. 如申請專利範圍第11項所述之方法,其中該淨化的步驟更包含:在該蝕刻反應器中從He或HeO2 之至少一者形成一電漿。
  14. 如申請專利範圍第13項所述之方法,其中該淨化的步驟更包含:以RF功率來偏壓該基材。
  15. 一種用以在一電漿蝕刻反應器中蝕刻一基材之方法,包含以下步驟:a)在一蝕刻反應器中沉積一聚合物於位在一基材上之一含矽層上;b)在維持於一第一壓力之該蝕刻反應器中使用一氣體混合物來等向性蝕刻該聚合物與該含矽層,該 氣體混合物包括一含氟氣體與氧,其中該氣體混合物包括小於20sccm之氧;c)在維持於一第二壓力之該蝕刻反應器中使用一沒有混合氧之含氟氣體來非等向性蝕刻該含矽層,該第二壓力大於該第一壓力,其中該含氟氣體包含選自從SF6 、NF3 、CF4 、CHF3 、ClF3 、BrF3 、IF3 、及其衍生物所構成之群組的氣體混合物;及d)重複步驟a)、b)及c),直至達到了蝕刻到該含矽層內之一特徵結構的終點。
  16. 如申請專利範圍第15項所述之方法,更包含:在步驟a)與步驟b)之間以He或HeO2 之至少一者來淨化該蝕刻反應器。
  17. 如申請專利範圍第16項所述之方法,其中該淨化的步驟更包含:在淨化期間,在該蝕刻反應器中從He或HeO2 之至少一者形成一電漿;及在淨化期間,偏壓該基材。
  18. 如申請專利範圍第15項所述之方法,更包含:使一背側製程氣體從該基材之一邊緣與一基材組件之間流出且流入該電漿蝕刻反應器,其中該背側製程氣體是一氣體,該氣體會影響步驟d)期間藉由和 該電漿蝕刻反應器中材料反應的蝕刻或聚合速率。
  19. 如申請專利範圍第18項所述之方法,其中該背側製程氣體包括至少氧。
  20. 如申請專利範圍第19項所述之方法,其中該背側製程氣體更包含氦,其中氦對氧之重量或質量比例為約50:50至約70:30。
TW099117056A 2009-06-03 2010-05-27 蝕刻之方法與設備 TWI419228B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US18360409P 2009-06-03 2009-06-03

Publications (2)

Publication Number Publication Date
TW201104746A TW201104746A (en) 2011-02-01
TWI419228B true TWI419228B (zh) 2013-12-11

Family

ID=43298404

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099117056A TWI419228B (zh) 2009-06-03 2010-05-27 蝕刻之方法與設備

Country Status (5)

Country Link
US (1) US8298959B2 (zh)
KR (1) KR101360876B1 (zh)
CN (1) CN102459704B (zh)
TW (1) TWI419228B (zh)
WO (1) WO2010141257A2 (zh)

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101795658B1 (ko) * 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
US9318341B2 (en) 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR102001247B1 (ko) 2011-06-30 2019-07-17 어플라이드 머티어리얼스, 인코포레이티드 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140335679A1 (en) * 2013-05-09 2014-11-13 Applied Materials, Inc. Methods for etching a substrate
US9280051B2 (en) 2013-06-12 2016-03-08 Applied Materials, Inc. Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
CN106457758B (zh) 2014-04-09 2018-11-16 康宁股份有限公司 装置改性的基材制品及其制备方法
CN105097494B (zh) * 2014-05-08 2018-03-06 北京北方华创微电子装备有限公司 刻蚀方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
WO2016209897A1 (en) 2015-06-26 2016-12-29 Corning Incorporated Methods and articles including a sheet and a carrier
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106571293A (zh) * 2015-10-09 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 一种硅片刻蚀方法
KR101877646B1 (ko) * 2015-12-17 2018-07-13 고려대학교 산학협력단 흑린 식각장치
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11999135B2 (en) 2017-08-18 2024-06-04 Corning Incorporated Temporary bonding using polycationic polymers
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
CN111615567B (zh) * 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110600364B (zh) * 2019-09-20 2022-04-15 武汉新芯集成电路制造有限公司 改善晶圆边缘刻蚀机台内缺陷的方法
US11342195B1 (en) * 2021-02-04 2022-05-24 Tokyo Electron Limited Methods for anisotropic etch of silicon-based materials with selectivity to organic materials

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5380677A (en) * 1993-06-23 1995-01-10 Vlsi Technology, Inc. Method for reducing resistance at interface of single crystal silicon and deposited silicon
US5705025A (en) * 1994-10-04 1998-01-06 Siemens Aktiengesellschaft Method for dry etching of a semiconductor substrate
US20040055995A1 (en) * 2002-07-24 2004-03-25 Russell Westerman Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US20060205220A1 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP2008172184A (ja) * 2006-02-23 2008-07-24 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6423644B1 (en) * 2000-07-12 2002-07-23 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
JP3527901B2 (ja) 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
JP2006196663A (ja) * 2005-01-13 2006-07-27 Tokyo Electron Ltd エッチング方法,プログラム,コンピュータ読み取り可能な記録媒体及びプラズマ処理装置
KR100875653B1 (ko) 2006-06-30 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
CN100490089C (zh) * 2006-12-13 2009-05-20 上海华虹Nec电子有限公司 超大规模集成电路逻辑器件中斜肩式侧墙的刻蚀方法
JP4912907B2 (ja) 2007-02-06 2012-04-11 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5380677A (en) * 1993-06-23 1995-01-10 Vlsi Technology, Inc. Method for reducing resistance at interface of single crystal silicon and deposited silicon
US5705025A (en) * 1994-10-04 1998-01-06 Siemens Aktiengesellschaft Method for dry etching of a semiconductor substrate
US20040055995A1 (en) * 2002-07-24 2004-03-25 Russell Westerman Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US20060205220A1 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
JP2008172184A (ja) * 2006-02-23 2008-07-24 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体

Also Published As

Publication number Publication date
KR101360876B1 (ko) 2014-02-11
US8298959B2 (en) 2012-10-30
KR20120027459A (ko) 2012-03-21
TW201104746A (en) 2011-02-01
CN102459704A (zh) 2012-05-16
CN102459704B (zh) 2014-08-20
WO2010141257A3 (en) 2011-03-03
US20100308014A1 (en) 2010-12-09
WO2010141257A2 (en) 2010-12-09

Similar Documents

Publication Publication Date Title
TWI419228B (zh) 蝕刻之方法與設備
CN111512420A (zh) 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻
JP5889187B2 (ja) エッチング方法
WO2013052712A3 (en) Selective etch of silicon by way of metastable hydrogen termination
WO2011149638A3 (en) Selective etch for silicon films
JP2015533029A5 (zh)
US11658037B2 (en) Method of atomic layer etching of oxide
WO2006026422A3 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
JP2017117883A5 (zh)
WO2010047978A3 (en) Silicon etch with passivation using chemical vapor deposition
WO2013033527A3 (en) Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
CN107112232A (zh) 等离子体蚀刻方法
WO2010042552A3 (en) Selective etching of silicon nitride
JP2009530863A5 (zh)
WO2009062123A4 (en) Pitch reduction using oxide spacer
JP6822763B2 (ja) ドライエッチング方法
WO2009041560A1 (ja) プラズマエッチング方法
EP2717298A3 (en) Method of plasma etching
JP2010263132A5 (zh)
TWI833930B (zh) 乾式蝕刻方法及半導體裝置之製造方法
JP2008300478A (ja) 窒化シリコン膜のドライエッチング方法
CN104599943B (zh) 一种氮化钽反应离子刻蚀方法
CN104810241A (zh) 一种金属层上含钽薄膜的刻蚀方法
CN104599942A (zh) 氮化钽干法刻蚀后的清洁方法
CN108198745A (zh) 源漏极成膜前处理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees