JP2011061196A - 蓄積型finfet、回路、及びその製造方法 - Google Patents

蓄積型finfet、回路、及びその製造方法 Download PDF

Info

Publication number
JP2011061196A
JP2011061196A JP2010194220A JP2010194220A JP2011061196A JP 2011061196 A JP2011061196 A JP 2011061196A JP 2010194220 A JP2010194220 A JP 2010194220A JP 2010194220 A JP2010194220 A JP 2010194220A JP 2011061196 A JP2011061196 A JP 2011061196A
Authority
JP
Japan
Prior art keywords
channel
germanium
finfet
drain
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010194220A
Other languages
English (en)
Other versions
JP5373722B2 (ja
Inventor
Chih-Chieh Yeh
致▲かい▼ 葉
Chih-Sheng Chang
智勝 張
Hsingjen Wann
幸仁 萬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2011061196A publication Critical patent/JP2011061196A/ja
Application granted granted Critical
Publication of JP5373722B2 publication Critical patent/JP5373722B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7857Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET of the accumulation type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】FinFET、集積回路、およびFinFETの形成方法を提供する。
【解決手段】基板120、前記基板上にあり、ソース106とドレイン110との間のチャネル108を含み、前記ソース106、前記ドレイン110、および前記チャネル108は、第1型ドーパントを有し、前記チャネル108は、ゲルマニウム、シリコンゲルマニウム、またはIII−V族半導体の少なくとも1つを含むフィン構造、前記チャネル108上のゲート誘電体層114、および前記ゲート誘電体層114上のゲート116を含むFinFET。
【選択図】図1B

Description

本発明は、半導体デバイスに関し、特に、蓄積型FinFETsに関するものである。
集積回路のサイズの縮小に伴い、サイズ縮小に直面した問題を克服する努力がされている。例えば金属酸化膜半導体電界効果トランジスタ(MOSFET)の性能は、リーク電流を含む、短縮されたチャネル長により低下するため、MOSFETの性能を向上させる新しい方法と構造が要求される。
本発明の目的は、FinFET、集積回路、および FinFETの形成方法を提供することにある。
本発明は基板、前記基板上にあり、ソースとドレインとの間のチャネルを含み、前記ソース、前記ドレイン、および前記チャネルは、第1型ドーパントを有し、前記チャネルは、ゲルマニウム、シリコンゲルマニウム、またはIII−V族半導体の少なくとも1つを含むフィン構造、前記チャネル上のゲート誘電体層、および前記ゲート誘電体層上のゲートを含むFinFETを提供する。
本発明は、前記基板上に、ソースとドレインとの間のチャネルを含み、前記ソース、前記ドレイン、および前記チャネルは、第1型ドーパントを有し、前記チャネルは、ゲルマニウム、シリコンゲルマニウム、またはIII−V族半導体の少なくとも1つを含むフィン構造を形成するステップ、前記チャネル上のゲート誘電体層を形成するステップ、および前記ゲート誘電体層上にゲートを形成するステップを含むFinFETを形成する方法を提供する。
図1B〜図1Cの異なる断面方向を示すFinFETの概略を示している。 本実施例の一形態に基づいた蓄積型FinFETの断面を示している。 本実施例の一形態に基づいた蓄積型FinFETの断面を示している。 本実施例と従来のデバイスの実施例のオン電流Ionの比較を示している。 本実施例の一実施例と従来のデバイスのバルク電子密度の比較を示している。 本実施例のもう1つの形態に基づいた蓄積型FinFETを形成するプロセスの流れ図を示している。 もう1つの形態の実施例に基づいたダミーパターンと複数の蓄積型FinFET構造を有するFinFETデバイスを含む集積回路の断面を示している。
本発明についての目的、特徴、長所が一層明確に理解されるよう、以下に実施形態を例示し、図面を参照にしながら、詳細に説明する。
シリコン以外の異なる材料を用いた特定の平面MOSFET(例えばゲルマニウム平面MOSデバイス)は、従来のシリコン平面MOS装置より有利な点(例えばシリコンより約2.6/4倍高いキャリア(電子/正孔)移動度)がある。ゲルマニウム平面MOSデバイスは、以下の問題に直面していることがわかる:(1)低エネルギーギャップEgとサブスレッショルドリーク電流Isub、(2)高い誘電率εと短チャネル効果(SCE:short channel effect)、および(3)高い界面欠陥(Nit)によるゲルマニウムNMOSのキャリア移動度μの低下。
チャネル長が短縮した時、平面MOSFETは、そのソースとドレインが接合した空乏層の幅と同じ大きさ(order of magnitude)であるチャネル長を有することができる。短チャネル効果は、他のMOSFETに比べて性能を低下させる。1チップ当たりの動作速度と構成要素の数の両方を増加させるためにチャネル長を短縮すると、短チャネル効果は増加する。短チャネル効果は、2つの物理的な現象に起因する:(1)チャネル内の電子のドリフト特性による制限、および(2)チャネル長の短縮によるしきい値電圧の修正。短チャネル効果は、(1)ドレイン誘導バリア低下(DIBL:drain-induced barrier lowering)とパンチスルー、(2)表面散乱、(3)速度飽和、(4)衝突イオン化、および(5)ホットエレクトロンを含む。特に、ゲルマニウム平面型MOSは、高い誘電率εにより、更に悪いドレイン誘導バリア低下を示す。
ゲルマニウムのNMOSでは、高い界面欠陥密度は、誘電体層と伝導帯(Ec)に近接したゲルマニウムとの間の界面で見られ、大幅に電子移動度を低下させる。酸化ゲルマニウム(GeO)(または他の誘電体層)とゲルマニウムは、二酸化ケイ素(SiO2)とシリコンシステムに比べ、望ましい界面を有していない。二酸化ケイ素/シリコンは、シリコンのMOSの望ましい界面の品質と低い界面欠陥を提供する良好な界面を有する。
上述により、MOSFET構造とMOSFET構造の形成方法が要求される。典型的な実施例では、蓄積型FinFETデバイスは、MOSFETの性能を向上させるために提供される。図1Aは、典型的なFinFETの概略図を示している。図1Aでは、FinFET100は、フィン構造102を含むことができる。図1B〜図1Cは、それぞれ断面線1Bと1Cに沿った図1Aの蓄積型FinFETの断面図を示している。図1A〜図1Bでは、蓄積型FinFET100は、基板120と基板120上のフィン構造102を表している。フィン構造102は、ソース106とドレイン110との間のチャネル108を含む。ソース106、ドレイン110、およびチャネル108は、第1型ドーパントを有する。ソース106、ドレイン110、およびチャネル108の下方のウェル(well)112は、第2型ドーパントを有する。チャネル108は、ゲルマニウム、シリコンゲルマニウム、またはIII−V族半導体を含む。ゲート誘電体層114は、チャネル108上に位置する。ゲート116は、ゲート誘電体層114上に位置する。
N型蓄積ゲルマニウム FinFET(N-type accumulation Ge FinFET)を形成する実施例では、ソース106(例えばn+ソース領域)は、シリサイド107によってソース電圧VSに接続される。チャネル108(例えばn-チャネル領域)は、例えばゲルマニウムフィン領域を含むことができる。ドレイン110(例えばn+ドレイン領域)は、シリサイド111によってドレイン電圧VDに接続される。ウェル112(例えばp型ウェル)は、他のデバイスから電気的に分離(electrical isolation)することができる。ゲート誘電体層114は、酸化物、窒化物、酸窒化物、High-k誘電体、またはその組み合わせを含むことができる。ゲート116(例えば金属ゲート)は、ゲート電圧VGに接続される。酸化層115と窒化膜スペーサ117は、ゲート116の側面に配置されている。基板120は、シリコン、ゲルマニウム、シリコンゲルマニウム、III−V族化合物、および/またはその組み合わせを含むことができる。High-k誘電体の例は、ケイ酸ハフニウム、ケイ酸ジルコニウム、二酸化ハフニウム、二酸化ジルコニウム、他のHigh-k誘電材料、またはその組み合わせを含むことができる。他の実施例では、チャネル108は、シリコンゲルマニウムまたはIII−V族半導体、例えばAlGaAs、InGaAsなどを含むことができる。
図1Cでは、蓄積型FinFET100の断面図は、チャネル108、ゲート誘電体層114、およびゲート116を示す。フィンの下方に位置するウェル112は、電気的分離を提供する。一実施例では、チャネル108(例えばn-チャネル)は、ゲルマニウムフィン領域を含む。ウェル112(例えばpウェル)は、電気的分離を提供する。ゲート116は、ゲート誘電体層114上に配置される。シャロートレンチアイソレーション(STI)118は、ウェル112に隣接して形成される。他の実施例では、チャネル108は、シリコンゲルマニウムまたはIII−V族半導体、例えばAlGaAs、InGaAsなどを含むことができる。
蓄積N型 FinFET(N-type accumulation FinFET)102では、チャネル108、ソース106、およびドレイン110は、n型ドーパントを有することができる。蓄積P型PMOSデバイス用のもう1つの実施例では、チャネル108、ソース106、およびドレイン110は、p型ドーパントを有することができる。蓄積型 FinFETは、電子/正孔プロファイル(profile)と、界面欠陥Nitの影響を抑制するフェルミ準位(EF)の位置を変えることができる。また、性能を向上させるために、シリコンゲルマニウムストレッサをゲルマニウム FinFET NMOSに組み込むことができる。
従来の反転型(inversion type)NMOS(p型の)では、電子が界面で堆積し、界面欠陥によりデバイスの移動度が低下する可能性がある。従来の反転型NMOSに反して、蓄積型NMOSでは、バルク反転は、サブスレッショルド電流スイング(sub-threshold current swing)と電子移動度を低下させることができる界面欠陥の影響を減少させる。バルク反転とは、ほとんどの反転電荷がバルク電子として、図1Cのフィンの中間のバルクフィン領域(bulk Fin region)に位置していることを意味する。一方、従来の表面反転型デバイスでは、ほとんどの電子は、表面電子としてゲート誘電体/フィン表面上に堆積される。VG=VDDを用いると、蓄積のフェルミ準位は、中間バンドギャップ(mid band-gap)(Eg)に近づき、界面欠陥の影響を実質的に減少させることができる。
チャネルドーパント濃度および/またはドレイン110に提供される電圧VDDは、蓄積型 FinFET100の電気的性能に影響を及ぼす可能性がある。例えばNMOS/PMOSの一実施例では、チャネルのカウンタードーピング密度(counter doping density)は、n型/p型1×1018cm-3〜3×1018cm-3であり、VDDは、0.5Vである。NMOS蓄積型デバイスでは、n型チャネルは、フェルミ準位(EF)を減少させ、バルク電子密度を増加させるため、表面界面欠陥の影響を減少させることができる。例えば、反転型NMOSの6.7×1012cm-の電子密度に比べ、蓄積型NMOSの一実施例では、電子密度は、7.1×1012cm-である。また、低いVDDはEFをミッドバンドギャップに移して、バルク電子/正孔比(bulk electron/hole percentage)を増加させ、NMOS/PMOSの表面の界面欠陥の影響を減少させる。
ゲルマニウムやIII−V族半導体、例えばAlGaAs、InGaAsなどは、シリコンよりも高いキャリア移動度を提供することができる。FinFET構造は、より良いゲート制御、低いリーク電流、およびより良い拡張性を提供する。基板120には、シリコンまたはゲルマニウム基板ウエハを用いることができる。一実施例では、チャネル108用のゲルマニウムフィンを形成するために、基板120上に、ゲルマニウムをエピタキシャル成長させる。NMOSの実施例では、ゲルマニウムは、ソース106またはドレイン110にも用いられる。シリコンゲルマニウムまたはシリコンソース/ドレインは、チャネル108(例えばゲルマニウムチャネル)に引張応力を生じさせる。よって、電子移動度を向上させるために、シリコンゲルマニウムまたはシリコンソース/ドレインを用いることが好ましい。PMOSソース/ドレイン領域には、ゲルマニウムを用いることができるが、ゲルマニウム錫(GeSn)、シリコンゲルマニウム錫(SiGeSn)、またはIII−V半導体ソース/ドレインは、チャネル108(例えばゲルマニウムチャネル)に圧縮応力を生じさせる。よって、正孔移動度を向上させるために、ゲルマニウム錫、シリコンゲルマニウム錫、またはIII−V半導体ソース/ドレインを用いることが好ましい。
ゲルマニウムフィンチャネル領域108を有するFinFET構造により、高い誘電率εによって生じる短チャネル効果を減少させることができる。FinFET構造は、蓄積型デバイスでリーク電流を大きく抑制することができる。平面デバイスに比べ、FinFET構造は、より低いチャネルドーピング(例えば〜1×1017cm-3)と低下した電源電圧VDDによって、バンド間トンネリング(band-to-band tunneling ; BTBT)リーク電流を大きく低下させることができる。
図2は、典型的なゲルマニウム蓄積型FinFETと典型的な従来のデバイスのオン電流Ionの比較を示している。結果は、ゲルマニウムデバイスの界面欠陥(トラップ)により、NMOSのフィンの表面領域で電子移動度が80%低下することが示される。
図2では、界面欠陥のない従来の反転型シリコン FinFETの NMOSデバイスのオン電流Ionが示されている。ゲルマニウム FinFETのIonは、正規化され、反転型シリコン FinFETと比較される。界面欠陥を有する反転型ゲルマニウム FinFETの NMOSデバイスは、ゲルマニウムの界面欠陥によりフィンの表面で電子移動度が低下するため、74%のオン電流Ionを示している。言い換えれば、シリコン FinFET(反転モード)に比べ、界面欠陥により−26%のオン電流Ionの低下がTCADシミュレーションによって示される。反転型ゲルマニウム FinFET NMOSデバイスは、蓄積型でなく、ストレッサも有さない。しかし、蓄積型のゲルマニウム FinFET NMOSデバイスでは、ゲルマニウムの界面欠陥にも関わらずオン電流Ionは108%に増加する。よって、蓄積型チャネルを用いることによって34%のオン電流Ionの増加を実現することができる。これは、蓄積型チャネルのゲルマニウムは、シリコン FinFETよりオン電流Ionが8%高いということを意味する。また、シリコンゲルマニウムストレッサの追加により、その性能は、132%のオン電流Ionに更に向上される。実施例では、所望の表面パッシベーション(surface passivation)技術により、ゲルマニウム蓄積型FinFETの界面欠陥を更に低下させることができる。
蓄積型デバイスでは、フィン領域(Wfin)の幅をより狭くすることで、オフリーク電流Ioff を抑制することができ、短チャネル効果/ドレイン誘導バリア低下によって影響されるデバイス性能を改善することができる。一実施例では、30nmより小さいフィン幅は、好ましい性能を示している。フィン領域(Wfin)が広く平面なデバイスでは、高濃度のポケットドーピングが必要であり、蓄積チャネルを実現するのが難しくなる。
また、蓄積型デバイスは、特に低DVVとVG(例えば0.5V)で、バルク領域においてより高い電子密度を示す。図3は、一実施例と従来のデバイスのバルク電子密度の比較を示している。図3に示されるように、一実施例では、VG=0.5Vにおいて、約40%のバルク電子密度を有する反転型デバイスに比べ、蓄積型ゲルマニウム FinFETは約70%のバルク電子密度を示す。蓄積型ゲルマニウム FinFET NMOSデバイスは、約1×1018cm−3(N型)のチャネルドーピング濃度とドレイン誘導バリア低下(DIBL)=105mV/Vを有し、反転型デバイスは、5×1018cm−3(P型)のチャネルドーピング濃度を有する。
図4は、もう1つの実施例に基づいたFinFETを形成するプロセスの流れ図を示している。ステップ402では、フィン構造は、基板120上に形成される。フィン構造は、ソース106とドレイン110との間のチャネル108を含む(ソース106、ドレイン110、およびチャネル108は、同じタイプの半導体を有し、チャネル108は、ゲルマニウム、シリコンゲルマニウム、またはIII−V半導体を含む)。ステップ404では、ゲート誘電体層114(例えば、酸化物および/またはHigh-k誘電体)は、チャネル108上に形成される。ステップ406では、ゲート116は、ゲート誘電体層114上に形成される。このプロセスは、隣接するデバイスから分離するためのシャロートレンチアイソレーション(STI)118の層の堆積とエッチングを更に含むことができる。
プロセスは、ソース106、ドレイン110、およびチャネル108の下方にある、第2型のドーパントを有するウェル112を形成するステップを更に含む。チャネル108は、約1×1018cm−3〜約3×1018cm−3の間のドーパント濃度を有することができる。ゲート116は、電圧を受けることができ、電圧はチャネルのフェルミ準位をチャネルのバンドギャップの中間にシフトさせることができる。フィン構造の形成は、チャネル108の幅を決定することを含むことができる。一実施例では、チャネル108の幅は、約30nmまたはそれ以下とすることができる。ソース106とドレイン110の少なくとも1つは、NMOS用のゲルマニウム、シリコンゲルマニウム、またはシリコンを含む。ソース106とドレイン110の少なくとも1つは、PMOS用のゲルマニウム、ゲルマニウム錫、シリコンゲルマニウム錫、またはIII−V半導体を含むことができる。
図5は、もう1つの実施例に基づいたダミーパターンと複数の蓄積型FinFET構造を有するFinFETデバイスとを含む集積回路の断面を示している。集積回路は基板120、ダミーパターン502、およびFinFETデバイス504と506を含む。ダミーパターン502は、基板上に少なくとも1つのフィン構造を含む。フィン構造は、第1ソースと第1ドレインとの間のチャネル508を含む。ソース、ドレイン、およびチャネル508は、第1型ドーパントを有する。他のデバイスから電気的に分離するためのウェル112は、第2型ドーパントを有することができる。
基板120上のFinFETデバイス504と506も基板120上にフィン構造を含む。フィン構造は、ソースとドレインとの間のチャネル108を含む。ソース、ドレイン、および第2チャネル108は、第1型ドーパントを有する。チャネル108は、ゲルマニウム、シリコンゲルマニウム、またはIII−V半導体を含む。ゲート誘電体層114は、チャネル108上に形成される。ゲート116は、ゲート誘電体層114上に形成される。この実施例では、1つのデバイス内で複数のチャネル108が用いられる。
このデバイスは、蓄積チャネルを有するため、FinFETデバイス504と506は、例えばNMOS/PMOS用のn型/p型である、全て同じ形態の半導体のチャネル108、ソース、ドレインをそれぞれ有する。ウェル112は、NMOSではp型、PMOSではn型であり、シャロートレンチアイソレーション118は、それぞれデバイス間を電気的及び物理的に分離するために用いられる。ゲート116のないダミーパターン502は、より良い化学機械研磨(CMP)、エッチング、またはフィンプロファイルを均一にする処理が施される。デバイスは、シリコンまたはゲルマニウム基板120上に形成することができる。
上述より、蓄積型FinFETは、デバイス性能を向上させることができる所望の電子または正孔移動度を提供することができる。本実施例では、蓄積型FinFET構造を用いることによって、これまで従来のゲルマニウム平面型MOSデバイスを低下させていたリーク電流、界面欠陥(トラップ)、および短チャネル効果による影響を回避することができる。本実施例は、ゲルマニウムチャネルだけでなく、シリコンゲルマニウム、および他のIII−Vチャネル材料にも適用することができる。
また、一つのデバイス構造内にある複数のフィンは、単位面積当たりより多くの電流を提供することができる。例えば、ゲルマニウムとシリコンは格子不整合を有するため、より広いフィン幅に比べ、より狭いフィン幅のほうが良品質のゲルマニウムエピ層を成長させることができる。格子不整合による応力は、狭いフィンで緩和され、ゲルマニウムエピ層における欠陥と転位をより少なくすることができる。例えば、50nmのフィン幅が従来のゲルマニウムデバイスに用いられた場合、25nmの2つのフィンに分けることができる。当業者は、多くの変形例があることを認識できるであろう。
以上、本発明の好適な実施例を例示したが、これは本発明を限定するものではなく、本発明の精神及び範囲を逸脱しない限りにおいては、当業者であれば行い得る少々の変更や修飾を付加することが可能である。従って、本発明が請求する保護範囲は、特許請求の範囲を基準とする。
100 蓄積型FinFET
102 フィン構造
106 ソース
107 シリサイド
108 チャネル
110 ドレイン
111 シリサイド
112 ウェル
114 ゲート誘電体層
115 酸化層
116 ゲート
117 窒化膜スペーサ
118 シャロートレンチアイソレーション
120 基板
502 ダミーパターン
504、506 FinFETデバイス
508 チャネル

Claims (10)

  1. 基板と、
    前記基板上にあり、ソースとドレインとの間のチャネルを含み、前記ソース、前記ドレイン、および前記チャネルは、第1型ドーパントを有し、前記チャネルは、ゲルマニウム、シリコンゲルマニウム、またはIII−V族半導体の少なくとも1つを含むフィン構造と、
    前記チャネル上のゲート誘電体層と、
    前記ゲート誘電体層上のゲートと、
    を含むFinFET。
  2. 前記チャネルは、約1×18cm−3〜約3×18cm−3の間のドーパント濃度を有する請求項1に記載のFinFET。
  3. 前記ゲートは電圧を受けることができ、前記電圧は、前記チャネルのフェルミ準位を前記チャネルのバンドギャップの中間にシフトすることができる請求項1に記載のFinFET。
  4. 前記FinFETは、N型FinFETであり、前記ソースと前記ドレインの少なくとも1つは、ゲルマニウム、シリコンゲルマニウム、またはシリコンの少なくとも1つを含む請求項1に記載のFinFET。
  5. 前記FinFETは、P型FinFETであり、前記ソースと前記ドレインの少なくとも1つは、ゲルマニウム、ゲルマニウム錫、シリコンゲルマニウム錫、またはIII−V半導体の少なくとも1つを含む請求項1に記載のFinFET。
  6. 前記基板上に、ソースとドレインとの間のチャネルを含み、前記ソース、前記ドレイン、および前記チャネルは、第1型ドーパントを有し、前記チャネルは、ゲルマニウム、シリコンゲルマニウム、またはIII−V族半導体の少なくとも1つを含むフィン構造を形成するステップと、
    前記チャネル上にゲート誘電体層を形成するステップと、
    前記ゲート誘電体層上にゲートを形成するステップと、
    を含むFinFETを形成する方法。
  7. 前記チャネルは、約1×1018cm−3〜約3×1018cm−3の間のドーパント濃度を有する請求項6に記載の方法。
  8. 基板と、
    前記基板上に少なくとも1つの第1フィン構造を含み、前記第1フィン構造は、第1ソースと第1ドレインとの間の第1チャネルを含み、前記第1ソース、前記第1ドレイン、および前記第1チャネルは、第1型ドーパントを有するダミーパターンと、
    前記基板上のFinFETと、を含み、
    前記FinFETは、
    前記基板上にあり、第2ソースと第2ドレインとの間の第2チャネルを含み、前記第2ソース、前記第2ドレイン、および前記第2チャネルは、前記第1型ドーパントを有し、前記第2チャネルは、ゲルマニウム、シリコンゲルマニウム、またはIII−V族半導体の少なくとも1つを含む第2フィン構造と、
    前記第2チャネル上のゲート誘電体層と、
    前記ゲート誘電体層上のゲートと、を含む
    集積回路。
  9. 前記第2チャネルは、約1×1018cm−3〜約3×1018cm−3の間のドーパント濃度を有する請求項8に記載の集積回路。
  10. 前記第2ソースと前記第2ドレインの少なくとも1つは、ゲルマニウム、シリコンゲルマニウム、シリコン、ゲルマニウム錫、シリコンゲルマニウム錫、またはIII−V半導体の少なくとも1つを含む請求項8に記載の集積回路。
JP2010194220A 2009-09-01 2010-08-31 蓄積型finfet、回路、及びその製造方法 Active JP5373722B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US23882809P 2009-09-01 2009-09-01
US61/238,828 2009-09-01
US12/757,271 2010-04-09
US12/757,271 US8264032B2 (en) 2009-09-01 2010-04-09 Accumulation type FinFET, circuits and fabrication method thereof

Publications (2)

Publication Number Publication Date
JP2011061196A true JP2011061196A (ja) 2011-03-24
JP5373722B2 JP5373722B2 (ja) 2013-12-18

Family

ID=43623559

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010194220A Active JP5373722B2 (ja) 2009-09-01 2010-08-31 蓄積型finfet、回路、及びその製造方法

Country Status (5)

Country Link
US (2) US8264032B2 (ja)
JP (1) JP5373722B2 (ja)
KR (2) KR20110025075A (ja)
CN (1) CN102005477B (ja)
TW (1) TWI426607B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150073119A (ko) * 2013-12-20 2015-06-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 웰 도핑을 위한 메커니즘
KR101560112B1 (ko) 2011-12-20 2015-10-13 인텔 코포레이션 콘택 저항의 감소를 위한 자가-정렬된 콘택 금속화
US9406546B2 (en) 2013-10-04 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism for FinFET well doping
WO2017111958A1 (en) * 2015-12-22 2017-06-29 Intel Corporation Transistors having ultra thin fin profiles and their methods of fabrication
KR20170095828A (ko) * 2014-12-22 2017-08-23 인텔 코포레이션 서브채널 누설 전류의 방지
KR101795867B1 (ko) * 2015-06-05 2017-11-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 매립 채널 반도체 디바이스 및 그 제조 방법
WO2020121821A1 (ja) * 2018-12-10 2020-06-18 ソニーセミコンダクタソリューションズ株式会社 半導体素子および半導体素子の製造方法
US11211455B2 (en) 2014-03-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8492235B2 (en) * 2010-12-29 2013-07-23 Globalfoundries Singapore Pte. Ltd. FinFET with stressors
US8492206B2 (en) 2011-08-22 2013-07-23 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for manufacturing the same
CN102956483B (zh) * 2011-08-22 2015-06-03 中国科学院微电子研究所 半导体器件结构及其制作方法
US8969999B2 (en) 2011-10-27 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) based, metal-semiconductor alloy fuse device and method of manufacturing same
CN103107139B (zh) * 2011-11-09 2017-06-06 联华电子股份有限公司 具有鳍状结构的场效晶体管的结构及其制作方法
US8987824B2 (en) 2011-11-22 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor devices
CN103137685B (zh) * 2011-11-24 2015-09-30 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8742457B2 (en) * 2011-12-16 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuses on semiconductor fins
WO2013095376A1 (en) * 2011-12-20 2013-06-27 Intel Corporation Strained channel region transistors employing source and drain stressors and systems including the same
CN103187296B (zh) * 2011-12-31 2015-07-08 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9048260B2 (en) * 2011-12-31 2015-06-02 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) * 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8809178B2 (en) 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
US8866195B2 (en) * 2012-07-06 2014-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. III-V compound semiconductor device having metal contacts and method of making the same
CN103426926B (zh) * 2012-05-14 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、pmos晶体管及其形成方法
US8901615B2 (en) 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
US9583398B2 (en) * 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US8629420B1 (en) * 2012-07-03 2014-01-14 Intel Mobile Communications GmbH Drain extended MOS device for bulk FinFET technology
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN102810555B (zh) * 2012-08-14 2015-04-15 北京大学 一种锗锡隧穿场效应晶体管及其制备方法
CN103594495A (zh) * 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US8912070B2 (en) * 2012-08-16 2014-12-16 The Institute of Microelectronics Chinese Academy of Science Method for manufacturing semiconductor device
CN102832135A (zh) * 2012-09-05 2012-12-19 北京大学 锗、三五族半导体材料衬底上制备FinFET的方法
US9564367B2 (en) * 2012-09-13 2017-02-07 Globalfoundries Inc. Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US8823065B2 (en) * 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US8633516B1 (en) * 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US9082853B2 (en) * 2012-10-31 2015-07-14 International Business Machines Corporation Bulk finFET with punchthrough stopper region and method of fabrication
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
CN103811341B (zh) * 2012-11-09 2016-05-11 中国科学院微电子研究所 半导体器件及其制造方法
CN103824775B (zh) * 2012-11-16 2018-04-24 中国科学院微电子研究所 FinFET及其制造方法
US8836018B2 (en) * 2012-11-16 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated device with channel region
US9093492B2 (en) * 2012-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Diode structure compatible with FinFET process
US20140167162A1 (en) * 2012-12-13 2014-06-19 International Business Machines Corporation Finfet with merge-free fins
US8748940B1 (en) * 2012-12-17 2014-06-10 Intel Corporation Semiconductor devices with germanium-rich active layers and doped transition layers
US8896101B2 (en) 2012-12-21 2014-11-25 Intel Corporation Nonplanar III-N transistors with compositionally graded semiconductor channels
US8933435B2 (en) * 2012-12-26 2015-01-13 Globalfoundries Singapore Pte. Ltd. Tunneling transistor
US9093530B2 (en) * 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US20140264607A1 (en) 2013-03-13 2014-09-18 International Business Machines Corporation Iii-v finfets on silicon substrate
US8975125B2 (en) * 2013-03-14 2015-03-10 International Business Machines Corporation Formation of bulk SiGe fin with dielectric isolation by anodization
US20140264488A1 (en) * 2013-03-15 2014-09-18 Globalfoundries Inc. Methods of forming low defect replacement fins for a finfet semiconductor device and the resulting devices
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US8859355B1 (en) 2013-05-06 2014-10-14 International Business Machines Corporation Method to make dual material finFET on same substrate
US9412664B2 (en) 2013-05-06 2016-08-09 International Business Machines Corporation Dual material finFET on single substrate
US8957478B2 (en) 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
CN104282561B (zh) * 2013-07-02 2018-11-06 中国科学院微电子研究所 FinFET器件及其制作方法
CN104282566B (zh) * 2013-07-03 2017-12-29 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
CN110047933B (zh) 2013-09-25 2022-11-08 太浩研究有限公司 用于finfet架构的用固态扩散源掺杂的隔离阱
WO2015047264A1 (en) * 2013-09-26 2015-04-02 Intel Corporation Methods of forming low band gap source and drain structures in microelectronic devices
US9583590B2 (en) 2013-09-27 2017-02-28 Samsung Electronics Co., Ltd. Integrated circuit devices including FinFETs and methods of forming the same
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
US9178045B2 (en) 2013-09-27 2015-11-03 Samsung Electronics Co., Ltd. Integrated circuit devices including FinFETS and methods of forming the same
US9312387B2 (en) * 2013-11-01 2016-04-12 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
US10825738B2 (en) * 2013-11-28 2020-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
CN104671194B (zh) * 2013-12-03 2016-08-17 中芯国际集成电路制造(上海)有限公司 防止结构层脱落的mems器件及其制备方法
US9054192B1 (en) 2013-12-20 2015-06-09 International Business Machines Corporation Integration of Ge-containing fins and compound semiconductor fins
US9076869B1 (en) 2014-01-08 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US9048303B1 (en) 2014-01-30 2015-06-02 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor
US9105663B1 (en) 2014-01-30 2015-08-11 International Business Machines Corporation FinFET with silicon germanium stressor and method of forming
WO2015127701A1 (en) * 2014-02-25 2015-09-03 Tsinghua University Method for forming fin field effect transistor
CN103840004A (zh) * 2014-02-25 2014-06-04 清华大学 具有SiGeSn源漏的鳍式场效应晶体管及其形成方法
CN103840005A (zh) * 2014-02-25 2014-06-04 清华大学 具有SiGeSn源漏的鳍式场效应晶体管及其形成方法
CN103839832A (zh) * 2014-02-25 2014-06-04 清华大学 具有GeSn源漏的鳍式场效应晶体管及其形成方法
CN103855033A (zh) * 2014-02-25 2014-06-11 清华大学 具有SiGeSn沟道的鳍式场效应晶体管及其形成方法
US9337279B2 (en) 2014-03-03 2016-05-10 Infineon Technologies Austria Ag Group III-nitride-based enhancement mode transistor
US10468528B2 (en) 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9721955B2 (en) 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9178067B1 (en) 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
EP3161870B1 (en) * 2014-06-24 2022-05-25 Intel Corporation Techniques for forming ge/sige-channel and iii-v-channel transistors on the same die
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
US9735153B2 (en) 2014-07-14 2017-08-15 Samsung Electronics Co., Ltd. Semiconductor device having fin-type field effect transistor and method of manufacturing the same
EP3300119A1 (en) 2014-07-14 2018-03-28 INTEL Corporation Solid-source diffused junction for fin-based electronics
KR102263045B1 (ko) 2014-07-25 2021-06-10 삼성전자주식회사 공통 스트레인-완화 버퍼를 구비하는 cmos 장치 및 그 제조 방법
US9276117B1 (en) * 2014-08-19 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method and FinFET device
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US10854735B2 (en) 2014-09-03 2020-12-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming transistor
US9847329B2 (en) 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9773865B2 (en) * 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9741811B2 (en) 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9859423B2 (en) * 2014-12-31 2018-01-02 Stmicroelectronics, Inc. Hetero-channel FinFET
US9601626B2 (en) 2015-01-23 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US9537010B2 (en) * 2015-02-04 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
KR102395071B1 (ko) 2015-05-14 2022-05-10 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
CN107660311B (zh) * 2015-06-24 2022-02-11 英特尔公司 在替代沟道finfet中的子鳍状物侧壁钝化
KR102445837B1 (ko) * 2015-06-26 2022-09-22 인텔 코포레이션 고 이동도 반도체 소스/드레인 스페이서
WO2017003414A1 (en) * 2015-06-27 2017-01-05 Intel Corporation Low damage self-aligned amphoteric finfet tip doping
US9660025B2 (en) 2015-08-31 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
WO2017052618A1 (en) 2015-09-25 2017-03-30 Intel Corporation High mobility field effect transistors with a band-offset semiconductor source/drain spacer
US10340374B2 (en) 2015-09-25 2019-07-02 Intel Corporation High mobility field effect transistors with a retrograded semiconductor source/drain
US10388764B2 (en) 2015-09-25 2019-08-20 Intel Corporation High-electron-mobility transistors with counter-doped dopant diffusion barrier
WO2017052609A1 (en) 2015-09-25 2017-03-30 Intel Corporation High-electron-mobility transistors with heterojunction dopant diffusion barrier
US11222947B2 (en) 2015-09-25 2022-01-11 Intel Corporation Methods of doping fin structures of non-planar transistor devices
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
KR102509925B1 (ko) * 2015-12-03 2023-03-15 삼성전자주식회사 반도체 소자의 제조 방법
CN108292674B (zh) * 2015-12-24 2022-05-13 英特尔公司 形成掺杂源极/漏极触点的方法及由其形成的结构
US10466731B2 (en) * 2016-01-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Two-transistor bandgap reference circuit and FinFET device suited for same
US10008580B2 (en) * 2016-03-21 2018-06-26 Samsung Electronics Co., Ltd. FET including an InGaAs channel and method of enhancing performance of the FET
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
WO2017218015A1 (en) 2016-06-17 2017-12-21 Intel Corporation High-mobility field effect transistors with wide bandgap fin cladding
US10580901B2 (en) * 2016-09-02 2020-03-03 International Business Machines Corporation Stacked series connected VFETs for high voltage applications
US20180076280A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Shallow drain metal-oxide-semiconductor transistors
US20180076281A1 (en) * 2016-09-12 2018-03-15 Jeng-Jye Shau Deep channel isolated drain metal-oxide-semiconductor transistors
US9847392B1 (en) * 2016-10-11 2017-12-19 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10553494B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Breakdown resistant semiconductor apparatus and method of making same
JP2019050314A (ja) * 2017-09-11 2019-03-28 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590573A (ja) * 1991-09-30 1993-04-09 Sharp Corp 半導体装置
JPH10223901A (ja) * 1996-12-04 1998-08-21 Sony Corp 電界効果型トランジスタおよびその製造方法
JP2003533050A (ja) * 2000-05-10 2003-11-05 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体デバイス
WO2006132172A1 (ja) * 2005-06-07 2006-12-14 Nec Corporation フィン型電界効果型トランジスタ、半導体装置及びその製造方法
WO2007046150A1 (ja) * 2005-10-21 2007-04-26 Fujitsu Limited フィン型半導体装置及びその製造方法

Family Cites Families (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) * 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) * 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) * 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US6065481A (en) * 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) * 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) * 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
JP3586072B2 (ja) 1997-07-10 2004-11-10 株式会社東芝 不揮発性半導体記憶装置
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
JP4037029B2 (ja) * 2000-02-21 2008-01-23 株式会社ルネサステクノロジ 半導体集積回路装置
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) * 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) * 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4118045B2 (ja) * 2001-12-07 2008-07-16 富士通株式会社 半導体装置
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) * 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) * 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6713365B2 (en) 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
JP4031329B2 (ja) * 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) * 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US20040192067A1 (en) * 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) * 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US20060170053A1 (en) * 2003-05-09 2006-08-03 Yee-Chia Yeo Accumulation mode multiple gate transistor
US7906441B2 (en) * 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
TWI242232B (en) * 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7172943B2 (en) 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4212435B2 (ja) * 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6881668B2 (en) 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US20050054164A1 (en) * 2003-09-09 2005-03-10 Advanced Micro Devices, Inc. Strained silicon MOSFETs having reduced diffusion of n-type dopants
JP2005116969A (ja) 2003-10-10 2005-04-28 Toshiba Corp 半導体装置及びその製造方法
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100513405B1 (ko) * 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) * 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
KR100587672B1 (ko) * 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US6956277B1 (en) * 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) * 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) * 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) * 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
JP4796329B2 (ja) * 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7015150B2 (en) * 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
JP5056011B2 (ja) * 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
KR100604870B1 (ko) * 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
JP5203558B2 (ja) * 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) * 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
BRPI0515714A (pt) 2004-09-27 2008-07-29 Dow Global Technologies Inc processo para preparar um revestimento em múltiplas camadas na superfìcie de um substrato polimérico orgánico por meio de uma deposição por plasma à pressão atmosférica
US7018901B1 (en) * 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100605499B1 (ko) 2004-11-02 2006-07-28 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
KR100693783B1 (ko) * 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) * 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US7923339B2 (en) * 2004-12-06 2011-04-12 Nxp B.V. Method of producing an epitaxial layer on semiconductor substrate and device produced with such a method
KR100614800B1 (ko) * 2004-12-10 2006-08-22 삼성전자주식회사 복수개의 돌출된 채널을 갖는 트랜지스터의 제조 방법
US7026232B1 (en) * 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US20060151808A1 (en) * 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
JP4958797B2 (ja) * 2005-02-24 2012-06-20 ソイテック SiGe層の表面領域を酸化させる方法、SGOI構造体内の少なくとも1つの接合境界面を安定化させる方法、及びSiGe層を半導体材料製の基板層と接合する方法
JP2006303451A (ja) * 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
US7338614B2 (en) 2005-04-05 2008-03-04 Analog Devices, Inc. Vapor HF etch process mask and method
JP2006324628A (ja) * 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP4427489B2 (ja) * 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) * 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
KR100655788B1 (ko) 2005-06-30 2006-12-08 삼성전자주식회사 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
EP1744351A3 (en) * 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) * 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) * 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) * 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
JP2007088255A (ja) * 2005-09-22 2007-04-05 Toshiba Corp 半導体装置の製造方法
US7589387B2 (en) * 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) * 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
DE102005052055B3 (de) * 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7718500B2 (en) 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) * 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) * 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) * 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
US8076189B2 (en) * 2006-04-11 2011-12-13 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
US7663185B2 (en) * 2006-05-27 2010-02-16 Taiwan Semiconductor Manufacturing Co, Ltd FIN-FET device structure formed employing bulk semiconductor substrate
EP1868233B1 (fr) * 2006-06-12 2009-03-11 Commissariat A L'energie Atomique Procédé de réalisation de zones à base de Si1-yGey de différentes teneurs en Ge sur un même substrat par condensation de germanium
JP4271210B2 (ja) * 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) * 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US7538387B2 (en) * 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US7456087B2 (en) * 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
JP2008227026A (ja) 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US8258029B2 (en) 2007-04-10 2012-09-04 Macronix International Co., Ltd. Semiconductor structure and process for reducing the second bit effect of a memory device
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US8174073B2 (en) * 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
TW200901368A (en) 2007-06-23 2009-01-01 Promos Technologies Inc Shallow trench isolation structure and method for forming thereof
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7812370B2 (en) * 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US8883597B2 (en) * 2007-07-31 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US7692213B2 (en) * 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) * 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7767579B2 (en) * 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US20090166625A1 (en) * 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
EP2257974A1 (en) * 2008-02-26 2010-12-08 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
WO2009144874A1 (en) 2008-05-29 2009-12-03 Panasonic Corporation Finfet with impurity blocking portion on an upper surface of fin
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8247285B2 (en) * 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) * 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) * 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590573A (ja) * 1991-09-30 1993-04-09 Sharp Corp 半導体装置
JPH10223901A (ja) * 1996-12-04 1998-08-21 Sony Corp 電界効果型トランジスタおよびその製造方法
JP2003533050A (ja) * 2000-05-10 2003-11-05 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体デバイス
WO2006132172A1 (ja) * 2005-06-07 2006-12-14 Nec Corporation フィン型電界効果型トランジスタ、半導体装置及びその製造方法
WO2007046150A1 (ja) * 2005-10-21 2007-04-26 Fujitsu Limited フィン型半導体装置及びその製造方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101560112B1 (ko) 2011-12-20 2015-10-13 인텔 코포레이션 콘택 저항의 감소를 위한 자가-정렬된 콘택 금속화
US11075108B2 (en) 2013-10-04 2021-07-27 Taiwan Semiconductor Manufacturing Company Mechanism for FinFET well doping
US9406546B2 (en) 2013-10-04 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism for FinFET well doping
US11742237B2 (en) 2013-10-04 2023-08-29 Taiwan Semiconductor Manufacturing Company Mechanism for FinFET well doping
US10297492B2 (en) 2013-10-04 2019-05-21 Taiwan Semiconductor Manufacturing Company Mechanism for FinFET well doping
KR101655622B1 (ko) * 2013-12-20 2016-09-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 웰 도핑을 위한 메커니즘을 포함하는 반도체 디바이스 구조물 및 그 제조방법
KR20150073119A (ko) * 2013-12-20 2015-06-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet 웰 도핑을 위한 메커니즘
US11211455B2 (en) 2014-03-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
KR20170095828A (ko) * 2014-12-22 2017-08-23 인텔 코포레이션 서브채널 누설 전류의 방지
KR102309324B1 (ko) 2014-12-22 2021-10-07 인텔 코포레이션 서브채널 누설 전류의 방지
KR101795867B1 (ko) * 2015-06-05 2017-11-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 매립 채널 반도체 디바이스 및 그 제조 방법
US10593785B2 (en) 2015-12-22 2020-03-17 Intel Corporation Transistors having ultra thin fin profiles and their methods of fabrication
WO2017111958A1 (en) * 2015-12-22 2017-06-29 Intel Corporation Transistors having ultra thin fin profiles and their methods of fabrication
WO2020121821A1 (ja) * 2018-12-10 2020-06-18 ソニーセミコンダクタソリューションズ株式会社 半導体素子および半導体素子の製造方法

Also Published As

Publication number Publication date
CN102005477B (zh) 2013-10-02
TW201110352A (en) 2011-03-16
KR20140083964A (ko) 2014-07-04
US8264032B2 (en) 2012-09-11
US20120306002A1 (en) 2012-12-06
TWI426607B (zh) 2014-02-11
US8896055B2 (en) 2014-11-25
JP5373722B2 (ja) 2013-12-18
US20110049613A1 (en) 2011-03-03
CN102005477A (zh) 2011-04-06
KR20110025075A (ko) 2011-03-09

Similar Documents

Publication Publication Date Title
JP5373722B2 (ja) 蓄積型finfet、回路、及びその製造方法
US10164099B2 (en) Device with diffusion blocking layer in source/drain region
KR101386838B1 (ko) 도핑된 SiGe 소스/드레인 스트레서 증착을 위한 방법 및 장치
US8487378B2 (en) Non-uniform channel junction-less transistor
US20160155668A1 (en) Germanium FinFETs with Metal Gates and Stressors
US9159809B2 (en) Multi-gate transistor device
US9935107B2 (en) CMOS FinFET device with dual strained cladding layers on relaxed SiGe fins, and method of fabricating the same
US8829576B2 (en) Semiconductor structure and method of manufacturing the same
JP2013045901A (ja) 半導体装置および半導体装置の製造方法
US20120261645A1 (en) Graphene Device Having Physical Gap
US9852954B2 (en) Methods of forming transistors with retrograde wells in CMOS applications and the resulting device structures
JP2007123880A (ja) 電界効果トランジスタ(fet)およびその製造方法(高性能および低リーク電界効果トランジスタを製造するための構造および方法)
US20160163831A1 (en) Finfet device including a dielectrically isolated silicon alloy fin
US11183591B2 (en) Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities
US9761693B2 (en) Method for fabricating semiconductor device
KR101706450B1 (ko) 무도핑 채널을 갖는 mosfet을 제조하는 방법
WO2015051564A1 (zh) 一种mosfet结构及其制造方法
US9142674B2 (en) FINFET devices having a body contact and methods of forming the same
US8969164B2 (en) Semiconductor structure and method for manufacturing the same
KR20100073416A (ko) 반도체 소자 및 이의 제조 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130827

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130919

R150 Certificate of patent or registration of utility model

Ref document number: 5373722

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250