KR101795867B1 - 매립 채널 반도체 디바이스 및 그 제조 방법 - Google Patents

매립 채널 반도체 디바이스 및 그 제조 방법 Download PDF

Info

Publication number
KR101795867B1
KR101795867B1 KR1020150163445A KR20150163445A KR101795867B1 KR 101795867 B1 KR101795867 B1 KR 101795867B1 KR 1020150163445 A KR1020150163445 A KR 1020150163445A KR 20150163445 A KR20150163445 A KR 20150163445A KR 101795867 B1 KR101795867 B1 KR 101795867B1
Authority
KR
South Korea
Prior art keywords
region
fin
pin
dopant
semiconductor device
Prior art date
Application number
KR1020150163445A
Other languages
English (en)
Other versions
KR20160143482A (ko
Inventor
치아충 첸
치펭 후앙
빅터 치앙 리앙
푸후안 차이
시에훙 시에
츠진 예
한민 차이
홍린 추
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160143482A publication Critical patent/KR20160143482A/ko
Application granted granted Critical
Publication of KR101795867B1 publication Critical patent/KR101795867B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03DDEMODULATION OR TRANSFERENCE OF MODULATION FROM ONE CARRIER TO ANOTHER
    • H03D7/00Transference of modulation from one carrier to another, e.g. frequency-changing
    • H03D7/14Balanced arrangements
    • H03D7/1425Balanced arrangements with transistors
    • H03D7/1441Balanced arrangements with transistors using field-effect transistors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03DDEMODULATION OR TRANSFERENCE OF MODULATION FROM ONE CARRIER TO ANOTHER
    • H03D7/00Transference of modulation from one carrier to another, e.g. frequency-changing
    • H03D7/14Balanced arrangements
    • H03D7/1425Balanced arrangements with transistors
    • H03D7/1458Double balanced arrangements, i.e. where both input signals are differential
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Thin Film Transistor (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

반도체 디바이스를 제조하는 방법은 기판 위에 제1 방향으로 하나 이상의 핀을 형성시키는 것을 포함한다. 그 하나 이상의 핀은 제1 방향에 따른 제1 영역 및 제1 방향에 따른 제1 영역의 양측에 있는 제2 영역을 포함한다. 도펀트가 핀의 제1 영역에 주입되지만, 제2 영역에 주입되지 않는다. 게이트 구조가 핀의 제1 영역 위에 놓이고, 소스/드레인이 핀의 제2 영역 상에 형성된다.

Description

매립 채널 반도체 디바이스 및 그 제조 방법{BURIED CHANNEL SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME}
본 출원은 본 출원과 동시에 출원된 MWE 도킷(docket) No.095714-0035에 개시된 주제와 유사한 주제를 개시한다. MWE 도킷 No.095714-0035는 그 전체가 본 출원에 참고로 통합된다.
본 발명은 매립 채널 반도체 디바이스 및 그 제조 방법에 관한 것이다.
반도체 산업이 보다 높은 디바이스 밀도, 보다 높은 성능 및 보다 낮은 비용을 추구하기 위해서 나노미터 기술 공정 노드로 진보되고 있기 때문에, 제조 문제 및 설계 문제 둘 다로부터의 과제는 결과적으로 핀 전계 효과 트랜지스터(FinFET: fin field effect transistor)와 같은 3차원 설계의 개발을 유도하고 있다. FinFET 디바이스는 높은 종횡비를 지니고 반도체 트랜지스터 디바이스의 채널 및 소스/드레인 영역이 형성되어 있는 반도체 핀을 일반적으로 포함한다.
보다 빠르고, 보다 신뢰성 있으며 그리고 보다 잘 제어된 반도체 트랜지스터 디바이스를 생산하도록 채널 및 소스/드레인 영역의 증가된 표면적의 이점을 이용하는 핀 구조(예를 들면, 래핑)의 측면 위에 그리고 그 측면을 따라 게이트가 형성된다. 일부 디바이스에서, 예를 들어, 규소 게르마늄(SiGe), 인화규소(SiP) 또는 탄화규소(SiC)를 이용하는 FinFET의 소스/드레인(S/D) 부분에서 변형 재료(strained material)가 캐리어 이동도를 향상시키는데 사용될 수 있다.
본 개시내용의 한 실시양태에서, 반도체 디바이스를 제조하는 방법이 제공된다. 그 방법은 기판 위에 제1 방향으로 연장되는 하나 이상의 핀을 형성시키는 것을 포함한다. 그 하나 이상의 핀은 제1 방향에 따른 제1 영역 및 제1 영역에 따른 제1 영역의 양측에 있는 제2 영역을 포함한다. 도펀트가 핀의 제1 영역에 주입되지만, 제2 영역에 주입되지 않는다. 게이트 구조가 핀의 제1 영역을 위에 가로놓인 상태로 형성되고, 소스/드레인이 핀의 제2 영역 상에 형성된다.
본 개시내용의 또다른 실시양태에서, 기판 위에 제1 방향으로 연장되는 하나 이상의 핀을 포함하는 반도체 디바이스가 제공된다. 그 하나 이상의 핀은 제1 방향에 따른 제1 영역 및 제1 방향에 따른 제1 영역의 양측에 있는 제2 영역을 포함하고, 핀의 제1 영역은 약 1.5 × 1016 내지 2.0 × 1020 원자/cm3의 농도로 도펀트를 포함한다. 게이트 전극이 핀의 제1 영역 위에 가로놓인 상태로 존재하고, 소스/드레인이 핀의 제2 영역 상에 형성된다.
본 개시내용의 또 다른 실시양태에서, 서로 전기적으로 접속된 복수의 트랜지스터를 포함하는 길버터-셀 믹서가 제공된다. 트랜지스터 중 하나 이상은 기판 위에 제1 방향으로 연장되는 하나 이상의 핀을 포함한다. 그 하나 이상의 핀은 제1 방향에 따른 제1 영역 및 제1 방향에 따른 제1 영역의 양측에 있는 제2 영역을 포함한다. 핀의 제1 영역은 약 1.5 × 1016 내지 2.0 × 1020 원자/cm3의 농도로 도펀트를 포함한다. 게이트 구조가 핀의 제1 영역 위로 가로 놓인 상태로 존재하고, 소스/드레인이 핀의 제2 영역 상에 형성된다.
본 개시내용은 첨부되는 도면과 함께 이해될 때 후술하는 상세한 설명으로부터 가장 잘 이해된다. 강조하고자 하는 바는, 산업에서 표준 실시에 따라, 다양한 피처가 스케일로 도시되지 않으며 단지 예시 목적으로만 사용된다는 점이다. 사실, 다양한 피처의 치수는 논의의 선명성을 위해서 임의적으로 증가 또는 감소될 수 있다.
도 1은 본 개시내용의 실시양태에 따른 핀 구조(FinFET)를 갖는 반도체 FET 디바이스를 제조하기 위한 예시적인 공정 흐름도이다.
도 2-16은 본 개시내용의 실시양태에 따라 반도체 디바이스를 제조하는 예시적인 방법 및 예시적인 반도체 디바이스를 도시한 것이다.
도 17은 본 개시내용의 실시양태에 따른 믹서 회로(mixer circuit)를 도시한 것이다.
도 18은 본 개시내용의 실시양태에 따른 믹서 회로를 포함하는 장치를 도시한 것이다.
도 19a-19d는 본 개시내용에 따른 FinFET를 포함하는 디바이스의 게이트 전압 대 드레인 전류를 예시하는 그래프이다.
도 20는 본 개시내용에 따른 FinFET를 포함하는 디바이스의 선형성(linearity)을 예시하는 그래프이다.
후술하는 개시내용은 본 발명의 상이한 피처를 실시하기 위해 많은 상이한 실시양태 또는 실시예를 제공하는 것으로 이해되어야 한다. 아래에는 성분 및 배열의 구체적인 실시양태 또는 실시예가 본 개시내용을 단순화하도록 기술되어 있다. 물론, 이들은 단지 예에 불과하고 제한하고자 하는 것이 아니다. 예를 들어, 요소의 치수는 개시된 범위 또는 값에 국한되는 것이 아니지만, 디바이스의 공정 조건 및/또는 원하는 특성에 따라 좌우될 수 있다. 게다가, 후술하는 설명에서 제2 피처 위에 또는 상에 제1 피처의 형성은 제1 피처와 제2 피처가 직접 접촉 상태로 형성되어 있는 실시양태를 포함할 수 있으며, 그리고 또한 제1 피처와 제2 피처가 직접 접촉 상태로 존재하지 않을 수 있도록 제1 피처와 제2 피처 사이에 삽입되어 있는 추가 피처가 형성될 수 있는 실시양태도 포함할 수 있다. 다양한 피처가 단순성 및 선명성을 위해 상이한 스케일로 임의적으로 도시될 수 있다.
추가로, 공간적으로 상대적인 용어, 예컨대 "밑에", "아래에". "하부에", "위에", "상부에" 등이 도면에 예시되어 있는 바와 같이 하나의 요소 또는 피처의 또다른 요소(들) 또는 피처(들)과의 관련성을 기술하는 설명을 용이하게 하기 위해서 본 명세서에서 이용될 수 있다. 그 공간적으로 상대적인 용어는 도면에서 도시된 배향 이외에도 사용시 또는 작동시 디바이스의 상이한 배향을 포괄하는 것으로 의도된다. 그 디바이스는 달리 배향될 수 있으며(90도로 또는 다른 배향으로 회전될 수 있으며), 본 명세서에서 사용된 그 공간적으로 상대적인 기술어가 역시 마찬가지로 상응하게 해석될 수 있다. 게다가 용어 "로 이루어지는(made of)"는 "포함하는(comprising)" 또는 "로 구성되는(consisting of)"를 의미할 수 있다.
FinFET 디바이스는 벌크 CMOS 디바이스보다 더 큰 플리커 잡음(flicker noise)을 갖는다. 아날로그/RF 회로는 보다 낮은 잡음 및 보다 높은 선형성 MOS 디바이스를 필요로 한다. 본 개시내용은 보다 낮은 잡음 및 보다 높은 선형성 MOS 디바이스를 제공하는 트랜지스터에 관한 것이다.
본 개시내용의 하나 이상의 실시양태로부터 이익을 가질 수 있는 디바이스의 예는 반도체 디바이스이다. 그러한 디바이스는, 예를 들면 FinFET 디바이스이다. 그 FinFET 디바이스는, 예를 들면 p형 금속 산화물 반도체(PMOS: P-type metal-oxide semiconductor) FinFET 디바이스 및 n형 금속 산화물 반도체(NMOS: N-type metal-oxide semiconductor) FinFET 디바이스를 포함하는 상보형 금속 산화물 반도체(CMOS: complementary metal oxide semiconductor) 디바이스일 수 있다. 특히, 길버트-셀 믹서(Gilbert-cell mixer)는 본 개시내용으로부터 이익을 얻을 수 있다. 후술하는 개시내용은 본 출원의 디양한 실시양태를 예시하기 위해서 FinFET 예를 포함할 것이다. 그러나, 그 출원은, 특별히 특허청구된 것을 제외하고는, 구체적인 유형의 디바이스에 국한되어서는 안되는 것으로 이해된다.
본 개시내용의 실시양태에 따른 핀 구조(FinFET)를 갖는 반도체 FET 디바이스를 제조하는 예시적인 방법이 도 1에 예시되어 있다. 예시적인 방법(100)은 기판 위에 하나 이상의 핀을 형성시키는 조작(102) 및 하나 이상의 핀 내로 도펀트를 주입하는 조작(104)을 포함한다. 하나 이상의 핀 위에 게이트 구조를 형성시키는 조작(106)이 그 주입 조작 후에 수행된다. 조작(108)에서는, 그 게이트 구조의 양측에 있는 하나 이상의 핀 상에 소스/드레인이 형성된다.
하나의 실시양태에 따른 하나 이상의 핀을 제조하기 위해서, 도 2에 예시되어 있는 바와 같이, 마스크 층(14)이 기판(12) 위에 형성되어 있다. 그 마스크 층(14)이, 예를 들면 열적 산화 공정 및/또는 화학 증착(CVD) 공정에 의해 형성된다. 기판(12)은, 예를 들면 약 1 × 1015 원자/cm3 내지 약 2 × 1015 원자/cm3의 범위에 있는 불순물 농도를 지닌 p형 규소 기판이다. 다른 실시양태에서, 기판(12)은 약 1 × 1015 원자/cm3 내지 약 2 × 1015 원자/cm3의 범위로 불순물 농도를 지닌 n형 규소 기판이다. 마스크 층(14)은, 일부 실시양태에서, 예를 들면 패드 산화물(예를 들면, 산화규소) 층(16) 및 질화규소 마스크 층(18)이다.
대안으로, 기판(12)은 또다른 원소 반도체, 예컨대 게르마늄; IV-IV 화합물 반도체, 예컨대 SiC 및 SiGe, III-V 화합물 반도체, 예컨대 GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 화합물 반도체; 또는 이들의 조합을 포함할 수 있다. 하나의 실시양태에서, 기판(12)은 SOI(silicon-on insulator) 기판의 규소 층이다. SOI 기판이 사용될 때, 핀은 SOI 기판의 규소 층으로부터 돌출될 수 있거나, 또는 SOI 기판의 절연체 층으로부터 돌출될 수 있다. 후자의 경우, SOI 기판의 규소 층이 핀을 형성시키는데 사용된다. 비결정질 기판, 예컨대 비결정질 Si 또는 비결정질 SiC 또는 절연 물질, 예컨대 산화규소가 또한 기판(12)으로서 사용될 수도 있다. 기판(12)은 불순물(p형 전도도 또는 n형 전도도)로 적절히 도핑되어 있는 다양한 영역을 포함할 수 있다.
그 패드 산화물 층(16)은, 열적 산화 공정 또는 CVD 공정을 이용함으로써 형성될 수 있다. 그 질화규소 마스크 층(18)은 CVD, 플라즈마 강화 증착(PECVD), 대기압 화학 증착(APCVD), 저압 CVD(LPCVD), 고밀도 플라즈마 CVD(HDPCVD), 원자층 증착(ALD), 물리적 증착(PVD), 예컨대 스퍼터링 방법 및/또는 다른 공정에 의해 형성될 수 있다.
일부 실시양태에서, 패드 산화물 층(16)의 두께는 약 2 nm 내지 약 15 nm의 범위에 있고, 질화규소 마스크 층(18)의 두께는 약 2 nm 내지 약 50 nm의 범위에 있다. 마스크 패턴(20)이 그 마스크 층(14) 위에 추가로 형성된다. 그 마스크 패턴(20)은, 예를 들면 리소그래피 조작에 의해 형성된 레지스트 패턴이다.
그 마스크 패턴(20)을 에칭 마스크로서 사용함으로써, 패드 산화물 층(16) 및 질화규소 마스크 층(18)의 하드 마스크 패턴이 형성된다. 일부 실시양태에서, 그 하드 마스크 패턴의 폭은 약 5 nm 내지 약 40 nm의 범위에 있다. 특정 실시양태에서, 하드 마스크 패턴의 폭은 약 7 nm 내지 약 12 nm의 범위에 있다.
도 3에 도시되어 있는 바와 같이, 그 하드 마스크 패턴을 에칭 마스크로서 사용함으로써, 기판(12)은, 트렌치를 형성하는 건식 에칭 방법 및/또는 습식 에칭 방법을 이용하는 트렌치 에칭에 의해, 복수의 핀(24)으로 패턴화된다. 핀(24)의 높이가 약 20 nm 내지 약 300 nm의 범위에 있다. 특정 실시양태에서, 그 높이는 약 30 nm 내지 약 60 nm의 범위에 있다. 핀(24)의 높이가 균일하지 않을 때, 기판으로부터의 그 높이는 핀(24)의 평균 높이에 상응하는 평면으로부터 측정될 수 있다. 핀(24) 각각의 폭은 약 7 nm 내지 약 15 nm의 범위에 있다.
이 실시양태에서, 벌크 규소 웨이퍼가 기판(12)으로서 사용된다. 그러나, 일부 실시양태에서, 다른 유형의 기판이 기판(12)으로서 사용될 수 있다. 예를 들면, SOI(silicon-on-insulator) 웨이퍼가, 출발 물질로서 사용될 수 있으며, SOI 웨이퍼의 절연체 층이 기판(12)을 구성하고, SOI 웨이퍼의 규소 층이 핀(24)에 사용된다.
도 3에 도시되어 있는 바와 같이, 8개의 핀(24)이 기판(12) 위로 배치된다. 그러나, 핀의 수는 8개에 국한되는 것은 아니다. 적게는 1개의 핀이 있을 수 있고, 많게는 8개 초과의 핀이 있을 수 있다. 게다가, 하나 이상의 더미 핀(dummy fin)이 패턴화 공정에서 패턴 신뢰성을 개선하기 위해서 핀의 측면에 인접하게 배치될 수 있다. 각 핀(24)의 폭은 일부 실시양태에서 약 5 nm 내지 약 40 nm의 범위에 있고, 특정 실시양태에서 약 7 nm 내지 약 15 nm의 범위에 있을 수 있다. 인접 핀들 사이의 트렌치(26)의 폭은 일부 실시양태에서 약 5 nm 내지 약 80 nm의 범위에 있고, 특정 실시양태에서 약 7 nm 내지 약 15 nm의 범위에 있을 수 있다. 그러나, 해당 기술 분야의 당업자라면, 명세서 전반에 걸쳐 인용된 치수 및 값은 단시 예에 불과하고, 집적 회로의 상이한 스케일에 적합하도록 변경될 수 있다.
특정 실시양태에서, FinFET 디바이스는 p형 FinFET이고, 반면에 다른 실시양태에서 그 디바이스는 n형 FinFET이며, 이들 유형은 소스/드레인 및 채널에서 사용된 도펀트 유형에 따라 좌우된다.
핀(24)을 형성한 후, 도 4에 도시되어 있는 바와 같이, 격리 절연 층(22)이 핀(24) 사이의 트렌치(26)에 형성되고, 핀(24) 위에 가로놓인 상태로 존재하므로, 핀(24)은 격리 절연 층(22)에 매립된다. 그 격리 절연 층(22)은 또한 STI(shallow trench insulation)라고 칭하기도 한다.
그 격리 절연 층(22)은 LPCVD(저압 화학 증착), 플라즈마-CVD 또는 유동성 CVD에 의해 형성된, 산화규소, 옥시질화규소 또는 질화규소와 같은 절연 물질의 하나 이상의 층을 포함한다. 그 유동성 CVD에서는, 산화규소 대신에 유동성 유전체 물질이 증착된다. 유동성 유전체 물질은, 그 명칭이 제시하고 있는 바와 같이, 증착 동안 유동하여 높은 종횡비를 지닌 갭 또는 공간을 충전할 수 있다. 보통, 다양한 화학이 규소 함유 전구체에 첨가되어 증착된 필름을 유동할 수 있게 한다. 일부 실시양태에서, 질소 하이브리드 결합이 첨가된다. 유동성 유전체 전구체, 특히 유동성 산화규소 전구체의 예로는 실리케이트, 실록산, 메틸 실세스퀴녹산(MSQ), 수소 실세스퀴녹산(HSQ), MSQ/HSQ, 퍼히드로실라잔(TCPS), 퍼히드로-폴리실라잔(PSZ), 테트라에틸 오르토실리케이트(TEOS) 또는 실릴-아민, 예컨대 트리실릴아민(TSA)이 포함된다. 이러한 유동성 산화규소 물질은 복수의 조작 공정으로 형성된다. 유동성 필름이 증착된 후, 그것은 경화된 후 어닐링되어 원하지 않은 원소(들)을 제거함으로써 산화규소를 형성하게 된다. 원하지 않은 원소(들)이 제거될 때, 유동성 필름은 치밀화되어 수축한다. 일부 실시양태에서, 다수의 어닐링 공정이 수행된다. 그 유동성 필름은 1회 초과 이상 경화 및 어닐링된다. 유동성 필름은 붕소 및/또는 인에 의해 도핑될 수 있다. 격리 절연 층(22)은 일부 실시양태에서 SOG, SiO, SiOCN 및/또는 플루오라이드-도핑된 실리케이트 유리(PSG)의 하나 이상의 층에 의해 형성될 수 있다.
격리 절연 층(22)의 부분을 제거하도록 평탄화 조작이 수행된다. 도 5에 도시되어 있는 바와 같이, 그 평탄화 조작은 CMP(chemical mechanical polishing) 및/또는 에칭-백 공정(etch-back process)을 포함할 수 있다.
도 6에 도시되어 있는 바와 같이, 마스크 층(14)가 제거될 수 있고, 격리 절연 층(22)의 상부가 추가 제거되어 핀(24)의 채널 영역(상부)가 노출하게 된다.
특정 실시양태에서, 마스크 층(14)의 제거 및 격리 절연 층(22)의 부분 제거가 적합한 에칭 공정을 이용하여 수행될 수 있다. 예를 들면, 마스크 층(14)은 습식 에칭 공정에 의해, 예컨대 기판을 플루오르화수소산(HF) 또는 인산(H3PO4) 중에 침지함으로써 제거될 수 있다. 다른 한편으로는, 격리 절연 층(22)의 부분 제거는 건식 에칭 공정을 이용하여 수행될 수 있다. 예를 들면, 에칭 가스로서 CHF3 또는 BF3을 사용하는 건식 에칭 공정이 이용될 수 있다.
도 7에는 격리 절연 층(22)으로부터 노출된 핀(24)을 나타내는 디바이스(10)의 등각도가 도시되어 있다. 개시내용을 단순화하기 위해서, 단지 3개의 핀만이 도 7에 도시되어 있다. 핀(24)의 노출 부분은 2개의 영역을 포함한다. 핀(24)의 중앙 부분에서의 제1 영역(36)이 게이트 구조가 허용되는 곳이고, 핀(24)의 주변 부분에서의 제2 영역(38)이 소스/드레인 영역이 형성되는 곳이다.
도 8은 도 7의 라인 A-A를 따라 취한 단면을 도시한 것이다. 도 9a에 도시되어 있는 바와 같이, 포토레지스트와 같인 레지스트 층(44)이 핀(24) 위에 형성된다. 레지스트 층(44)은 화학 방사선에 대한 노출 및 후속 현상에 의해 패턴화되어 핀(24)의 정상부를 노출하는 개구부(54)를 형성하게 된다. 그 개구부는 핀(24)의 제1 영역(36) 위에 형성되고, 핀(24)의 제2 영역(38)은 레지스트(44)에 의해 피복된다. 개구부(54)를 지닌 레지스트 층(44)의 평면도가 도 9b에 도시되어 있다.
도 10에 도시되어 있는 바와 같이, 마스크로서 패턴화 레지스트 층(44)을 사용하여, 도펀트(46)가 이온 주입에 의해 핀(24) 내로 주입된다. 그 핀(24)은 도펀트가 핀의 제1 영역(36) 내로 주입되도록 마스크 처리되고, 그 제1 영역은 FinFET의 채널로 된다. 특정 실시양태에서, 도펀트는 약 1 KeV 내지 약 100 KeV의 범위에 있는 에너지에서 주입된다.
특정 실시양태에서, 도펀트(46)는 P, As 및 Sb로 구성되는 군으로부터 선택된 n형 도펀트이다. 특히, n형 도펀트는 특정 실시양태에서 P일 수 있다. 다른 실시양태에서, 도펀트(46)는 B, BF2, Al 및 Ga로 구성되는 군으로부터 선택된 p형 도펀트이다. 제1 영역(36)에 주입된 도펀트(46)는 약 1.5 × 1016 내지 2.0 × 1020 원자/cm3이다. 특정 실시양태에서, 제1 영역(36)에서 도펀트(46)의 농도는 약 1.7 × 1017 내지 1.7 × 1019 원자/cm3이다. 특정 실시양태에서, 제1 영역(36)에서 도펀트(46)의 농도는 약 1 × 1018 내지 2 × 1018 원자/cm3이다. 도 11에 도시되어 있는 바와 같이, 주입된 도펀트(46)는 핀(24)의 상부로부터 높이(H) 방향에서 약 15 내지 20 nm 및 핀(24)의 측벽으로부터 핀 두께 방향(W)에서 3 내지 7 nm에 위치한 핀 내의 영역에 중심을 둔 도핑된 영역(48)을 형성할 수 있다. 이 도핑된 영역(48)은 매립 채널을 형성한다.
도 7에서 라인 B-B를 따른 단면에 해당하는 도면인 도 12를 언급하면, 도 12는 매립 채널인 도핑된 영역(48)을 예시한 것이다. 게이트 전극 구조가 그 매립 채널인 도핑된 영역(48) 위에 가로놓인 상태로 후속 형성되고, 소스/드레인 영역이 제1 영역(36)의 측부에 있는 제2 영역(38) 위에 가로놓인 상태로 형성된다. 채널 길이(L)는 제1 영역(36)의 길이에 해당한다. 채널 길이(L)는 일부 실시양태에서 약 7 nm 내지 약 16 nm의 범위일 수 있다. 또한, 채널 길이(L)는 후숙적으로 형성된 트랜지스터의 게이트 길이에 해당한다.
도 13에 도시되어 있는 바와 같이, 게이트 구조(28)가 핀의 제1 영역(36) 위로 후속 형성된다. 그 게이트 구조 형성 공정은 게이트 유전체(32)를 증착하는 조작, 게이트 전극(30)을 증착하는 조작, 게이트 전극을 페턴화하는 조작, LDD(lightly doped drain) 주입 조작 및 어닐링 조작을 포함할 수 있다. 측벽 스페이서(34)가 그 게이트 구조(28) 상에 후속 형성되고, 소스/드레인 주입 및 어닐링이 수행된다. 도 14는 도 13의 라인 a-a를 따라 취한 단면에 해당하는 것으로, 핀(24) 및 게이트 전극 구조(28)의 배열을 나타낸다.
특정 실시양태에서, FinFET는 게이트 제1 방법 또는 게이트 최종 방법을 이용하여 제조할 수 있다. 고 k 유전체 및 금속 게이트(HK/MG)를 사용하는 실시양태에서, 게이트 최종 방법이 게이트 전극을 형성하는데 이용된다. 게이트 최종 방법에서, 더미 게이트가 형성되고, 더미 게이트가 고온 어닐링 조작 후 후기 조작에서 후속 제거되고, 고 k 유전체 및 금속 게이트(HK/MG)가 형성된다.
본 개시내용의 실시양태에 따라, 고 k 게이트 유전체(32)는 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 산화지르코늄, 산화알루미늄, 이산화하프늄-알루미나(HfO2 - Al2O3) 합금, 다른 적합한 고 k 유전체 물질, 또는 이들의 조합을 포함할 수 있다. 금속 게이트 물질은 Ti, TiN, 티탄-알루미늄 합금, Al, AlN, Ta, TaN, TaC, TaCN, TaSi 등의 하나 이상의 층을 포함할 수 있다.
게이트 유전체(32)는 산화규소, 질화규소, 옥시질화규소, 고 k 유전체 물질, 다른 적합한 유전체 물질 및/또는 이들의 조합의 하나 이상의 층을 포함할 수 있다. 특정 실시양태에서 게이트 전극(30)은 폴리실리콘으로 형성되고, 게이트 전극 위에 형성된 하드 마스크를 포함할 수 있다. 이 하드 마스크는 SiO2, SiN, 또는 SiCN를 비롯한 적합한 하드 마스크 재료로 이루어질 수 있다. 일부 실시양태에서, 게이트 유전체 층의 두께는 약 5 nm 내지 약 20 nm의 범위에 있고, 다른 실시양태에서 5 nm 내지 약 10 nm의 범위에 있다. 게이트 전극 구조는 추가 층, 예컨대 계면 층, 캡핑 층, 확산/배리어 층, 유전체 층, 전도 층 및 다른 적합한 층, 및 이들의 조합을 포함할 수 있다. 폴리실리콘 이외에도, 일부 실시양태에서, 그 게이트 전극(30)은 임의의 다른 적합한 물질, 예컨대 알루미늄, 구리, 티탄, 탄탈, 텅스텐, 몰리브덴, 질화탄탈, 규화니켈, 규화코발트, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금, 다른 적합한 물질 또는 이들의 조합의 하나 이상의 층을 포함한다. 일부 실시양태에서, 게이트 전극 층의 두께가 약 50 nm 내지 약 400 nm의 범위에 있고, 약 100 nm 내지 200 nm의 범위에 있을 수 있다.
일부 실시양태에서, 측벽 스페이서(34)는 후속 형성되는 도핑된 영역, 예컨대 소스/드레인 영역을 오프셋하는데 사용된다. 그 측벽 스페이서(34)는 소스/드레인 영역 (접합) 프로파일을 설계 또는 변형하는데 추가로 이용될 수 있다. 측벽 스페이서(34)는 적합한 증착 및 에칭 기법에 의해 형성될 수 있고, 질화규소, 탄화규소, 옥시질화규소, 다른 적합한 물질 또는 이들의 조합을 포함할 수 있다.
측벽 절연 재료의 블랭킷 층이 CVD, PVD, ALD, 또는 다른 적합한 기법에 의해 형성될 수 있다. 이어서, 비등방성 에칭이 그 측벽 절연 재료 상에 수행되어 게이트 전극의 2개의 주면 상에 한 쌍의 측벽 절연 층(스페이서)(34)을 형성하게 된다. 측벽 절연 층(34)의 두께는 일부 실시양태에서 약 5 nm 내지 약 30 nm의 범위에 있고, 다른 실시양태에서 약 10 nm 내지 약 20 nm의 범위에 있다. 도 13에 도시되어 있는 바와 같이, 측벽 절연 층은 소스 및 드레인으로 되는 핀의 영역 위에 형성될 수 없다.
도 15에 도시되어 있는 바와 같이, 게이트 구조(28)에 의해 피복되지 않은 핀의 제2 영역(38)은 후속 에칭되어 STI 영역(22) 위의 핀의 부분을 제거하게 된다. 적합한 포토리소그래픽 및 에칭 기법이 핀의 제2 영역(38)을 제거하는데 이용될 수 있다.
특정 실시양태에서, 도 16에 도시되어 있는 바와 같이, 융기된(raised) 소스/드레인 영역(40)이 핀(24)의 에칭된 부분 위에 가로놓인 상태로 후속 형성됨으로써 FinFET 반도체 디바이스(10)를 제공하게 된다. 그 융기된 소스/드레인 영역은, Si 피처, SiC 피처, SiGe 피처, SiP 피처, SiCP 피처, 또는 Si EPI 상의 III-V 반도체 재료 또는 다른 적합한 피처가 핀 상에 결정 상태로 형성되도록, 하나 이상이 에피택시 또는 에피택셜(epi) 공정에 의해 형성될 수 있다. 이 에피택시 공정으로는 CVD 증착 기법(예, VPE(vapor phase epitaxy) 및/또는 UHV-CVD(ultra-high vacuum CVD)), 분자 빔 에피택시 및/또는 다른 적합한 공정이 포함된다.
본 개시내용의 일부 실시양태에서, 소스/드레인 전극은 각 소스/드레인 영역을 접촉시켜 형성된다. 그 전극은 적합한 전도성 재료, 예컨대 구리, 텅스텐, 니켈, 티탄 등으로 이루어질 수 있다. 일부 실시양태에서, 금속 규화물이 전도성 물질 및 소스/드레인 계면에서 형성되어 그 계면에서의 전도도를 개선하게 된다. 하나의 실시예에서, 다마센 및/또는 이중 다마센 공정이 이용되어 구리계 다층 상호접속 구조를 형성하게 된다. 또다른 실시양태에서, 텅스텐이 사용되어 텅스텐 플러그를 형성하게 된다.
본 개시내용의 실시양태에 따른 후속 가공은, FinFET 다바이스의 다양한 피처 또는 구조를 접속하도록 구성된, 반도체 기판 상의 다양한 컨택트/바이어스/라인 및 다층 상호접속 피처(예를 들면, 금속 층 및 층간 유전체)를 형성할 수도 있다. 예를 들면, 다층 상호접속은 수직 상호접속, 예컨대 통상적인 바이어스 또는 콘택트, 및 수평 상호접속, 예컨대 금속 라인을 포함한다.
특정 실시양태에서, 소스/드레인 영역의 에피택셜 성장은 개별 소스/드레인 영역이 병합된 소스/드레인 영역을 지닌 FinFET 반도체 디바이스를 형성하도록 함께 병합될 때까지 계속된다.
본 개시내용의 또다른 실시양태에서, 도 17에 예시되어 있는 바와 같이, 반도체 디바이스, 예컨대 길버트-셀 믹서(50)가 제공된다. 길버트-셀 믹서는 각각 전기적으로 접속된 다수의 트랜지스터(M1, M2, M3, M4, M5, M6)를 포함한다. 트랜지스터 중 하나 이상은 본 명세서 앞에서 개시된 실시양태에 따른 매립 채널 FinFET를 포함한다. 길버트-셀 믹서(50)는 도 17에 도시되어 있는 바와 같이 인덕터(L1, L2) 및 커패시터(C1, C2)를 더 포함한다.
본 개시내용에 따른 길버트-셀 믹서의 한 실시양태에서, 도 17에 도시되어 있는 바와 같이, 회로 디아그램의 우측은 좌측의 거울상이다. 인덕터(L1 및 L2)는 트랜지스터(M3 및 M6)에 각각 접속되어 있다. 커패시터(C1)는 인덕터(L1)과 트랜지스터(M3) 사이에 접속되어 있으며, 트랜지스터(M5)에 접속되어 있다. 커패시터(C2)는 인덕터(L2)와 트랜지스터(M6) 사이에 접속되어 있고, 트랜지스터(M4)에 접속되어 있다. 트랜지스터(M3 및 M4)의 전류 출력은 트랜지스터(M1)에 접속되어 있고, 그 트랜지스터(M1)의 전류 출력은 접지에 접속되어 있다. 트랜지스터(M5 및 M6)의 전류 출력은 트랜지스터(M2)에 접속되어 있고, 그 트랜지스터(M2)의 전류 출력은 접지에 접속되어 있다. 특정 실시양태에서, 트랜지스터(M1, M2, M3, M4, M5, M6)는 매립 채널 FinFET 트랜지스터일 수 있다.
도 18에 도시되어 있는 바와 같이, 길버트-셀 믹서(50)는 작동 증폭기, ADC(analog-to-digital converter), DAC(digital-to-analog converter), RF 합성기, 및 프로세서를 포함하는 장치(52) 내로 내장될 수 있다. 도 18에서 장치는 믹서, LAN(local area network), PLL(phase locked loop), VOC(voltage control oscillator) 및 ADC를 포함하는 RF 풀 회로 블록이다. 특정 실시양태에서, 장치 내의 모든 트랜지스터는 매립 채널 FinFET 트랜지스터이다.
매립 채널 주입에 의한 FinFET 디바이스는 플리커 잡음에서의 10배까지의 감소 및 벌크 CMOS 디바이스에 걸쳐 40%까지의 감소된 전력 소비를 제공할 수 있다. 길버트-셀 믹서에서 본 개시내용에 따른 매립 채널 FinFET 트랜지스터의 이용은 10배까지 줄어든 플리커 잡음과 함께 개선된 믹서 선형성을 제공할 수 있다. 개시된 매립 채널 FinFET 트랜지스터를 사용하는 길버트-셀 믹서는 벌크 CMOS 디바이스의 한계 전압의 절반 미만인 감소된 한계 전압에서 증가된 드레인 전류 및 전력 효율과 함께 선형성에서의 2~3배 개선을 제공한다. 동일한 전류 수준에서, 본 개시내용에 따른 매립 채널 FinFET 트랜지스터를 보유하는 믹서는 3dB만큼 선형성을 개선할 수 있다. 동일 선형성에서, 매립 채널 FinFET 트랜지스터를 보유하는 믹서는 믹서의 DC 전류를 약 40%만큼 감소시킬 수 있다. 도 19a, 19b, 19c 및 19d는 16 nm 게이트 길이 FinFET를 갖는 표준 디바이스와 비교하여 본 개시내용에 따른 매립 채널을 갖는 16 nm 게이트 길이 FinFET를 포함하는 믹서의 드레인 전류에 대한 게이트 한계 전압을 도시한 것이다. 본 개시내용에 따른 매립 채널을 갖는 16 nm 게이트 길이 FinFET를 갖는 믹서(BC)와 16 nm 게이트 길이 FinFET를 갖는 표준 디바이스(C)의 비교가 도 20에 도시되어 있다. 도 19a-19d 및 도 20에서, 매립 채널 디바이스는 증가된 전압에 따라 보다 선형인 증가된 전류를 갖는 반면, 통상적인 디바이스는 전압에 따라 기하급수적 증가된 전류를 갖는 것으로 밝혀졌다. 따라서, 본 개시내영에 따른 디바이스는 선형성 및 저 잡음, 및 트랩 변동에 따른 비민감도를 증가시킨다.
전술한 내용은 해당 기술 분야의 당업자가 본 개시내용의 양태를 보다 잘 이해할 수 있도록 여러 실시양태 또는 실시예의 피처를 요약 기술한 것이다. 해당 기술 분야의 당업자라면, 당업자는 본 명세서에 도입된 실시양태 또는 실시예의 동일 목적을 수행하고/수행하거나, 그 실시양태 또는 실시예의 동일 이점을 달성하기 위해 다른 공정 및 구조를 설계 또는 변형하기 위한 기초로서 본 개시내용을 용이하게 이용할 수 있어야 한다. 또한, 해당 기술 분야의 당업자는 그러한 균등론적 구성이 본 개시내용의 기술적 사상 및 영역으로부터 벗어나지 않는다는 점, 및 당업자가 본 개시내용의 기술적 사상 및 영역으로부터 벗어나는 일 없이 본 명세서에서 다양한 변경예, 대체예, 및 대안예를 달성할 수 있다는 점을 이해해야 한다.

Claims (10)

  1. 반도체 디바이스를 제조하는 방법에 있어서,
    기판 위에 제1 방향으로 연장되는 하나 이상의 핀을 형성하는 단계로서, 상기 하나 이상의 핀은 상기 제1 방향에 따른 제1 영역 및 상기 제1 방향에 따른 제1 영역의 양측에 있는 제2 영역을 포함하는 것인 상기 하나 이상의 핀을 형성하는 단계와,
    도펀트를 상기 핀의 제1 영역에 주입하되, 상기 제2 영역에는 주입하지 않는 단계 - 상기 제1 영역의 도핑된 영역은 상기 제1 영역의 비도핑 영역에 의해 둘러싸이며 상기 제1 영역의 비도핑 영역 내에 내장(embed)됨 - 와,
    상기 핀의 제1 영역 위에 놓인 게이트 구조물을 형성하는 단계와,
    상기 핀의 제2 영역 상에 소스/드레인을 형성하는 단계
    를 포함하는 반도체 디바이스를 제조하는 방법.
  2. 제1항에 있어서, 상기 도펀트는, i) P, As 및 Sb로 이루어진 군으로부터 선택된 N 형 도펀트이거나, ii) B, BF2, Al 및 Ga로 이루어진 군으로부터 선택된 P 형 도펀트인 것인 반도체 디바이스를 제조하는 방법.
  3. 제1항에 있어서, 상기 제1 영역에서의 상기 도펀트의 농도는 1.5 × 1016 내지 2.0 × 1020 원자/cm3인 것인 반도체 디바이스를 제조하는 방법.
  4. 제1항에 있어서,
    상기 핀 위에 레지스트 층을 형성하는 단계와,
    상기 도펀트를 주입하기 전에 상기 핀의 제1 영역을 노출시키기 위해서 상기 레지스트 층 내에 개구부를 형성하는 단계를 더 포함하는 반도체 디바이스를 제조하는 방법.
  5. 제4항에 있어서, 상기 도펀트를 주입한 이후에 상기 레지스트 층을 제거하는 단계를 더 포함하는 반도체 디바이스를 제조하는 방법.
  6. 반도체 디바이스에 있어서,
    기판 위에 제1 방향으로 연장되는 하나 이상의 핀으로서, 상기 하나 이상의 핀은 제1 방향에 따른 제1 영역 및 상기 제1 방향에 따른 제1 영역의 양측에 있는 제2 영역을 포함하고, 상기 핀의 상기 제1 영역은 1.5 × 1016 내지 2.0 × 1020 원자/cm3의 농도의 도펀트를 포함하며, 상기 제1 영역의 도핑된 영역은 상기 제1 영역의 비도핑 영역에 의해 둘러싸이며 상기 제1 영역의 비도핑 영역 내에 내장되는 것인 상기 하나 이상의 핀과,
    상기 핀의 제1 영역 위에 놓인 게이트 구조물과,
    상기 핀의 제2 영역 상에 형성되는 소스/드레인
    을 포함하는 반도체 디바이스.
  7. 제6항에 있어서, N 형 도펀트는 상기 핀의 상부로부터 15 내지 20 nm에 그리고 상기 핀의 제1 방향을 따라 연장되는 측벽으로부터 핀 두께 방향으로 3 내지 7 nm에 위치한 핀 내의 영역에 중심을 둔(centered) 것인 반도체 디바이스.
  8. 제6항에 있어서, 7 nm 내지 16 nm의 소스 영역과 드레인 영역 간의 게이트 길이를 가지는 반도체 디바이스.
  9. 제6항에 있어서, 상기 소스/드레인의 영역은 융기된 소스/드레인 영역인 것인 반도체 디바이스.
  10. 길버트-셀 믹서(Gilbert-cell mixer)에 있어서,
    서로 전기적으로 접속된 복수의 트랜지스터를 포함하고,
    트랜지스터 중 적어도 하나는,
    기판 위에 제1 방향으로 연장되는 하나 이상의 핀으로서, 상기 하나 이상의 핀은 상기 제1 방향에 따른 제1 영역 및 상기 제1 방향에 따른 상기 제1 영역의 양측에 있는 제2 영역을 포함하고, 상기 핀의 상기 제1 영역은 1.5 × 1016 내지 2.0 × 1020 원자/cm3의 농도의 도펀트를 포함하며, 상기 제1 영역의 도핑된 영역은 상기 제1 영역의 비도핑 영역에 의해 둘러싸이며 상기 제1 영역의 비도핑 영역 내에 내장되는 것인 상기 하나 이상의 핀과,
    상기 핀의 제1 영역 위에 놓인 게이트 구조물과,
    상기 핀의 제2 영역 상에 형성된 소스/드레인
    을 포함하는 것인 길버트-셀 믹서.
KR1020150163445A 2015-06-05 2015-11-20 매립 채널 반도체 디바이스 및 그 제조 방법 KR101795867B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/732,661 US9761584B2 (en) 2015-06-05 2015-06-05 Buried channel semiconductor device and method for manufacturing the same
US14/732,661 2015-06-05

Publications (2)

Publication Number Publication Date
KR20160143482A KR20160143482A (ko) 2016-12-14
KR101795867B1 true KR101795867B1 (ko) 2017-11-08

Family

ID=57452847

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150163445A KR101795867B1 (ko) 2015-06-05 2015-11-20 매립 채널 반도체 디바이스 및 그 제조 방법

Country Status (3)

Country Link
US (4) US9761584B2 (ko)
KR (1) KR101795867B1 (ko)
CN (1) CN106252232B (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106971977B (zh) * 2016-01-13 2020-01-31 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN107437506B (zh) * 2016-05-27 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107799421B (zh) * 2016-09-05 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10714598B2 (en) 2017-06-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device
US10510875B2 (en) 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
KR102392058B1 (ko) * 2017-11-06 2022-04-28 삼성전자주식회사 집적회로 소자의 제조 방법
CN109411415B (zh) * 2018-09-07 2021-04-30 上海集成电路研发中心有限公司 一种半导体结构的形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011061196A (ja) * 2009-09-01 2011-03-24 Taiwan Semiconductor Manufacturing Co Ltd 蓄積型finfet、回路、及びその製造方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657252B2 (en) * 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
JPWO2006006438A1 (ja) * 2004-07-12 2008-04-24 日本電気株式会社 半導体装置及びその製造方法
US20060197129A1 (en) 2005-03-03 2006-09-07 Triquint Semiconductor, Inc. Buried and bulk channel finFET and method of making the same
US7348225B2 (en) 2005-10-27 2008-03-25 International Business Machines Corporation Structure and method of fabricating FINFET with buried channel
KR100799101B1 (ko) 2005-12-27 2008-01-29 주식회사 하이닉스반도체 핀 트랜지스터 제조 방법
US20080111185A1 (en) 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
US7838913B2 (en) 2008-05-28 2010-11-23 International Business Machines Corporation Hybrid FET incorporating a finFET and a planar FET
DE102008030853B4 (de) * 2008-06-30 2014-04-30 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Dreidimensionaler Transistor mit einer Doppelkanal-Konfiguration
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
KR20100079080A (ko) 2008-12-30 2010-07-08 주식회사 동부하이텍 길버트 셀 타입 믹서
US8860124B2 (en) 2009-01-15 2014-10-14 Macronix International Co., Ltd. Depletion-mode charge-trapping flash device
US7906802B2 (en) * 2009-01-28 2011-03-15 Infineon Technologies Ag Semiconductor element and a method for producing the same
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8487378B2 (en) * 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8848423B2 (en) * 2011-02-14 2014-09-30 Shine C. Chung Circuit and system of using FinFET for building programmable resistive devices
US20120217467A1 (en) 2011-02-24 2012-08-30 Globalfoundries Singapore Pte. Ltd. Buried channel finfet sonos with improved p/e cycling endurance
US8946829B2 (en) * 2011-10-14 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Selective fin-shaping process using plasma doping and etching for 3-dimensional transistor applications
US8604548B2 (en) * 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
CN103137685B (zh) * 2011-11-24 2015-09-30 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN103137686B (zh) * 2011-11-24 2016-01-06 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8928086B2 (en) 2013-01-09 2015-01-06 International Business Machines Corporation Strained finFET with an electrically isolated channel
KR101996325B1 (ko) 2012-05-14 2019-07-04 삼성전자주식회사 매립형 채널 트랜지스터 및 이의 형성 방법
JP5779602B2 (ja) 2012-05-30 2015-09-16 京セラドキュメントソリューションズ株式会社 電子機器及び画像形成装置
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US20140264607A1 (en) 2013-03-13 2014-09-18 International Business Machines Corporation Iii-v finfets on silicon substrate
US9847404B2 (en) * 2013-07-06 2017-12-19 Semiwise Limited Fluctuation resistant FinFET
US9331204B2 (en) 2014-03-13 2016-05-03 Macronix International Co., Ltd. High voltage field effect transistors and circuits utilizing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011061196A (ja) * 2009-09-01 2011-03-24 Taiwan Semiconductor Manufacturing Co Ltd 蓄積型finfet、回路、及びその製造方法

Also Published As

Publication number Publication date
US11094694B2 (en) 2021-08-17
US20200135730A1 (en) 2020-04-30
US11646312B2 (en) 2023-05-09
CN106252232B (zh) 2020-01-10
US20160358911A1 (en) 2016-12-08
US20170352660A1 (en) 2017-12-07
CN106252232A (zh) 2016-12-21
US9761584B2 (en) 2017-09-12
KR20160143482A (ko) 2016-12-14
US20210375862A1 (en) 2021-12-02
US10529711B2 (en) 2020-01-07

Similar Documents

Publication Publication Date Title
US11646312B2 (en) Buried channel semiconductor device and method for manufacturing the same
TWI570915B (zh) 半導體裝置以及製造鰭式場效電晶體裝置的方法
US10158018B2 (en) Semiconductor device and manufacturing method thereof
KR102030241B1 (ko) 반도체 소자 및 그 제조 방법
KR101808919B1 (ko) 도핑된 격리 절연 층을 갖는 finfet을 제조하기 위한 방법
CN106505103B (zh) 半导体装置及其制造方法
US10276574B2 (en) Semiconductor device and manufacturing method thereof
US11791773B2 (en) High-implant channel semiconductor device and method for manufacturing the same
US8389371B2 (en) Method of fabricating integrated circuit device, including removing at least a portion of a spacer
US11075269B2 (en) Semiconductor device and manufacturing method thereof
US10163728B2 (en) Semiconductor device having a stacked fin structure and manufacturing method thereof
US9691903B2 (en) Semiconductor device and manufacturing method thereof
US10672795B2 (en) Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior
US11404418B2 (en) Semiconductor device and manufacturing method thereof
CN111129147B (zh) 制造半导体器件的方法和半导体器件

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant