JP2009544166A - キャパシタレス1トランジスタdramセル、キャパシタレス1トランジスタdramセルのアレイを含む集積回路、ならびに、キャパシタレス1トランジスタdramセルのラインを形成する方法 - Google Patents

キャパシタレス1トランジスタdramセル、キャパシタレス1トランジスタdramセルのアレイを含む集積回路、ならびに、キャパシタレス1トランジスタdramセルのラインを形成する方法 Download PDF

Info

Publication number
JP2009544166A
JP2009544166A JP2009520745A JP2009520745A JP2009544166A JP 2009544166 A JP2009544166 A JP 2009544166A JP 2009520745 A JP2009520745 A JP 2009520745A JP 2009520745 A JP2009520745 A JP 2009520745A JP 2009544166 A JP2009544166 A JP 2009544166A
Authority
JP
Japan
Prior art keywords
gate
spaced
word line
line
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009520745A
Other languages
English (en)
Other versions
JP5181304B2 (ja
Inventor
ゴンザレス,フェルナンド
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2009544166A publication Critical patent/JP2009544166A/ja
Application granted granted Critical
Publication of JP5181304B2 publication Critical patent/JP5181304B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

本発明は、半導性材料(18)内に収容される一組の間隔のあいたソース/ドレイン領域(60、62)を含むキャパシタレス1トランジスタDRAMセルを含む。電気的浮遊領域(26)は、半導性材料内のソース/ドレイン領域の間に配置される。第一のゲート(24)は、ソース/ドレイン領域の間のボディ領域から間隔があけられ、且つ、容量的に結合される。相対する導電的に相互接続する一組の第二のゲート(44、46)は、第一のゲートから間隔があけられ、横方向外側に収容される。第二のゲートは、第一のゲートの横方向外側にあり、ソース/ドレイン領域の組の間にあるボディ領域から、間隔があけられ、容量的に結合される。キャパシタレス1トランジスタDRAMセルのラインを形成する方法が開示される。

Description

本発明は、キャパシタレス1トランジスタDRAMセル、キャパシタレス1トランジスタDRAMセルのアレイを含む集積回路、ならびに、キャパシタレス1トランジスタDRAMセルのラインを形成する方法に関する。
ダイナミックランダムアクセスメモリ(DRAM)などの半導体メモリは、データを蓄積するために、コンピュータシステムで広く使用される。DRAMセルは、通常、アクセス電界効果トランジスタ(FET)と、蓄電キャパシタを含む。アクセスFETは、読み出し動作および書き込み動作の間に、データ電荷を蓄電キャパシタに転送したり、蓄電キャパシタから転送したりすることが可能である。蓄電キャパシタ上のデータ電荷は、リフレッシュ動作の間に周期的にリフレッシュされる。
キャパシタレス1トランジスタDRAMセルもまた開発されている。そのようなセルの一種は、例えば、U.S. Patent No. 6,969,662に開示される、セミコンダクタ・オン・インシュレータトランジスタの浮遊ボディ効果を利用する。そのようなメモリセルは、ボディに隣接して空乏し、且つゲート誘電体によってボディから分離されたチャネルを有する、部分的に空乏するか、もしくは全体的に空乏した、シリコン・オン・インシュレータトランジスタ(もしくはバルク基板材料内に形成されたトランジスタ)を含んでもよい。トランジスタのボディ領域は、誘電体、またはボディ領域の下に配置される非導電性領域の見えるところで、電気的に浮遊している。メモリセルの状態は、セミコンダクタ・オン・インシュレータトランジスタのボディ領域内での電荷の集中により、決定される。
本発明は、上記で明らかとなった問題を取り扱うことによって動機付けられたとはいえ、それに限定されるものでは決してない。本発明は、文字で表される付随する請求項によってのみ限定され、明細書を参照する解釈上の限定や他の限定をすることなく、均等論にしたがっている。
本発明の好ましい実施形態は、次の付随する図面を参照して以下に記述される。
本発明の態様にしたがうプロセスにおける、概略的な半導体基板の上平面図である。 図1の線2-2を通る概略的な断面図である。 図1によって示されるステップに続くプロセスステップにおける図1の基板の図である。 図3の線4-4を通る概略的な断面図である。 図4によって示されるステップに続くプロセスステップにおける図4の基板の図である。 図5によって示されるステップに続くプロセスステップにおける図5の基板の図である。 図6によって示されるステップに続くプロセスステップにおける図6の基板の図である。 図7によって示されるステップに続くプロセスステップにおける図7の基板の図である。 図8の基板の概略的な斜視図である。 図8と図9の基板の概略的な上平面図であり、なお図8は、図10の線8-8に沿ったものである。 図8によって示されるステップに続くプロセスステップにおける図8の基板の図である。 図11の実施形態に代替する実施形態の概略的な断面図である。
始めに、キャパシタレス1トランジスタDRAMセルを形成するための例示的な方法について説明を行う。本発明の態様は、製造方法とは独立した、キャパシタレス1トランジスタDRAMセル、およびキャパシタレス1トランジスタDRAMセルのアレイを含む集積回路、をも含む。
図1と2を参照すると、基板(好ましくは半導体基板)は概して参照番号10で示される。本文献の文脈では、「半導体基板」、または「半導性基板」という用語は、半導性ウェハ(単独、もしくは他の材料をその上に含む部品のいずれでもよい)および半導性材料層(単独、もしくは他の材料を含む部品のいずれでもよい)などのバルク半導性材料を含むがそれらに限定されない半導性材料を含む、任意の構造を意味するように画定される。「基板」という用語は、上述の半導性基板を含むがそれらに限定されない任意の支持構造を言う。基板10は、例えばバルク単結晶シリコンなどのベース基板12を含む。しかしながら、基板10は、現存するか、もしくはまだ開発されていない他の基板を含んでもよく、例えばセミコンダクタ・オン・インシュレータ基板を含む。
基板10は、半導性材料18の間隔のあいたアイランド20の例示的なライン14、16を含むように形成される。ライン14、16は基本的に一直線をなす線として示されるが、曲線、ぎざぎざの線、角のある線、もしくは他の形状の線も勿論企図される。例示的な好ましい半導性材料18は、単結晶シリコンであり、例えば、例示的なバルク半導体基板材料12で製造される。例示のためだけに、示されたアイランド20を形成する例示的な方法は、アイランド20に対して横方向に絶縁材料22を形成するような、現存するかもしくはまだ開発されていないトレンチ技術と再充填技術による。例示的な好ましい材料は、二酸化シリコンおよび/または窒化シリコンのうち、一つ、もしくはそれらの組み合わせ、を含む。絶縁材料22は、例えば、酸素原子をバルク基板材料12にアイランド20のすぐ下のピーク注入深度までイオン注入し、そこから二酸化シリコンを形成することによって、アイランド20の高さ方向からみて真下に形成されうる。代替として、例示のためだけであり、好ましいほどではないが、絶縁材料22が堆積されてもよく、アイランド開口部20がその中にエッチングされてから、続いて例えば単結晶シリコンおよび/または多結晶シリコンなどの半導性材料で充填されてもよい。さらに代替として、横方向に相対するトレンチが半導体基板12に形成され、続いてアイランド20の真下を横方向にアンダーカットエッチングする、一つ以上の技術が勿論利用されうる。ここで、アンダーカットされた容積は、続いて一つ以上の絶縁材料で充填される。とにかく、一つの例示的な手段においては、絶縁材料22は、アイランド20の各々、および、そのようなアイランドの接触する半導性材料18、に対して横方向の周囲および真下に収容されるものとみなされうる。つづいて、半導性材料18からなる間隔のあいたアイランド20のライン14に関する、キャパシタレス1トランジスタDRAMセルのラインを形成する方法に関する説明を容易にするために、説明が行われる。
図3と4を参照すると、ワード線24が形成され、これは間隔のあいたアイランド20のライン14に共通し、その上に伸びる。ワード線24は、それぞれの間隔のあいたアイランド20の浮遊ボディ領域26上に形成される。ワード線24は、例えば、例示的に示される導電層28によって/を介して、ボディ領域26から間隔が離され、且つ、ボディ領域26に容量性結合される。そのような層は、任意の適切な誘電体を含んでもよく、一つの例ではあるが、好ま
しいシリコン半導性材料18から熱により成長する二酸化シリコンであってもよい。一種もしくは数種の材料28の例示的な好ましい厚さの範囲は、12オングストロームから100オングストロームである。さらに例示の目的のためだけであるが、材料18の例示的な好ましい深さは、500オングストロームから1000オングストロームである。ワード線24は、耐熱性金属、耐熱性金属ケイ化物、および/または導電性にドープされた多結晶シリコンなどの材料のうち任意の一つ、もしくはそれらの組み合わせを含むことが好ましい。例示的な材料である窒化シリコンおよび/または二酸化シリコンなどの絶縁キャップ30がワード線24を覆って収容される。説明を続けるために、ワード線24は例示図3の図面にある端部32を含むとみなされうる。説明を続けるために、浮遊ボディ領域26は、そこに対して収容される絶縁材料22である底面34を持つとみなされうる。底面34の下の絶縁材料22の例示的な好ましい厚さの範囲は、一つの実施例においてだが、500オングストロームから3000オングストロームである。
図5を参照すると、絶縁材料36は、複数のワード線/複数のゲート24の複数の側壁を覆って形成される。そのような材料は、例えば、異なる絶縁材料の二つ以上の層を含む示された領域36の各々であるような、単一の材料、もしくは一種以上の材料からなってよい。例示的な好ましい材料は、二酸化シリコン、窒化シリコン、酸窒化シリコン、二酸化ハフニウム、および/または酸化アルミニウムを含む。材料36の例示的な好ましい厚さは、50オングストロームから150オングストロームである。そのような材料36は、一例として、熱成長によって、もしくはワード線24の材料の側壁を覆う堆積によって、形成されてもよい。例示のためだけの代替として、そのような材料36は、堆積およびそれに続くマスクレス異方性スペーサエッチングによって形成されてもよい。
導電層38はワード線24を覆って、且つワード線24から空間をあけて形成されている。例えば、そこから絶縁/誘電材料30、36によって間隔があけられる。層38の例示的な好ましい材料は、窒化チタン、ポリシリコン(p 型またはn 型)、アルミニウム、ケイ化コバルトを含み、層38の例示的な好ましい厚さの範囲は50オングストロームから500オングストロームである。
図6を参照すると、マスキングブロック40が導電層38とワード線24の上に形成されている。例示の目的のためだけであるが、マスキングブロック40の好ましい材料はフォトレジストを含む。説明を続けるために、マスキングブロック40は、導電層38に関してブロック40が収容される少なくとも近位に、間隔のあいた、横方向に相対する端42を持つとしてみなされうる。
図7を参照すると、マスキングブロック40は、導電層38を越えて横方向外側に互いに離れるように、相対する横方向の端42を動かすのに効果的に熱せられている。そのようなことを行うための例示的な技術は、パターンされたフォトレジストマスキングブロック40を150℃で1分から3分、熱することを含む。例示的な好ましい実施形態では、相対する横方向の端42は、ワード線24の横方向の大きさの外側の、材料38の横方向の厚さとほぼ同じ距離に、横方向外側に動かされる。ここで、マスキングブロック40は、最初に、ワード線24とそれを覆う絶縁キャップ材料30がパターンされるところのパターンとほぼ一致するようにパターンされる。
図8から10を参照すると、導電層38は、ワード線24の相対する側面に沿い、横方向に隣接する間隔のあいたアイランド20のライン14に共通しその上に広がる、一組の相互接続したゲート線44、46を形成するためのマスクとしてマスキングブロック40(不図示)を用いてエッチングされている。この際、一組のゲート線44、46は、それぞれの間隔のあいたアイランド20のそれぞれの浮遊ボディ領域26の上に収容されている。このようにすると、導電層38を、ワード線の相対する側面に沿い、且つ、横方向に隣接する、間隔のあいたアイ
ランドのラインに共通しその上に広がる、一組のゲート線にパターンする好ましい方法が得られるが、これは一例である。説明を続けるために、一組のゲート線44、46は、ワード線端部32に近位の各端部48、50を含むとしてみなされうる。一つの例示的な手段においては、層38のパターニングは、例えば示されるように、ワード線端部32は、ゲート線端部48、50のいずれかと縦方向(長手方向)に同位置に配置されないという結果になる。一つの好ましい手段においては、導電層38のパターニングは、例えば示されるように、ワード線24が一組のゲート線44、46の各端部48、50を長手方向に越えて延びるという結果になる。とにかく、一つの好ましい手段においては、パターニングは、一組のゲート線44、46をワード線24の長さより短くなるように形成する。
図9と10を参照すると、第一の導電性コンタクト52はワード線24に形成され、第二の導電性コンタクト54はゲート線の組44、46に形成される。したがって、異なる第一と第二の導電性コンタクトは、最も好ましい実施形態において、当業者に認識されるように別々に制御されうるように、ならびに例えば以下に示されるように各ゲート線44、46およびにワード線24に関連する。コンタクト52と54は、続いて堆積された誘電性材料を通って、例示的に示された位置に形成されうるように、図9と10で破線の円で概略的にのみ示される(図面での正確さを示すためではない)。一つの例示的な好ましい手段においては、第一の導電性コンタクト52は、例えば示されるように、ゲート線の組44、46の各端部48、50を長手方向に越えて延びるワード線24のいくつかの部分に形成される。
図11を参照すると、間隔のあいたソース/ドレイン領域60、62の各組が、相互接続したゲート線の組44、46の横方向外側に、アイランド20の半導性材料18内に形成される。したがって、典型的かつ好ましくは、そのようなソース/ドレイン領域は、導電層38のパターニング後に形成される。とにかく、図11は例示的に製造されたキャパシタレス1トランジスタDRAMセル75を示す。
一態様では、本発明は、製造方法とは無関係のキャパシタレス1トランジスタDRAMセル、および、そのような複数のDRAMセルを製造することが好ましく、典型的であるにも関わらず、そのようなDRAMセルの複数が製造されるかどうかには無関係の、キャパシタレス1トランジスタDRAMセルを企図する。そのようなDRAMセルは、半導性材料内に収容される一組の間隔のあいたソース/ドレイン領域60、62を含む。上述の領域60、62は半導性材料18の例示的なアイランド20内に形成されるが、例示的な構造である。電気的浮遊ボディ領域は半導性材料内のソース/ドレイン領域の間に配置される。さらに例示のためのみに、例示的なセルは十分に空乏されないように示され、ソース/ドレイン領域60、62の直に下の半導性材料は電気的浮遊ボディ領域/材料も含んでいる。
第一のゲートは、ソース/ドレイン領域の間のボディ領域から間隔をあけて離され、且つ、容量的に結合される。個々のアイランド20上に収容されるワード線24の部分は、そのような第一のゲートであるが、一例である。相対する導電的に相互接続した一組の第二のゲートは、第一のゲートから間隔をあけて離され、横方向外側に収容される。第二のゲートは、第一のゲートの横方向外側、およびソース/ドレイン領域の組の間のボディ領域から間隔があけられ、容量的に結合される。例示の目的のみで、第二のゲート44,46はそのような第二のゲートの例示的な組を構成する。一つの示された好ましい手段においては、第二のゲート44、46は、第二のゲート44,46の組の間の第一のゲート24を覆って高さ方向に延びる、導電性材料(例えば導電性材料領域70)によって導電的に互いに相互接続される。第二のゲート44、46の組は、他の方法によって導電的に相互接続されてもよく、例えば例示のためだけに、はじめに分離された第二のゲート44、46を覆って形成される別々の導電層によって導電的に相互接続されてもよい。そのような場合、そのような導電層は、ゲート44、46が作られる一種もしくは複数の材料の層と同一であっても、もしくは異なってもよい。さらに勿論、ゲート44と46は、同一の組成である必要はないが、それが好まし
い。
一つの好ましい実施例においては、キャパシタレス1トランジスタDRAMセルは、半導性材料のアイランドを含む基板を含む。絶縁材料はアイランドに対して横方向および下に収容され、アイランドの半導性材料を接続する。一組の間隔のあいたソース/ドレイン領域は、アイランド半導性材料内に収容される。電気的浮遊ボディ領域は、アイランド半導性材料内のソース/ドレイン領域の間に配置される。第一のゲートは、アイランドのソース/ドレイン領域の間のアイランドボディ領域から間隔をあけて離され、容量的に結合される。一組の導電性第二ゲートは、第一のゲートから間隔があけられ、横方向外側に収容される。この際、第二のゲートは、第一のゲートの横方向外側と、ソース/ドレイン領域の組の間のボディ領域から間隔があけられ、容量的に結合している。そのようなゲートは、上述した任意の方法および構造で達成されうる。
図12は、例示的な追加の手段ならびに、図11の実施形態と代替し、且つ、図11に相当する実施形態を示す。始めに説明された実施形態と同様の数字を適切な場合に使っており、ここで接尾辞「a」または異なる数字で相違があることを示してある。図12では、絶縁材料22aは、アイランド20それぞれに対して横方向、および、下に収容されるのが好ましく、そのような各アイランドの半導性材料18に接触することが好ましい。導電的にドープされた半導性材料80は、絶縁材料22aの外側のアイランド20それぞれに対して、横方向および下に収容される。例示的な好ましい材料80は、導電的にドープされたp 型もしくはn 型の多結晶シリコンである。絶縁材料22aの厚さが、アイランド20の下とアイランド20の横方向の側壁の中間の両方について、導電的にドープされた半導性材料80との間で、200オングストローム以下となるのが好ましい。材料22aのより好ましい厚さの範囲は、50オングストロームから150オングストロームである。図12の構造は、勿論、現存するか、もしくはまだ開発されていない任意の方法によって製造されてよい。
当業者は、上述の例示的なDRAMセル内、およびそのようなDRAMセルのアレイを含む集積回路での、書き込み、読み出し、リフレッシュ、および/またはデータ保持のためのさまざまな動作電圧を、認識し、開発するであろう。例示のためだけに、以下の表は例示的な動作電圧を示す。ここで、Vi は第一のゲート電圧、Vcs(CS: 導電性スペーサ)は、第二のゲートの組の電圧、Vt は閾値電圧、VS はソース電圧、ならびに VD はドレイン電圧である。さらに例示のためだけに、図12の実施形態では、導電性包囲半導性材料80が利用され、そのような材料は、好ましくは、-3 Vから-10 Vのいくらかの適した固定電圧で一定に維持される。包囲した導電的にドープされた半導性材料80を利用することについての好ましいが限定ではない理由は、構造の壁において誘電容量によって電荷を集めるために、トランジスタの好ましいpolyの両側で同じ電位を確立し、維持することである。
Figure 2009544166
キャパシタレス1トランジスタDRAMセルの動作のための例示的な技術と構造が、例示のために、U.S. Patent No. 6,969,662、U.S. Patent Application Publication No. 2005/
0017240、2005/0063224、および、Kuo et ai., “A Capacitorless Double-Gate DRAM Cell Design For High Density Applications”, IDEM, IEEE 2002, pp. 843-846、Yoshida
et al., “A Capacitorless 1 T-DRAM Technology Using Gate-Induced Drain-Leakage (GIDL) Current For Low-Power And High-Speed Embedded Memory”, IEEE Transaction on Electron Devices, Vol.53, No.4, April 2006, pp. 692-697に 開示される。U.S.Patent No. 5,714,786、6,005,273、6,090,693、および、7,005,710の開示は、参照として本明細書に組み込まれる。

Claims (39)

  1. 半導性材料内に収容される、間隔のあいたソース/ドレイン領域の組と、
    前記半導性材料内の前記ソース/ドレイン領域の間に配置される、電気的浮遊ボディ領域と、
    前記ソース/ドレイン領域の間の前記ボディ領域から間隔をあけて配置され、且つ、容量的に結合する、第一のゲートと、
    前記第一のゲートから間隔をあけて配置され、且つ、前記第一のゲートの外側に横方向に収容される、相対して導電的に相互接続する第二のゲートの組であって、
    前記第二のゲートは、前記第一のゲートの横方向外側で、前記ソース/ドレイン領域の組の間にある前記ボディ領域から間隔があけられ、且つ、容量的に結合されている、第二のゲートと、
    を含む、キャパシタレス1トランジスタDRAMセル。
  2. 前記第二のゲートの組は、前記第二のゲートの組の間の前記第一のゲート上に高さ方向に広がる、導電性材料によって導電的に相互接続される、
    請求項1のDRAMセル。
  3. 前記第一のゲートは、少なくとも二つの絶縁材料によって、前記第二のゲートから分離される、
    請求項1のDRAMセル。
  4. 前記浮遊ボディ領域が、底面と、前記底面に接して収容される絶縁層を有し、前記絶縁層は500オングストロームから3,000オングストロームの厚さを持つことを特徴とする、
    請求項1のDRAMセル。
  5. 前記浮遊ボディ領域が、底面と、前記底面に接して収容される絶縁層を有し、
    導電的にドープされた半導性材料が、前記底面の下の前記絶縁層に接して収容される、請求項1のDRAMセル。
  6. 前記絶縁層は、前記底面と前記導電的にドープされた半導性材料の中間に、200オングストローム以下の厚さを持つ、
    請求項5のDRAMセル。
  7. 半導性材料のアイランドを含む基板と、
    前記アイランドの横方向の周囲および下に収容され、前記アイランドの半導性材料に接続している、絶縁材料と、
    前記アイランド半導性材料内に収容される、間隔のあいたソース/ドレイン領域の組と、
    前記アイランド半導性材料内の前記ソース/ドレイン領域の間に配置される、電気的浮遊ボディ領域と、
    前記アイランドソース/ドレイン領域の間の前記アイランドボディ領域から間隔をあけて離され、且つ、容量的に結合する、第一のゲートと、
    前記第一のゲートから間隔をあけて離され、且つ、横方向外側に収容される、第二のゲートの組であって、前記第二のゲートは、前記第一のゲートの横方向外側で、前記ソース/ドレイン領域の組の間にある前記ボディ領域から間隔があけられ、且つ、容量的に結合されている、第二のゲートと、
    を含む、キャパシタレス1トランジスタDRAMセル。
  8. 前記絶縁材料の外側のアイランドの、横方向の周囲および下に収容される導電的にドー
    プされた半導性材料を含む、
    請求項7のDRAMセル。
  9. 前記絶縁材料は、前記アイランドの下に、200オングストローム以下の厚さを持つ、
    請求項8のDRAMセル。
  10. 前記絶縁材料は、前記アイランドの横方向の側壁と、前記導電的にドープされた半導性材料の中間に、200オングストローム以下の厚さを持つ、
    請求項8のDRAMセル。
  11. 前記絶縁材料は、前記アイランドの下と、前記アイランドの横方向の側壁と、前記導電的にドープされた半導性材料の中間に、200オングストローム以下の厚さを持つ、
    請求項8のDRAMセル。
  12. 前記絶縁材料は、前記アイランドの下に、50オングストロームから150オングストロームの厚さを持つ、
    請求項8のDRAMセル。
  13. 前記絶縁材料は、前記アイランドの横方向の側壁と、前記導電的にドープされた半導性材料の中間に、50オングストロームから150オングストロームの厚さを持つ、
    請求項8のDRAMセル。
  14. キャパシタレス1トランジスタDRAMセルのアレイを含む集積回路であって、
    基板内に収容される半導性材料の間隔のあいたアイランドのラインと、
    前記間隔のあいたアイランドの個々に関連して収容される、独立したキャパシタレス1トランジスタDRAMセルと、
    を含み、前記独立したセルは、
    前記アイランドのそれぞれの半導性材料内に収容されるソース/ドレイン領域の組と、
    前記アイランドのそれぞれの半導性材料内の前記ソース/ドレイン領域の間に配置される電気的浮遊ボディ領域と、
    前記ソース/ドレイン領域の組のそれぞれの間の間隔のあいたアイランドの前記ラインに共通し、その上に延びるワード線からなる第一のゲートであって、前記ワード線は、前記ソース/ドレイン領域の組のそれぞれの間の前記アイランドのそれぞれの前記ボディ領域のそれぞれから間隔があけられ、容量的に結合される、第一のゲートと、
    前記第一のゲートから間隔があけられ、前記第一のゲートの横方向外側に収容される、相対して導電的に相互接続する第二のゲートの組であって、前記第二のゲートは、前記第一のゲートのそれぞれの横方向外側、および、前記アイランドのそれぞれの前記ソース/ドレイン領域の組のそれぞれの間の前記ボディ領域のそれぞれから間隔があけられ、且つ容量的に結合され、前記相対して導電的に相互接続する第二のゲートの組は、前記間隔のあいたアイランドのラインに共通し、前記ワード線の高さ方向および横方向を覆って、且つ前記ワード線に沿って収容される導電線を含む、第二のゲートと、
    を含む、
    集積回路。
  15. 前記ワード線に接続する第一の導電性コンタクトと、前記導電線に接続する異なる第二の導電性コンタクトを含む、
    請求項14の集積回路。
  16. 前記ワード線は、前記導電線より長いことを特徴とする、
    請求項14の集積回路。
  17. 前記導電線は端部を有し、前記ワード線は前記導電線の端部の近位にある端部を持ち、前記ワード線端部と前記導電線端部は、長手方向に同位置に配置されないことを特徴とする、
    請求項14の集積回路。
  18. 前記ワード線は、前記導電線より長いことを特徴とする、
    請求項17の集積回路。
  19. 前記ワード線端部は、前記導電線端部の長手方向外側に収容される、
    請求項17の集積回路。
  20. 前記ワード線は、前記導電線より長いことを特徴とする、
    請求項19の集積回路。
  21. 前記アイランドのそれぞれの横方向の周囲および下に収容され、前記アイランドのそれぞれの半導性材料と結合する絶縁材料と、
    前記絶縁材料の外側のアイランドの横方向の周囲および下に収容される、導電的にドープされた半導性材料と、
    を含む、請求項14の集積回路。
  22. 前記絶縁材料は、前記アイランドのそれぞれの下および、前記アイランドのそれぞれの横方向の側壁と前記導電的にドープされた半導性材料の中間に、200オングストローム以下の厚さを持つ、
    請求項21の集積回路。
  23. 基板に関連して間隔のあいたアイランドのラインを形成するステップと、
    前記間隔のあいたアイランドの前記ラインに共通し、その上に延びるワード線を形成するステップであって、前記ワード線は前記間隔のあいたアイランドのそれぞれの電気的浮遊ボディ領域上に形成される、ステップと、
    前記ワード線の上に、前記ワード線から間隔をあけて導電層を形成するステップと、
    前記ワード線の相対する側面に沿い、横方向に隣接する前記間隔のあいたアイランドの前記ラインに共通し、その上に延びるゲート線の組内に、導電層をパターンするステップであって、前記ゲート線の組は前記間隔のあいたアイランドのそれぞれの浮遊ボディ領域のそれぞれの上に収容され、前記パターンするステップは前記ゲート線の組を前記ワード線より短く形成する、ステップと、
    前記アイランドのそれぞれの前記半導性材料内に間隔のあいたソース/ドレイン領域の組をそれぞれ形成するステップであって、前記間隔のあいたソース/ドレイン領域の組は前記ゲート線の組の横方向外側に収容される、ステップと、
    を含む、キャパシタレス1トランジスタDRAMセルを形成する方法。
  24. 前記ソース/ドレイン領域は、前記パターンするステップの後に形成される、請求項23の方法。
  25. 第一の導電性コンタクトを前記ワード線に形成し、第二の導電性コンタクトを前記ゲート線の組に形成するステップを含む、請求項23の方法。
  26. 前記ワード線は端部を持つように形成され、前記パターンするステップは、前記ワード線端部の近位にある前記ゲート線の組のそれぞれの端部を形成し、前記パターンするステ
    ップは前記ワード線端部が前記ゲート線端部のいずれとも長手方向に同位置に配置されない結果となる、ことを特徴とする、
    請求項23の方法。
  27. 前記パターンするステップは、
    前記導電層と前記ワード線を覆ってマスキングブロックを形成するステップであって、前記マスキングブロックは間隔のあいた、相対する横方向の端部を有する、ステップと、
    前記マスキングブロックを形成するステップの後、前記導電層の上で互いにさらに間隔のあいた横方向の外側に、前記相対する横方向の端部を動かすのに効果的に、マスキングブロックを熱するステップと、
    前記熱するステップの後、前記ゲート線の組を形成するためのマスクとして前記マスキングブロックを用いて、前記導電層をエッチングするステップと、
    を含む、請求項23の方法。
  28. 前記ワード線は端部を持つように形成され、前記パターンするステップは、前記ワード線端部の近位にある前記ゲート線の組のそれぞれの端部を形成し、前記パターンするステップは前記ワード線端部が前記ゲート線端部のいずれとも長手方向に同位置に配置されない結果となる、ことを特徴とする、
    請求項27の方法。
  29. 絶縁材料を、前記アイランドのそれぞれと、それに接続している前記アイランドの半導性材料に対して横方向の周囲および下に提供するステップと、
    導電的にドープされた半導性材料を、前記絶縁材料の外側の前記アイランドに対して横方向の周囲および下に提供するステップと、
    を含む、請求項23の方法。
  30. 基板に関連して半導性材料の間隔のあいたアイランドのラインを形成するステップと、
    前記間隔のあいたアイランドのラインに共通し、その上に延びるワード線を形成するステップであって、前記ワード線は、前記間隔のあいたアイランドのそれぞれの電気的浮遊ボディ領域上に形成され、前記ワード線は端部を含む、ステップと、
    前記ワード線の上に、前記ワード線から間隔をあけて導電層を形成するステップと、
    前記ワード線の相対する側面に沿い、横方向に隣接する前記間隔のあいたアイランドの前記ラインに共通し、その上に延びるゲート線の組内に、導電層をパターンするステップであって、前記ゲート線の組は前記間隔のあいたアイランドのそれぞれの浮遊ボディ領域のそれぞれの上に収容され、前記パターンするステップは、前記ワード線端部の近位の前記ゲート線の組のそれぞれの端部を形成し、前記パターンするステップは、前記ゲート線の組の前記それぞれの端部を長手方向に越えて延びるワード線になることを特徴とする、ステップと、
    前記アイランドのそれぞれの前記半導性材料内に間隔のあいたソース/ドレイン領域の組をそれぞれ形成するステップであって、前記間隔のあいたソース/ドレイン領域の組は前記ゲート線の組の横方向外側に収容される、ステップと、
    を含む、キャパシタレス1トランジスタDRAMセルを形成する方法。
  31. 前記パターンするステップが、
    前記導電層と前記ワード線の上にマスキングブロックを形成するステップであって、前記マスキングブロックは、間隔のあいた、相対する横方向の端部を有するステップと、
    前記マスキングブロックを形成するステップの後で、相対する横方向の端部を、前記導電層の上に互いに横方向外側に離して動かすように、効果的に前記マスキングブロックを熱するステップと、
    前記熱するステップの後で、前記ゲート線の組を形成するためのマスクとして前記マスキングブロックを用いて導電層をエッチングするステップと、
    を含む、請求項30の方法。
  32. 第一の導電性コンタクトを前記ワード線に形成し、第二の導電性コンタクトを前記ゲート線の組に形成するステップ
    を含む、請求項30の方法。
  33. 前記第一の導電性コンタクトを、前記ゲート線の組の前記それぞれの端部を長手方向に越えて延びる前記ワード線のいくつかの部分に形成するステップ
    を含む、請求項32の方法。
  34. 絶縁材料を、前記アイランドのそれぞれと、前記アイランドのそれぞれの接触する半導性材料に対して横方向の周囲および下に提供するステップと、
    導電的にドープされた半導性材料を、前記絶縁材料の外側の前記アイランドに対して横方向および下に提供するステップと、
    を含む、請求項30の方法。
  35. 基板に関連して半導性材料の間隔のあいたアイランドのラインを形成するステップと、
    前記間隔のあいたアイランドのラインに共通し、その上に延びるワード線を形成するステップであって、前記ワード線は前記間隔のあいたアイランドのそれぞれの電気的浮遊領域上に形成されるステップと、
    前記ワード線の上に、前記ワード線から間隔をあけて導電層を形成するステップと、
    マスキングブロックを前記導電層と前記ワード線上に形成するステップであって、前記マスキングブロックは間隔のあいた、相対する横方向の端部を有するステップと、
    前記マスキングブロックを形成するステップの後で、相対する横方向の端部を、前記導電層を覆って互いに横方向外側に離して動かすように、効果的に前記マスキングブロックを熱するステップと、
    前記熱するステップの後で、前記ワード線の相対する側面に沿い、横方向に隣接する前記間隔のあいたアイランドのラインに共通し、その上に延びる相互接続するゲート線の組を形成するためのマスクとして前記マスキングブロックを用いて導電層をエッチングするステップであって、前記ゲート線の組は前記間隔のあいたアイランドのそれぞれの浮遊ボディ領域のそれぞれの上に収容されるステップと、
    前記アイランドのそれぞれの前記半導性材料内に間隔のあいたソース/ドレイン領域の組をそれぞれ形成するステップであって、前記間隔のあいたソース/ドレイン領域の組は前記相互接続するゲート線の組の横方向外側に収容される、
    キャパシタレス1トランジスタDRAMセルのラインを形成する方法。
  36. フォトレジストを含むように前記マスキングブロックを形成するステップを含む、
    請求項35の方法。
  37. 前記ソース/ドレイン領域は前記パターニングの後で形成される、
    請求項35の方法。
  38. 第一の導電性コンタクトを前記ワード線に形成し、第二の導電性コンタクトを前記ゲート線の組に形成するステップを含む、
    請求項35の方法。
  39. 前記第一の導電性コンタクトを、前記ゲート線の組の前記それぞれの端部を長手方向に越えて延びる前記ワード線のいくつかの部分に形成するステップを含む、
    請求項38の方法。
JP2009520745A 2006-07-17 2007-06-25 キャパシタレス1トランジスタdramセルのアレイを含む集積回路及びキャパシタレス1トランジスタdramセルのラインを形成する方法 Active JP5181304B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/488,384 US7602001B2 (en) 2006-07-17 2006-07-17 Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US11/488,384 2006-07-17
PCT/US2007/014689 WO2008010891A2 (en) 2006-07-17 2007-06-25 Capacitorlbss one-transistor floating-body dram cell and method of forming the same

Publications (2)

Publication Number Publication Date
JP2009544166A true JP2009544166A (ja) 2009-12-10
JP5181304B2 JP5181304B2 (ja) 2013-04-10

Family

ID=38668936

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009520745A Active JP5181304B2 (ja) 2006-07-17 2007-06-25 キャパシタレス1トランジスタdramセルのアレイを含む集積回路及びキャパシタレス1トランジスタdramセルのラインを形成する方法

Country Status (8)

Country Link
US (3) US7602001B2 (ja)
EP (2) EP2772942A3 (ja)
JP (1) JP5181304B2 (ja)
KR (1) KR101149666B1 (ja)
CN (1) CN101479852B (ja)
SG (1) SG173381A1 (ja)
TW (1) TWI356471B (ja)
WO (1) WO2008010891A2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) * 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) * 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR101324196B1 (ko) * 2007-06-05 2013-11-06 삼성전자주식회사 커패시터리스 디램 및 그의 제조방법
US8889558B2 (en) 2012-12-12 2014-11-18 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8889559B2 (en) 2012-12-12 2014-11-18 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8999852B2 (en) 2012-12-12 2015-04-07 Micron Technology, Inc. Substrate mask patterns, methods of forming a structure on a substrate, methods of forming a square lattice pattern from an oblique lattice pattern, and methods of forming a pattern on a substrate
US8937018B2 (en) * 2013-03-06 2015-01-20 Micron Technology, Inc. Methods of forming a pattern on a substrate
US10068918B2 (en) 2015-09-21 2018-09-04 Globalfoundries Inc. Contacting SOI subsrates
US9859388B1 (en) 2016-06-17 2018-01-02 International Business Machines Corporation Uniform vertical field effect transistor spacers
US9812443B1 (en) 2017-01-13 2017-11-07 International Business Machines Corporation Forming vertical transistors and metal-insulator-metal capacitors on the same chip

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51147280A (en) * 1975-06-13 1976-12-17 Hitachi Ltd Semiconductor device
JPS58220464A (ja) * 1982-06-17 1983-12-22 Fujitsu Ltd 半導体記憶装置
JPH09129837A (ja) * 1995-10-05 1997-05-16 Lg Semicon Co Ltd 半導体メモリ装置及びその製造方法
JP2001024161A (ja) * 1999-04-30 2001-01-26 Sony Corp 半導体メモリセル
JP2002151654A (ja) * 2000-11-10 2002-05-24 Sharp Corp 誘電体キャパシタ素子及びその製造方法
JP2004071935A (ja) * 2002-08-08 2004-03-04 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2005175090A (ja) * 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法

Family Cites Families (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
JPS60256747A (ja) 1984-06-01 1985-12-18 Nippon Denso Co Ltd 空調装置の吹き出し口
EP0203146B1 (en) 1984-11-27 1989-05-24 AT&T Corp. Trench transistor
GB2190789B (en) * 1986-04-17 1990-05-09 Plessey Co Plc System for optically coupling components of integrated circuits
US4722910A (en) * 1986-05-27 1988-02-02 Analog Devices, Inc. Partially self-aligned metal contact process
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
FR2625044B1 (fr) 1987-12-18 1990-08-31 Commissariat Energie Atomique Transistor mos a extremite d'interface dielectrique de grille/substrat relevee et procede de fabrication de ce transistor
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
DE3902701A1 (de) 1988-01-30 1989-08-10 Toshiba Kawasaki Kk Verfahren zur herstellung einer halbleiteranordnung
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
JPH0778977B2 (ja) 1989-03-02 1995-08-23 松下電器産業株式会社 磁気ディスクカートリッジ
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
JPH03219677A (ja) 1990-01-24 1991-09-27 Fujitsu Ltd 半導体装置
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5289030A (en) 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
JPH07106435B2 (ja) 1991-04-15 1995-11-15 新日本製鐵株式会社 双ロール式連続鋳造装置
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) * 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
WO1994027325A1 (en) 1993-05-07 1994-11-24 Vlsi Technology, Inc. Integrated circuit structure and method
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
US5964750A (en) 1994-03-15 1999-10-12 Medolas Gesellschaft Fuer Medizintechnik Gmbh Laser catheter for bypass surgery
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) * 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) * 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) * 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
US5567634A (en) 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) * 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
JP2751909B2 (ja) 1996-02-26 1998-05-18 日本電気株式会社 半導体装置の製造方法
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
JP3219677B2 (ja) 1996-03-28 2001-10-15 三洋電機株式会社 ロッド選別システム
US5869539A (en) 1996-04-17 1999-02-09 Board Of Regents, The University Of Texas System Emulsions of perfluoro compounds as solvents for nitric oxide (NO)
KR20000015822A (ko) 1996-05-21 2000-03-15 칼 하인쯔 호르닝어 박막 다층 콘덴서_
DE19620625C1 (de) 1996-05-22 1997-10-23 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JPH1022476A (ja) 1996-07-02 1998-01-23 Sony Corp 容量素子
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
TW308727B (en) 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) * 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (ko) 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6150687A (en) * 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
DE19801095B4 (de) 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US5998835A (en) 1998-02-17 1999-12-07 International Business Machines Corporation High performance MOSFET device with raised source and drain
US6246083B1 (en) 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP3239109B2 (ja) 1998-08-28 2001-12-17 株式会社半導体理工学研究センター 強誘電体不揮発性メモリとその読み出し方法
JP4322330B2 (ja) 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP2000208762A (ja) 1999-01-13 2000-07-28 Sony Corp 絶縁ゲ―ト電界効果トランジスタおよびその製造方法
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
WO2000070622A1 (fr) 1999-05-14 2000-11-23 Hitachi, Ltd. Circuit de memorisation
US6306755B1 (en) 1999-05-14 2001-10-23 Koninklijke Philips Electronics N.V. (Kpenv) Method for endpoint detection during dry etch of submicron features in a semiconductor device
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6214670B1 (en) 1999-07-22 2001-04-10 Taiwan Semiconductor Manufacturing Company Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6461915B1 (en) 1999-09-01 2002-10-08 Micron Technology, Inc. Method and structure for an improved floating gate memory cell
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6686616B1 (en) 2000-05-10 2004-02-03 Cree, Inc. Silicon carbide metal-semiconductor field effect transistors
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
US6348387B1 (en) * 2000-07-10 2002-02-19 Advanced Micro Devices, Inc. Field effect transistor with electrically induced drain and source extensions
DE10036725C2 (de) 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
JP2004517466A (ja) * 2000-08-29 2004-06-10 ボイシ ステイト ユニヴァーシティー ダマシン・ダブルゲート・トランジスタ及びこれに関連する製造方法
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
GB0029315D0 (en) * 2000-12-01 2001-01-17 Koninkl Philips Electronics Nv Method of increasing the conductivity of a transparent conductive layer
US6621112B2 (en) 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
KR100388682B1 (ko) 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) * 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6632723B2 (en) * 2001-04-26 2003-10-14 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) * 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) * 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100431656B1 (ko) 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
JP2003092367A (ja) 2001-09-19 2003-03-28 Oki Electric Ind Co Ltd 半導体素子の製造方法
US6825093B2 (en) 2001-09-28 2004-11-30 Infineon Technologies Ag Process window enhancement for deep trench spacer conservation
KR100400323B1 (ko) 2001-11-01 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 시모스(cmos) 및 그의 제조 방법
KR100436287B1 (ko) * 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6724028B2 (en) 2001-12-10 2004-04-20 Hans Gude Gudesen Matrix-addressable array of integrated transistor/memory structures
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) * 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
AU2003247513A1 (en) 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
DE10226583B4 (de) 2002-06-14 2010-07-08 Qimonda Ag DRAM-Speicherzelle für schnellen Schreib-/Lesezugriff und Speicherzellenfeld
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7221596B2 (en) 2002-07-05 2007-05-22 Impinj, Inc. pFET nonvolatile memory
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6914289B2 (en) 2002-08-15 2005-07-05 Intel Corporation Hourglass ram
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US7033891B2 (en) 2002-10-03 2006-04-25 Fairchild Semiconductor Corporation Trench gate laterally diffused MOSFET devices and methods for making such devices
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
TW588413B (en) 2002-11-07 2004-05-21 Winbond Electronics Corp Manufacturing method and device of memory with different depths of isolation trench
US6861689B2 (en) * 2002-11-08 2005-03-01 Freescale Semiconductor, Inc. One transistor DRAM cell structure and method for forming
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US6645818B1 (en) 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
US7250650B2 (en) 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) * 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
TWI231042B (en) 2002-12-27 2005-04-11 Wintek Corp Method and device to promote the yield rate and uniformity of AMOLED panel
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
TW578274B (en) 2003-01-17 2004-03-01 Nanya Technology Corp Vertical flash memory cell with tip-shape floating gate and method therefor
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2004247656A (ja) 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置及びその製造方法
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100480645B1 (ko) * 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
US6720232B1 (en) 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) * 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP2004335031A (ja) * 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
JP3913709B2 (ja) * 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
KR100568854B1 (ko) 2003-06-17 2006-04-10 삼성전자주식회사 반도체 메모리에서의 리세스 채널을 갖는 트랜지스터 형성방법
US7105406B2 (en) 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100511045B1 (ko) 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US7335934B2 (en) * 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
JP2005093808A (ja) 2003-09-18 2005-04-07 Fujio Masuoka メモリセルユニット、それを備えてなる不揮発性半導体記憶装置及びメモリセルアレイの駆動方法
US7184298B2 (en) * 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
JP2005142203A (ja) 2003-11-04 2005-06-02 Elpida Memory Inc 半導体装置およびその製造方法
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
KR100518606B1 (ko) 2003-12-19 2005-10-04 삼성전자주식회사 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법
JP4342970B2 (ja) * 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
US6974743B2 (en) 2004-02-02 2005-12-13 Infineon Technologies Ag Method of making encapsulated spacers in vertical pass gate DRAM and damascene logic gates
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100614240B1 (ko) 2004-06-10 2006-08-18 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
JP4083160B2 (ja) * 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US20060108667A1 (en) 2004-11-22 2006-05-25 Macronix International Co., Ltd. Method for manufacturing a small pin on integrated circuits or other devices
US20060113588A1 (en) 2004-11-29 2006-06-01 Sillicon-Based Technology Corp. Self-aligned trench-type DMOS transistor structure and its manufacturing methods
KR100640616B1 (ko) 2004-12-21 2006-11-01 삼성전자주식회사 매몰 게이트 패턴을 포함하는 전계 효과 트랜지스터구조물 및 그것을 포함하는 반도체 소자의 제조방법
JP2006234780A (ja) 2005-01-25 2006-09-07 Fujitsu Component Ltd 評価基板及びケーブルアッセンブリ評価方法
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
JP2006237455A (ja) * 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
JP4541220B2 (ja) 2005-04-13 2010-09-08 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7176084B2 (en) * 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
JP2006352005A (ja) 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
US7517741B2 (en) * 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
DE102005040133A1 (de) 2005-08-03 2007-02-08 Amazonen-Werke H. Dreyer Gmbh & Co. Kg Grubber
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
US7350441B2 (en) 2005-11-15 2008-04-01 3M Innovative Properties Company Cutting tool having variable movement at two simultaneously independent speeds in an x-direction into a work piece for making microstructures
KR100843139B1 (ko) 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) * 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7495946B2 (en) 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
JP2008004738A (ja) 2006-06-22 2008-01-10 Elpida Memory Inc 半導体装置及びその製造方法
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7495282B2 (en) 2007-01-12 2009-02-24 Sandisk Corporation NAND memory with virtual channel
US7732275B2 (en) 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
KR101374323B1 (ko) 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51147280A (en) * 1975-06-13 1976-12-17 Hitachi Ltd Semiconductor device
JPS58220464A (ja) * 1982-06-17 1983-12-22 Fujitsu Ltd 半導体記憶装置
JPH09129837A (ja) * 1995-10-05 1997-05-16 Lg Semicon Co Ltd 半導体メモリ装置及びその製造方法
JP2001024161A (ja) * 1999-04-30 2001-01-26 Sony Corp 半導体メモリセル
JP2002151654A (ja) * 2000-11-10 2002-05-24 Sharp Corp 誘電体キャパシタ素子及びその製造方法
JP2004071935A (ja) * 2002-08-08 2004-03-04 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2005175090A (ja) * 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法

Also Published As

Publication number Publication date
EP2772942A3 (en) 2014-12-31
US20140021550A1 (en) 2014-01-23
KR101149666B1 (ko) 2012-05-31
US20090239343A1 (en) 2009-09-24
WO2008010891A2 (en) 2008-01-24
US7602001B2 (en) 2009-10-13
SG173381A1 (en) 2011-08-29
CN101479852B (zh) 2012-06-13
KR20090023496A (ko) 2009-03-04
US9129847B2 (en) 2015-09-08
WO2008010891A3 (en) 2008-07-24
US20080012056A1 (en) 2008-01-17
CN101479852A (zh) 2009-07-08
EP2772942A2 (en) 2014-09-03
TW200818406A (en) 2008-04-16
JP5181304B2 (ja) 2013-04-10
US8551823B2 (en) 2013-10-08
EP2041796A2 (en) 2009-04-01
TWI356471B (en) 2012-01-11

Similar Documents

Publication Publication Date Title
JP5181304B2 (ja) キャパシタレス1トランジスタdramセルのアレイを含む集積回路及びキャパシタレス1トランジスタdramセルのラインを形成する方法
US6890812B2 (en) Method of forming a memory having a vertical transistor
US6559491B2 (en) Folded bit line DRAM with ultra thin body transistors
US6881627B2 (en) Flash memory with ultra thin vertical body transistors
US8461002B2 (en) Vertical transistor, memory cell, device, system and method of forming same
US6605838B1 (en) Process flow for thick isolation collar with reduced length
US7320912B2 (en) Trench capacitors with buried isolation layer formed by an oxidation process and methods for manufacturing the same
US20100176451A1 (en) Semiconductor

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120511

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120511

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120611

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120611

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121031

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121031

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121226

R150 Certificate of patent or registration of utility model

Ref document number: 5181304

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250