JP2007514294A - 大量にドープされたエピタキシャルSiGeを選択的に堆積させる方法 - Google Patents

大量にドープされたエピタキシャルSiGeを選択的に堆積させる方法 Download PDF

Info

Publication number
JP2007514294A
JP2007514294A JP2006533945A JP2006533945A JP2007514294A JP 2007514294 A JP2007514294 A JP 2007514294A JP 2006533945 A JP2006533945 A JP 2006533945A JP 2006533945 A JP2006533945 A JP 2006533945A JP 2007514294 A JP2007514294 A JP 2007514294A
Authority
JP
Japan
Prior art keywords
silicon
substrate
film
range
sih
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006533945A
Other languages
English (en)
Other versions
JP4969244B2 (ja
Inventor
イワン キム,
アルカディー, ヴイ. サモイロフ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007514294A publication Critical patent/JP2007514294A/ja
Application granted granted Critical
Publication of JP4969244B2 publication Critical patent/JP4969244B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

一実施形態においては、基板上にシリコン膜又はシリコンゲルマニウム膜を堆積させる方法であって、プロセスチャンバ内に基板を配置するステップと、基板表面を約600℃〜900℃の範囲の温度に、プロセスチャンバ内の圧力を約13Pa(0.1トール)〜約27kPa(200トール)の範囲に維持しつつ、加熱するステップと、を含む前記が提供される。堆積ガスは、プロセスチャンバに供給され、SiH、任意のゲルマニウム源ガス、エッチング剤、キャリヤガス、任意に少なくとも1つのドーパントガスを含んでいる。シリコン膜又はシリコンゲルマニウム膜は、基板上に選択的且つエピタキシャル的に成長する。一実施形態は、シリコン含有膜とキャリヤガスとして不活性ガスを堆積させる方法を含んでいる。方法は、また、選択的シリコンゲルマニウムエピタキシャル膜を用いる電子デバイスの製造を含んでいる。
【選択図】 図2C

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、半導体製造プロセスとデバイスの分野、特に、半導体デバイスを形成するシリコン含有膜を堆積させる方法に関する。
関連技術の説明
[0002]より小さなトランジスタが製造されるにつれて、極端に浅いソース/ドレイン接合が製造の意欲をかりたてている。国際半導体技術ロードマップ(ITRS)によると、100nm未満のCMOS(相補型金属酸化物半導体)デバイスの場合、接合の深さは30nm未満であることが必要とされる。接合の深さが10nmに近づくにつれて、注入やアニールによる従来のドーピングが効果的でなくなる。注入によるドーピングは、ドーパントを活性化させるためにポストアニールプロセスを必要とし、ポストアニールが層へのドーパント拡散を増強させる。
[0003]近年、大量にドープされた(約>1019原子/cm)選択的SiGeエピタキシーが、高ソース/ドレイン特徴部やソース/ドレイン伸長特徴部の形成の間に堆積されるのに有用な材料になった。ソース/ドレイン伸長特徴部は、凹部のソース/ドレイン特徴部を作るためにシリコンをエッチングし、続いてエッチングされた表面を選択的に成長したSiGeエピレイヤで充填することによって製造される。選択的エピタキシーが、インサイチュドーピングでほぼ完全なドーパントの活性化を可能にするので、ポストアニールプロセスが省略される。それ故、接合の深さは、シリコンエッチングと選択的エピタキシーによって正確に画成され得る。一方、極端に浅いソース/ドレイン接合は、必然的にシリーズ抵抗が高くなる。また、シリサイド形成の間の接合部の消費によって、シリーズ抵抗が更に増大する。接合部の消費を相殺するために、高ソース/ドレインは接合部でエピタキシャル的に且つ選択的に成長する。
[0004]選択的Siエピタキシャル堆積と選択的SiGeエピタキシャル堆積は、誘電領域に成長しないシリコンの堀上でエピレイヤの成長を可能にする。選択的エピタキシーは、半導体装置内で、例えば、高ソース/ドレイン、ソース/ドレイン伸長部、コンタクトプラグ、バイポーラデバイスの基層堆積内に用いることができる。一般的には、選択的エピタキシープロセスは、シリコン上と誘電体表面上で相対的に異なる反応速度で同時に起こる2つの反応、堆積とエッチングを含む。選択的プロセスウィンドウは、エッチングガス(例えば、HCl)の濃度を変えることによって、結果として、シリコンの表面上だけに堆積する。選択的なエピタキシー堆積を行うための普通のプロセスは、ジクロロシラン(SiHCl)をシリコン源として、ゲルマン(GeH)をゲルマニウム源として、堆積の間、HClを選択的に供給するエッチング剤として、水素(H)をキャリヤガスとして用いることである。
[0005]SiGeエピタキシャル堆積は、小さな容量に適しているが、ドーパントがHClと反応するので、この方法はドープされたSiGeを容易に調製しない。大量にホウ素がドープされた(例えば、5×1018cm‐3を超える)選択的SiGeエピタキシーのプロセス開発は、ホウ素ドーピングが選択的堆積に対するプロセスウィンドウを狭くすることから非常に複雑な仕事である。一般に、より高いホウ素濃度(例えば、B)が堆積ガスフローに加えられる場合、誘電領域上で堆積膜の成長速度の増加による選択性を達成するために、より高いHCl濃度が必要である。おそらくB‐Cl結合はGe‐Cl結合やSi‐Cl結合よりも強いことから、この高HCl流量によって、エピレイヤにおけるホウ素の取り込みが減少する。
[0006]それ故、ドーパント濃度が強化されたシリコンやシリコン化合物を選択的且つエピタキシャル的に堆積させるための方法が求められている。更に、その方法は、堆積した材料のより高い成長を維持しなければならない。また、その方法は、エッチング剤の流量に関連したシリコン化合物におけるゲルマニウム濃度とホウ素濃度に依存してはならない。
発明の概要
[0007]一実施形態においては、基板をプロセスチャンバ内に配置させるステップと圧力を約0.1トール〜約200トールの範囲に維持しながら、基板の表面を約500℃〜約900℃の範囲の温度に加熱するステップとを含むシリコンゲルマニウム膜を基板上に堆積させる方法が提供される。堆積ガスは、プロセスチャンバに供給され、SiH、GeH、HCl、キャリヤガス、少なくとも1つのドーパントガス、例えば、ジボラン、アルシン又はホスフィンを含んでいる。ドープされたシリコンゲルマニウム膜は、基板上にエピタキシャル的に成長する。
[0008]他の実施形態においては、基板をプロセスチャンバ内に約0.1トール〜約200トールの範囲の圧力で配置させるステップと基板の表面を約500℃〜約900℃の範囲の温度に加熱するステップとを含むドープされたシリコンゲルマニウム膜を基板上で成長させるための選択的エピタキシャル方法が提供される。堆積ガスは、プロセスチャンバに供給され、SiH、ゲルマニウム源、エッチング剤源、キャリヤガス、少なくとも1つのドーパントガスを含んでいる。シリコンゲルマニウム膜は成長し、約1×1020原子/cm〜約2.5×1021原子/cmの範囲のドーパント濃度を有する。
[0009]他の実施形態においては、基板をプロセスチャンバ内に約0.1トール〜約200トールの範囲の圧力で配置させるステップと基板の表面を約500℃〜約900℃の範囲の温度に加熱するステップとを含むシリコン含有膜を基板上に成長させるための選択的エピタキシャル方法が提供される。堆積ガスは、プロセスチャンバに供給され、SiH、HCl、キャリヤガスを含んでいる。シリコン含有膜は約50オングストローム/分〜約600オングストローム/分の速度で成長する。
[0010]他の実施形態においては、基板をプロセスチャンバ内に約0.1トール〜約200トールの範囲の圧力で配置させるステップと、基板を約500℃〜約900℃の範囲の温度に加熱するステップと、ClSiH、HCl、キャリヤガスを含む堆積ガスを供給するステップと、シリコン含有層を基板上に堆積させるステップとを含む、シリコン含有膜を基板上に成長させるための選択的エピタキシャル方法が提供される。その方法は、更に、SiH、HCl、第2キャリヤガスを含む第2堆積ガスを供給するステップとシリコン含有層上に第2シリコン含有層を堆積させるステップとを含んでいる。
[0011]他の実施形態においては、プロセスチャンバ内に基板を置くステップと、基板を約500℃〜約900℃の範囲の温度に加熱するステップと、圧力を約0.1トール〜約200トールまでの範囲に維持するステップとを含む、シリコン含有膜を基板上に選択的に堆積させる方法が提供される。その方法は、更に、SiH、ゲルマニウム源、HCl、少なくとも1つのドーパントガス、N、Ar、He及びそれらの組合わせからなる群より選ばれたキャリヤガスを含有する堆積ガスを供給するステップと、シリコン含有膜を基板上にエピタキシャル的に堆積させるステップとを含んでいる。
[0012]本発明の上記特徴が詳細に理解することができるように、上で簡単に纏めた本発明のより具体的な説明は実施形態によって参照することができ、その一部が添付の図面で説明されている。しかしながら、添付の図面は、本発明の典型的な実施形態だけを示しており、それ故、本発明の範囲の制限とみなされるべきでなく、本発明は、他の同等に有効な実施形態を許容することができる。
好適実施形態の詳細な説明
[0015]本発明は、様々なデバイス構造の製造の間、シリコン含有化合物をエピタキシャル的に堆積させる方法を提供する。一実施形態においては、本方法は、シリコン化合物の堆積の間、シリコン前駆物質シラン(SiH)を用いる。過去の技術ではたいてい、選択的堆積のためにジクロロシランのような塩素含有前駆物質を用いられたが、本発明の実施形態では前駆物質としてシランの利用が教示される。シランの使用は、ジクロロシランの使用よりも速くシリコン含有膜を堆積させることがわかった。また、シランの使用は、膜の間のドーパント濃度に対する、より高度な制御と堆積速度の増加を与える。
[0016]一実施形態には、選択的エピタキシャルシリコン化合物の膜を成長させるプロセスが開示される。選択的なシリコン含有膜の成長は、一般的には、基板又は表面が、1つ以上の材料、例えば、曝された単結晶シリコンの表面積や誘電材料で覆われた特徴部、例えば、酸化物膜又は窒化物膜を含む場合に行われる。通常、これらの特徴部は誘電材料であり、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、窒化タンタルを含むことができる。結晶シリコンの表面に対する選択的なエピタキシャル成長は、一般的にはその特徴部がむき出しのままになっている間に、エッチング剤(例えば、HCl)の利用で達成される。エッチング剤は、エッチング剤が結晶シリコン成長を基板から除去するよりも速く、アモルファスシリコン又は多結晶シリコン成長を特徴部から除去するので、選択的エピタキシャル成長が達成される。
[0017]キャリヤガスは、プロセス全体に用いられ、H、Ar、N、He、それらの組合わせを含んでいる。一例においては、Hがキャリヤガスとして用いられる。他の例においては、Nがキャリヤガスとして用いられる。一実施形態においては、エピタキシャル堆積プロセスの間、キャリヤガスは、H又は原子の水素を実質的に含まない。しかしながら、キャリヤガスとして相対的に不活性なガス、例えば、N、Ar、He、それらの組合わせを用いることができる。キャリヤガスは、プロセスの一実施形態の間、様々な割合で組合わせることができる。
[0018]一実施形態においては、キャリヤガスは、シリコン化合物膜上に利用できる部位を維持するために、N及び/又はArを含んでいる。Hの存在量がキャリヤガスとして用いられる場合、シリコン化合物の表面上の水素の存在は、Si又はSiGeが成長するために利用できる部位(即ち、不動態化)の数を制限する。結果として、不動態化表面が、一定温度、特に低い温度(例えば、<650℃)における成長速度を制限する。それ故、低い温度でのプロセスの間、N及び/又はArのキャリヤガスを用いることができ、成長速度を犠牲にすることなく熱量を減少させることができる。
[0019]本発明の一実施形態においては、シリコン化合物膜は、Si膜としてエピタキシャル的に成長する。半導体特徴部を含む基板(例えば、300mmOD)をプロセスチャンバ内に配置する。この堆積技術の間、シリコン前駆物質(例えば、シラン)がキャリヤガス(例えば、H及び/又はN)とエッチング剤(例えば、HCl)と同時にプロセスチャンバへ流される。シランの流量は約5sccm〜約500sccmの範囲にある。キャリヤガスの流量は約1,000sccm〜約60,000sccmである。エッチング剤の流量は約5sccm〜約1,000sccmである。プロセスチャンバは、約0.1トール〜約200トール、好ましくは約15トールの圧力に維持される。基板は、約500℃〜約1,000℃、好ましくは約600℃〜約900℃、例えば600℃〜750℃、又は、他の例においては、650℃〜800℃の範囲の温度に維持される。試薬の混合物は、熱的に反応させるとともに結晶シリコンをエピタキシャル的に堆積させことを促進させる。HClは、堆積したあらゆるアモルファスシリコン又は多結晶シリコンを基板の表面上の誘電特徴部からエッチングする。そのプロセスは、約100オングストローム〜約3,000オングストロームの範囲の厚さを持つ堆積したシリコン化合物を形成するために行われ、約50オングストローム/分〜約600オングストローム/分、好ましくは約150オングストローム/分の堆積速度を有する。一実施形態においては、シリコン化合物は500オングストロームを超える厚さ、例えば、1,000オングストローム以上の厚さを有する。
[0020]エッチング剤は、堆積したシリコン化合物を含まないようにデバイス上の様々な領域を維持する。実施形態全体で選択的堆積プロセスの間、有効なエッチング剤は、HCl、HF、F、NF、XeF、HBr、SiCl、SiCl、ClSiH、CCl、Cl、それらの組合わせを含んでいる。シリコン化合物を堆積させるのに有効な他のシリコン前駆物質は、シランのほかに、高級シランやオルガノシランを含んでいる。高級シランは、実験式Si(2x+2)を有する化合物、例えば、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、それらの誘導体、それらの組合わせを含んでいる。オルガノシランは、実験式RSi(2x+2−y)を有する化合物(式中、Rはメチル、エチル、プロピル、ブチル又は他のアルキル)、例えば、メチルシラン((CH)SiH)、ジメチルシラン((CH)SiH)、エチルシラン((CHCH)SiH)、メチルジシラン((CH)Si)、ジメチルジシラン((CH)Si)、ヘキサメチルジシラン((CH)Si)、それらの誘導体、それらの組合わせである。オルガノシラン化合物は、本発明の実施形態の間、堆積したシリコン化合物に炭素を組み込むのに好都合なシリコン源と炭素源であることがわかった。
[0021]本発明の他の実施形態においては、シリコン化合物膜はSiGe膜としてエピタキシャル的に成長する。半導体特徴部を含む基板(例えば、300mmOD)はプロセスチャンバ内に配置される。この堆積技術の間、シリコン前駆物質(例えば、シラン)はキャリヤガス(例えば、H及び/又はN)、ゲルマニウム源(例えば、GeH)、エッチング剤(例えば、HCl)と同時にプロセスチャンバへ流される。シランの流量は約5sccm〜約500sccmの範囲にある。キャリヤガスの流量は約1,000sccm〜約60,000sccmである。ゲルマニウム源の流量は約0.1sccm〜約10sccmである。エッチング剤の流量は約5sccm〜約1,000sccmである。プロセスチャンバは、約0.1トール〜約200トール、好ましくは約15トールの圧力に維持される。基板は、約500℃〜約1,000℃、好ましくは約700℃〜約900℃の範囲の温度に維持される。試薬混合物は、熱的に反応させるとともにシリコン化合物、即ち、シリコンゲルマニウム膜をエピタキシャル的に堆積させる。HClは、堆積したあらゆるアモルファスSiGe化合物を基板の表面上の誘電特徴部からエッチングする。そのプロセスは、堆積したSiGe化合物を約100オングストローム〜約3,000オングストロームの範囲の厚さで形成するために行われ、約50オングストローム/分〜約300オングストローム/分、好ましくは約150オングストローム/分の堆積速度を有する。SiGe化合物のゲルマニウム濃度は約1原子パーセント〜約30原子パーセントの範囲にあり、好ましくは約20原子パーセントである。
[0022]ゲルマン(GeH)のほかに、他のゲルマニウム源又は前駆物質は、シリコン化合物を堆積させるために、高級ゲルマンやオルガノゲルマンが含まれる。本発明の様々な実施形態の間、高級ゲルマンは、実験式Ge(2x+2)を有する化合物、例えば、ジゲルマン(Ge)、トリゲルマン(Ge)、テトラゲルマン(Ge10)、それらの誘導体、それらの組合わせを含んでいる。オルガノゲルマンは、実験式RGe(2x+2−y)を有する化合物(式中、R=メチル、エチル、プロピル、ブチル又は他のアルキルで、例えば、メチルゲルマン((CH)GeH)、ジメチルゲルマン((CH)GeH)、エチルゲルマン((CHCH)GeH)、メチルジゲルマン((CH)Ge)、ジメチルジゲルマン((CH)Ge)とヘキサメチルジゲルマン((CH)Ge)を含んでいる。本発明の様々な実施形態の間に、ゲルマンとオルガノゲルマン化合物は、堆積したシリコン化合物、即ち、SiGeやSiGeC化合物にゲルマニウムと炭素を取り込むためのゲルマニウム源と炭素源であることがわかった。
[0023]本発明の一実施形態においては、シリコン化合物膜は、ドープされたSi膜としてエピタキシャル的に成長する。半導体特徴部を含む基板(例えば、300mmOD)はプロセスチャンバ内に配置される。この堆積技術の間、シリコン前駆物質(例えば、シラン)はキャリヤガス(例えば、H及び/又はN)、ドーパント(例えば、B)、エッチング剤(例えば、HCl)と同時にプロセスチャンバへ流される。シランの流量は約5sccm〜約500sccmの範囲にある。キャリヤガスの流量は約1,000sccm〜約60,000sccmである。ドーパントの流量は約0.01sccm〜約3sccmである。エッチング剤の流量は約5sccm〜約1,000sccmである。プロセスチャンバは、約0.1トール〜約200トール、好ましくは約15トールの圧力に維持される。基板は、約500℃〜約1,000℃、好ましくは約700℃〜約900℃の範囲の温度に保持される。試薬の混合物は、熱的に反応させるとともにドープされたシリコン膜をエピタキシャル的に堆積させる。HClは、堆積したアモルファスシリコン又は多結晶シリコンを基板の表面上の誘電特徴部からエッチングする。そのプロセスは、ドープされたシリコン化合物を約100オングストローム〜約3,000オングストロームの範囲の厚さで堆積させ、約50オングストローム/分〜約600オングストローム/分、好ましくは約150オングストローム/分の堆積速度を有する。
[0024]ドーパントは、電子デバイスに必要とされる制御され所望される経路において方向性電子流のような様々な導電性を持つ堆積したシリコン化合物を与える。シリコン化合物の膜は、所望される毒性を得るために特定のドーパントでドープされる。一実施形態においては、シリコン化合物は、シリコン前駆物質と共に流れるジボランによって、ドープされたp型材料として堆積する。堆積したシリコン化合物のホウ素濃度は、約1015原子/cm〜約1021原子/cmの範囲にある。一例においては、p型ドーパントは少なくとも5×1019原子/cmの濃度を有する。他の例においては、p型ドーパントは、約1×1020原子/cm〜約2.5×1021原子/cmの範囲にある。他の例においては、シリコン化合物は、約1015原子/cm〜約1021原子/cmの範囲の濃度にn型に、例えば、リン及び/又はヒ素でドープされる。
[0025]ジボランのほかに、ドーパントを含む他のホウ素としては、ボラン、オルガノボランが含まれる。ボランは、ボラン、ジボラン、トリボラン、テトラボラン、ペンタボランを含み、アルキルボランは、実験式RBH(3−x)(式中、R=メチル、エチル、プロピル又はブチル、x=0、1、2又は3)を有する化合物を含む。アルキルボランは、トリメチルボラン((CH)B)、ジメチルボラン((CH)BH)、トリエチルボラン((CHCH)B)、ジエチルボラン((CHCH)BH)、それらの誘導体を含んでいる。ドーパントは、アルシン(AsH)、ホスフィン(PH)、アルキルホスフィン、例えば、実験式RPH(3−x)(式中、R=メチル、エチル、プロピル又はブチル、x=0、1、2又は3)を有するものを含んでいる。アルキルホスフィンは、トリメチルホスフィン((CH)P)、ジメチルホスフィン((CH)PH)、トリエチルホスフィン((CHCH)P)、ジエチルホスフィン((CHCH)PH)を含んでいる。
[0026]本発明の他の実施形態においては、シリコン化合物膜は、ドープされたSiGe膜を生成するためにエピタキシャル的に成長する。半導体特徴部を含む基板(例えば、300mmOD)はプロセスチャンバ内に配置される。この堆積技術の間、シリコン前駆物質(例えば、シラン)はキャリヤガス(例えば、H及び/又はN)、ゲルマニウム源(例えば、GeH)、ドーパント(例えば、B)、エッチング剤(例えば、HCl)と同時にプロセスチャンバへ流される。シランの流量は約5sccm〜約500sccmの範囲にある。キャリヤガスの流量は約1,000sccm〜約60,000sccmである。ゲルマニウム源の流量は約0.1sccm〜約10sccmである。ドーパントの流量は約0.01sccm〜約3sccmである。エッチング剤の流量は約5sccm〜約1,000sccmである。プロセスチャンバは、約0.1トール〜約200トール、好ましくは約15トールの圧力に維持される。基板は、約500℃〜約1,000℃、好ましくは約700℃〜約900℃の範囲の温度に維持される。試薬混合物は、熱的に反応させるとともにシリコン化合物、即ち、シリコンゲルマニウム膜をエピタキシャル的に堆積させる。HClは、堆積したアモルファスSiGeを基板の表面上の特徴部からエッチングする。そのプロセスは、ドープされたSiGe化合物を約100オングストローム〜約3,000オングストロームの範囲の厚さで形成するように行われ、約50オングストローム/分〜約600オングストローム/分、好ましくは約150オングストローム/分の堆積速度を有する。SiGe化合物のゲルマニウム濃度は、約1原子パーセントから約30原子パーセントまでの範囲にあり、好ましくは約20原子パーセントである。SiGe化合物のホウ素濃度は約1×1020原子/cm〜約2×1021原子/cmの範囲にあり、好ましくは約2×1020原子/cmである。
[0027]本発明の他の実施形態においては、シリコン化合物膜は、SiGeC膜としてエピタキシャル的に成長する。半導体特徴部を含む基板(例えば、300mmOD)はプロセスチャンバ内に配置される。この堆積技術の間、シリコン前駆物質(例えば、シラン)はキャリヤガス(例えば、H及び/又はN)、ゲルマニウム源(例えば、GeH)、炭素源(例えば、CHSiH)、エッチング剤(例えば、HCl)と同時にプロセスチャンバへ流される。シランの流量は約5sccm〜約500sccmの範囲にある。キャリヤガスの流量は約1,000sccm〜約60,000sccmである。ゲルマニウム源の流量は約0.1sccm〜約10sccmである。炭素源の流量は約0.1sccm〜約50sccmである。エッチング剤の流量は約5sccm〜約1,000sccmである。プロセスチャンバは、約0.1トール〜約200トールの圧力、好ましくは約15トールに維持される。基板は、約500℃〜約1,000℃、好ましくは約500℃〜約700℃の範囲の温度に維持される。試薬混合物は、熱的に反応させるとともにシリコン化合物、即ち、シリコンゲルマニウム炭素膜をエピタキシャル的に堆積させる。HClは、堆積したアモルファス又は多結晶SiGeC化合物を基板の表面上の誘電特徴部からエッチングする。そのプロセスは、SiGeC化合物を約100オングストローム〜約3,000オングストロームの範囲の厚さで堆積させ、約50オングストローム/分〜約600オングストローム/分、好ましくは、約150オングストローム/分の堆積速度を有する。SiGeC化合物のゲルマニウム濃度は、約1原子パーセント〜約30原子パーセントの範囲にあり、好ましくは約20原子パーセントである。SiGeC化合物の炭素濃度は、約0.1原子パーセント〜約5原子パーセントの範囲にあり、好ましくは約2原子パーセントである。
[0028]エチレン又はメタンのほかに、他の炭素原子又は前駆物質は、シリコン化合物を堆積させる間有効であり、エチル、プロピル、ブチルのアルキル、アルケン、アルキンが含まれる。このような炭素源には、エチン(C)、プロパン(C)、プロペン(C)、ブチン(C)等が含まれる。他の炭素源としては、シリコン源に関して記載したように、オルガノシラン化合物が含まれる。
[0029]本発明の他の実施形態においては、シリコン化合物膜は、ドープされたSiGeC膜としてエピタキシャル的に成長する。半導体特徴部を含む基板(例えば、300mmOD)はプロセスチャンバ内に配置される。この堆積技術の間、シリコン前駆物質(例えば、シラン)はキャリヤガス(例えば、H及び/又はN)、ゲルマニウム源(例えば、GeH)、炭素源(例えば、CHSiH)、ドーパント(例えば、B)、エッチング剤(例えば、HCl)と同時にプロセスチャンバへ流される。シランの流量は約5sccm〜約500sccmの範囲にある。キャリヤガスの流量は約1,000sccm〜約60,000sccmである。ゲルマニウム源の流量は約0.1sccm〜約10sccmである。炭素源の流量は約0.1sccm〜約50sccmである。ドーパントの流量は約0.01sccm〜約3sccmである。エッチング剤の流量は約5sccm〜約1,000sccmである。プロセスチャンバは、約0.1トール〜約200トール、好ましくは約15トールの圧力で維持される。基板は、約500℃〜約1,000℃、好ましくは約500℃〜約700℃の範囲の温度に維持される。試薬混合物は、熱的に反応させるとともにシリコン化合物、即ち、ドープされたシリコンゲルマニウム炭素膜をエピタキシャル的に堆積させる。HClは、堆積したアモルファス又は多結晶SiGeC化合物を基板の表面上の誘電特徴部からエッチングする。そのプロセスは、ドープされたSiGeC化合物を約100オングストローム〜約3,000オングストロームまでの範囲の厚さで堆積させ、約50オングストローム/分〜約600オングストローム/分の堆積速度、好ましくは約150オングストローム/分を有する。SiGeC化合物のゲルマニウム濃度は、約1原子パーセント〜約30原子パーセントの範囲にあり、好ましくは約20原子パーセントである。SiGeC化合物の炭素濃度は、約0.1原子パーセント〜約5原子パーセントの範囲にあり、好ましくは約2原子パーセントである。SiGe化合物のホウ素濃度は、約1×1020原子/cm〜約2.5×1021原子/cmの範囲にあり、好ましくは約2×1020原子/cmである。
[0030]本発明の他の実施形態においては、第2シリコン化合物膜は、ジクロロシラン(ClSiH)を用いることによってSiGe膜としてエピタキシャル的に成長し、続いてシリコン源としてシランによって上述したようにシリコン化合物を堆積させる。上述したシリコン含有化合物のいずれかを含む基板(例えば、300mmOD)はプロセスチャンバ内に配置される。この堆積技術の間、ジクロロシランはキャリヤガス(例えば、H及び/又はN)、ゲルマニウム源(例えば、GeH)、エッチング剤(例えば、HCl)と同時にプロセスチャンバへ流される。ジクロロシランの流量は約5sccm〜約500sccmの範囲にある。キャリヤガスの流量は約1,000sccm〜約60,000sccmである。ゲルマニウム源の流量は約0.1sccm〜約10sccmである。エッチング剤の流量は約5sccm〜約1,000sccmである。プロセスチャンバは、約0.1トール〜約200トール、好ましくは約15トールの圧力で維持される。基板は、約500℃〜約1,000℃、好ましくは約700℃〜約900℃の範囲の温度に維持される。試薬混合物は、熱的に反応させ、第2シリコン化合物、即ち、シリコンゲルマニウム膜を第1シリコン化合物上にエピタキシャル的に堆積させる。HClは、堆積したあらゆるアモルファス又は多結晶SiGe化合物を基板の表面上の誘電特徴部からエッチングする。そのプロセスは、堆積したSiGe化合物を約100オングストローム〜約3,000オングストローム、約10オングストローム/分〜約100オングストローム/分の範囲の厚さで形成するように行われ、好ましくは約50オングストローム/分の堆積速度を有する。SiGe化合物のゲルマニウム濃度は、約1原子パーセント〜約30原子パーセントの範囲にあり、好ましくは約20原子パーセントである。この実施形態は、第2シリコン含有膜、即ち、SiGe膜を堆積させる方法を記載しているが、シランをすでに記載された実施形態のいずれかに対するジクロロシランで置き換える。他の実施形態においては、第3シリコン含有層は上で述べたシランをベースとしたプロセスを用いて堆積させる。
[0031]それ故、一実施形態においては、シリコン化合物ラミネート膜は、シランとジクロロシランの間のシリコン前駆物質を変えることによって、シリコン化合物の続いての層に堆積させることができる。一例においては、約2,000オングストロームのラミネート膜は、第1と第3の層がプロセスガス混合物におけるジクロロシランを用いて堆積し、第2と第4の層は、第2プロセスガス混合物におけるシランを用いて堆積させるように、4つのシリコン化合物層(それぞれ約500オングストローム)を堆積させることによって形成される。他のラミネート膜の場合、第1と第3の層はシランを用いて堆積させ、第2と第4の層はジクロロシランを用いて堆積させる。各層の厚さは、相互に依存せず、それ故、ラミネート膜は、様々な厚さのシリコン化合物層を有するものである。
[0032]一実施形態においては、表面アイランド(例えば、膜に対する汚染や不規則性)を含む下層上にシリコン化合物層を堆積させるために、ジクロロシランがプロセスガスに加えられる。ジクロロシランを取込むプロセスは、下層上にシリコン化合物層を堆積させつつ、表面アイランドの不規則性に感受性がなくてもよい。シリコン源としてジクロロシランの使用は、シランの使用で形成されたシリコン化合物に相対して、より大きい水平又は側面成長速度によるシリコン化合物を形成する。一実施形態においては、表面アイランドは一貫した表面をもつシリコン化合物層で覆われ、その後、ジクロロシランはプロセスガスにおいてシランに置き換えられ、シリコン化合物層の堆積が続けられる。
[0033]本発明の実施形態は、多くの基板と表面上にシリコン化合物を堆積させるプロセスを教示する。本発明の実施形態が有効であることができる基板は、半導体ウエハ、例えば、結晶シリコン(例えば、Si<100>とSi<111>)、酸化シリコン、シリコンゲルマニウム、ドープされた又はドープされていないウエハやパターン形成された又はパターン形成されていないウエハを含むが、それらに限定されない。基板は様々な形状(例えば、円形、正方形、矩形)や大きさ(例えば、200mmOD、300mmOD)を有する。表面及び/又は基板は、ウエハ、膜、層、誘電性、導電性、バリア特性をもつ材料を含み、多結晶シリコン、シリコン・オン・インシュレータ(SOI)、ひずんだ格子やひずんでいない格子を含んでいる。表面の前処理は、研磨、エッチング、還元、酸化、アニール、ベーキングを含むことができる。一実施形態においては、ウエハは1%HF溶液へ浸漬され、乾燥され、水素雰囲気中800℃でベークされる。
[0034]一実施形態においては、シリコン化合物は、ゲルマニウム濃度を約0原子パーセント〜約95原子パーセントの範囲で含んでいる。他の実施形態においては、ゲルマニウム濃度は、約1原子パーセント〜約30原子パーセント、好ましくは約15原子パーセント〜約25原子パーセントの範囲にあり、更に好ましくは約20原子パーセントである。シリコン化合物は、約0原子パーセント〜約5原子パーセントの範囲で炭素濃度も含んでいる。他の態様においては、炭素濃度は約200ppm〜約2原子パーセントの範囲にある。
[0035]ゲルマニウム及び/又は炭素のシリコン化合物膜は、本発明の様々なプロセスによって生成され、一貫した、散発的な又は段階的な元素濃度をもち得る。段階的なシリコンゲルマニウム膜は、米国特許第6,770,134号や米国特許公報第20020174827号として公表された、米国特許出願第10/014,466号に開示され、いずれもアプライドマテリアルズ社に譲渡され、段階的シリコン化合物膜を堆積させる方法を記載するために全体で本明細書に援用されている。一実施形態においては、シランとゲルマニウム源(例えば、GeH)はシリコンゲルマニウム含有膜を堆積させるために用いられている。この実施形態においては、シラン源とゲルマニウム源の割合は、段階的膜を成長させつつ、シリコン化合物の元素濃度を制御するのに変えることができる。他の実施形態においては、シランと炭素源(例えば、CHSiH)はシリコン炭素含有膜を堆積させるために用いられている。シランと炭素源の割合は、均質又は段階的な膜を成長させつつ、シリコン化合物の元素濃度を制御するのに変えることができる。他の実施形態においては、シラン、ゲルマニウム源(例えば、GeH)、炭素源(例えば、CHSiH)はシリコンゲルマニウム炭素含有膜を堆積させるために用いられている。シラン、ゲルマニウム、炭素源の割合は、均質又は段階的な膜を成長させつつ、元素濃度を制御させるために変えることができる。
[0036]本発明のプロセスにおいては、シリコン化合物膜は化学気相堆積(CVD)プロセスによって成長し、ここで、CVDプロセスには原子層成長(ALD)プロセス及び/又は原子層エピタキシー(ALE)プロセスが含まれる。化学気相堆積としては、プラズマ増強型CVD(PA‐CVD)、原子層CVD(ALCVD)、有機金属CVD又は金属有機CVD(OMCVD又はMOCVD)、レーザ増強型CVD(LA‐CVD)、紫外線CVD(UV‐CVD)、熱線(HWCVD)、減圧又は低圧CVD(RP‐CVD又はLP‐CVD)、超高真空CVD(UHV‐CVD)等の多くの技術の使用が含まれる。好ましくは、そのプロセスはシリコン化合物をエピタキシャル的に成長させ堆積させるために熱CVDを用いるが、シリコン化合物はシリコン、SiGe、SiC、SiGeC、ドープされたその変形やその組合わせを含んでいる。
[0037]本発明のプロセスは、ALE、CVDとALDの技術において既知の装置で実施することができる。装置は、ソースをシリコン化合物膜が成長する加熱した基板と接触した状態にする。プロセスは約1mトール〜約2,300トール、好ましくは約0.1トール〜約200トールの圧力の範囲で動作し得る。シリコン含有膜を堆積させるために使用し得るハードウェアは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できるEpiCentura(登録商標)やシステムPolyGen(登録商標)システムを含んでいる。ALD装置は、米国特許公報第20030079686号として公表され、アプライドマテリアルズ社に譲渡され、“ALDのためのガス分配装置と方法”と称する、米国特許出願第10/032,284号で開示され、装置を記載するためにこの開示内容は本明細書に全体で援用されている。他の装置は、当該技術において既知であるように、バッチ、高温炉を含んでいる。
[0038]図1A‐図1Cに示されるように、金属酸化物半導体電界効果トランジスタ(MOSFET)とバイポーラトランジスタにおいてシリコン化合物層を堆積させプロセスが非常に有効である。ここで、シリコン化合物は堆積した層又は膜であり、本発明のプロセスの間、エピタキシャル的に成長した、Si、SiGe、SiC、SiGeC、それらのドープした変形、それらの組合わせを含んでいる。シリコン化合物は、膜内にひずんだ又はひずんでいない層を含んでいる。
[0039]図1A‐図1Bは、MOSFET上でエピタキシャル的に成長したシリコン化合物を示す図である。シリコン化合物は、デバイスのソース/ドレイン特徴部に堆積する。シリコン化合物は、下に横たわる層の結晶格子に付着し成長し、シリコン化合物が厚みとともに成長するにつれてこの配置を維持する。一実施形態においては、図1Aはソース/ドレイン伸長源として堆積したシリコン化合物を示し、他の実施形態においては、図1Bは高ソース/ドレイン(ESD)として堆積したシリコン化合物を示す図である。
[0040]ソース/ドレイン層12は基板10のイオン注入によって形成される。一般的には、基板10はドープされたn型であり、ソース/ドレイン層12がドープされたp型である。シリコン化合物層14は、本発明の様々な実施形態によって、ソース/ドレイン層12に対してエピタキシャル的に成長する。ゲート酸化物層18は、セグメントシリコン化合物層14(図1A)か又はセグメントソース/ドレイン層12(図1B)を架橋している。一般的には、ゲート酸化物層18は、二酸化ケイ素、酸窒化ケイ素又は酸化タンタルから構成されている。ゲート酸化物層18を部分的に取り囲んでいるのはスペーサ16であり、それは通常は絶縁材料、例えば、窒化物/酸化物スタック(例えば、Si/SiO/Si)である。また、スペーサ16の内部は、オフセット層20(例えば、Si)とゲート層22(例えば、W又はNi)である。
[0041]他の実施形態においては、図1Cは、バイポーラトランジスタのベース層として堆積したシリコン化合物層34を示す図である。シリコン化合物層34は、本発明の様々な実施形態においてエピタキシャル的に成長する。シリコン化合物層34は、すでに基板30に堆積したn型コレクタ層32に堆積する。トランジスタは、更に、絶縁層33(例えば、SiO又はSi)と、コンタクト層36(例えば、大量にドープされたポリSi)と、オフセット層38(例えば、Si)と、第2絶縁層40(例えば、SiO又はSi)とを含んでいる。
[0042]一実施形態においては、図2A‐2Fに示されるように、ソース/ドレイン伸長部はMOSFET内に形成され、ここで、シリコン化合物層は、基板の表面上にエピタキシャル的に且つ選択的に堆積する。図2Aは、基板130の表面に、ドーパントイオンのようなイオンを注入することによって形成されるソース/ドレイン層132を示す図である。ソース/ドレイン層132のセグメントは、オフセット層134内に形成されたゲート136によって架橋される。ソース/ドレイン層の一部分は、図2Bのように、エッチングされ湿式洗浄されて、凹部138を生成する。
[0043]図2Cは、本発明のいくつかの実施形態を示す図であり、シリコン化合物層140(エピタキシャル)と142(多結晶)が選択的に堆積する。シリコン化合物層140と142は、オフセット層134の上には堆積されないで同時に堆積する。シリコン化合物層140と142は、一般的には、約1原子パーセント〜約30原子パーセント、好ましくは約20原子パーセントのゲルマニウム濃度と約1×1020原子/cm〜約2.5×1021原子/cm、好ましくは2×1020原子/cmのドーパント(例えば、B、As又はP)濃度でドープされたSiGe含有層である。次のステップの間、図2Dは、オフセット層134に堆積した窒化物スペーサ144(例えば、Si)を示す図である。
[0044]図2Eは、本発明の他の実施形態を示す図であり、シリコン化合物はシリコン化合物層148としてエピタキシャル的に且つ選択的に堆積する。シリコン化合物層148は、層140(ドープされたSiGe)の上に堆積する。多結晶シリコン層146は、シリコン化合物層142(ドープされたSiGe)の上に堆積する。
[0045]図2Fに示される次のステップにおいては、金属層154は、特徴部の上に堆積し、デバイスがアニールされる。金属層154は、他の金属の中でも、コバルト、ニッケル又はチタンを含むことができる。アニールプロセスの間、多結晶シリコン層146とシリコン化合物層148は、金属シリサイド層150と152にそれぞれ変換される。即ち、コバルトが金属層154として堆積する場合には、金属シリサイド層150と152はアニールプロセス後にコバルトシリサイドとなる。
[0046]シリコン化合物はインサイチュドーパントで大量にドープされる。それ故、先行技術のアニールステップは省略され、スループット全体はより短くなる。チャネルに沿ったキャリア移動度や次の駆動電流の増加は、シリコン化合物層にゲルマニウム及び/又は炭素を任意に追加して達成される。ゲート酸化物レベルより高く選択的に成長したシリコン化合物のエピレイヤは、シリサイド化の間の接合の消費を相殺することができ、それが極端に浅い接合の高シリーズ抵抗の関与を除去することができる。これら2つの適用は、CMOSデバイス製造に対して共に、又は単独で実施することができる。
[0047]本明細書で実施形態によって堆積されたシリコン化合物は、バイポーラ(例えば、ベース、エミッタ、コレクタ、エミッタコンタクト)、BiCMOS(例えば、ベース、エミッタ、コレクタ、エミッタコンタクト)、CMOS(例えば、チャンネル、ソース/ドレイン、ソース/ドレイン伸長部、高ソース/ドレイン、基板、ひずんだシリコン、シリコン・オン・インシュレータ、コンタクトプラグ)を含むデバイスの製造に用いることができる。プロセスの他の実施形態は、ゲート、ベースコンタクト、コレクタコンタクト、エミッタコンタクト、高ソース/ドレイン、他の使用として使用し得るシリコン化合物膜の成長を教示している。
[0048]実施例1:ホウ素をドープしたシリコンゲルマニウム堆積:基板Si<100>(例えば、300mmOD)を、CVDによる選択的単結晶膜の成長を調べるために用いた。誘電特徴部はウエハの表面上に存在した。ウエハを1%HF浸漬に45秒間供することによって調製した。ウエハを、堆積チャンバ(EpiCentura(登録商標)チャンバ)へ装填し、水素雰囲気中800℃で60秒間ベークして、未変性酸化物を除去した。キャリヤガス、水素フローを基板に向かって送り、ソース化合物をキャリアフローに加えた。シラン(100sccm)とゲルマン(6sccm)を15トールと725℃のチャンバに添加した。塩化水素を460sccmの流量で分配した。ジボランを1sccmの流量で分配した。基板を725℃に維持した。21原子パーセントのゲルマニウム濃度をもつ500オングストロームのSiGe膜を形成するために堆積を5分間実施し、ホウ素濃度は2.0×1020cm−3であった。
[0049]実施例2:リンをドープしたシリコンゲルマニウム堆積:基板を、実施例1のように調製した。ウエハを堆積チャンバ(EpiCentura(登録商標)チャンバ)へ装填し、水素雰囲気中800℃で60秒間ベークして、未変性酸化物を除去した。キャリヤガス、水素フローを基板に向かって送り、ソース化合物はキャリアフローに加えた。シラン(100sccm)とゲルマン(4sccm)を15トールと725℃のチャンバに添加した。塩化水素を250sccmの流量で分配した。ホスフィンを1sccmの流量でチャンバに分配した。基板を725℃に維持した。20原子パーセントのゲルマニウム濃度をもつ500オングストロームのSiGe膜を形成するために堆積を5分間実施し、リン濃度は1.6×1020cm−3であった。
[0050]実施例3:連続Cl SiH とSiH のフローによるホウ素をドープしたシリコンゲルマニウム堆積:基板を実施例1のように調製した。ウエハを堆積チャンバ(Epi Centura(登録商標)チャンバ)へ装填し、水素雰囲気中800℃で60秒間ベークして、未変性酸化物を除去した。キャリヤガス、水素フローを基板に向かって送り、ソース化合物はキャリアフローに加えた。ジクロロシラン(100sccm)とゲルマン(2.8sccm)とジボラン(0.3sccm)を15トールと725℃のチャンバに追加した。塩化水素を190sccmの流量で分配した。基板を725℃に維持した。50オングストロームの厚さのシリコン化合物の最初の層を形成するために堆積を72秒間行った。第1層の最上部に、続いてのエピタキシャル層(即ち、シリコン化合物の第2層)を、シラン(100sccm)、ゲルマン(6sccm)、塩化水素(460sccm)、ジボラン(1sccm)を用いて堆積させた。チャンバの圧力や温度は一定(15トールと725℃)のままで、堆積を144秒間行い、第2層の250オングストローム層を形成した。
[0051]実施例4:連続SiH とCl SiH を用いたホウ素をドープしたシリコンゲルマニウム堆積:基板を実施例1のように調製した。ウエハを堆積チャンバ(Epi Centura(登録商標)チャンバ)へ装填し、水素雰囲気中800℃で60秒間ベークして、未変性酸化物を除去した。キャリヤガス、水素フロー基板に向かって送り、ソース化合物をキャリアフローに加えた。シラン(100sccm)とゲルマン(6sccm)とジボラン(1sccm)を15トールと725℃のチャンバに添加した。塩化水素を460sccmの流量で分配した。基板を725℃に維持した。堆積は、250オングストロームの厚さのシリコン化合物の第1層を形成するために堆積を144秒間行われた。第1層の最上部では、シリコン化合物の第2層が、ジクロロシラン(100sccm)、ゲルマン(2.8sccm)、塩化水素(190sccm)、ジボラン(0.3sccm)を用いて連続して堆積した。一定(15トールと725℃)のままのチャンバ圧や温度を72秒間行って、更に50オングストローム層を形成した。
[0052]上記は本発明の実施形態に関するが、本発明の更に多くの実施形態がその基本範囲から逸脱しないで構成されてもよく、本発明の範囲は次に続く特許請求の範囲によって決定される。
図1Aは、エピタキシャル的に堆積したシリコン含有層を有するデバイスを示す図である。 図1Bは、エピタキシャル的に堆積したシリコン含有層を有するデバイスを示す図である。 図1Cは、エピタキシャル的に堆積したシリコン含有層を有するデバイスを示す図である。 図2Aは、MOSFET内のソース/ドレイン伸長デバイスに対する製造技術を示す概略図である。 図2Bは、MOSFET内のソース/ドレイン伸長デバイスに対する製造技術を示す概略図である。 図2Cは、MOSFET内のソース/ドレイン伸長デバイスに対する製造技術を示す概略図である。 図2Dは、MOSFET内のソース/ドレイン伸長デバイスに対する製造技術を示す概略図である。 図2Eは、MOSFET内のソース/ドレイン伸長デバイスに対する製造技術を示す概略図である。 図2Fは、MOSFET内のソース/ドレイン伸長デバイスに対する製造技術を示す概略図である。
符号の説明
10…基板、12…ソース/ドレイン層、14…シリコン化合物層、16…スペーサ、18…ゲート酸化物層、20…オフセット層、22…ゲート層、30…基板、32…コレクタ層、33…絶縁層、34…堆積したシリコン化合物層、36…コンタクト層、38…オフセット層、40…第2オフセット層、130…基板、132…ソース/ドレイン層、134…オフセット層、140…シリコン化合物層、142…シリコン化合物層、146…多結晶シリコン層、148…シリコン化合物層、150…金属シリサイド層、152…金属シリサイド層。

Claims (42)

  1. 基板上にシリコンゲルマニウム膜を堆積させる方法であって、
    該基板をプロセスチャンバ内に配置するステップと、
    該基板を約500℃〜約900℃の範囲の温度に加熱するステップと、
    圧力を約0.1トール〜約200トールの範囲に維持するステップと、
    SiH、GeH、HCl、キャリヤガス、少なくとも1つのドーパントガスを含む堆積ガスを供給するステップと、
    該シリコンゲルマニウム膜を該基板上にエピタキシャル的に堆積させるステップと、
    を含む、前記方法。
  2. 該少なくとも1つのドーパントガスが、BH、B、B、MeB、EtB、それらの複合物及びそれらの誘導体又はそれらの組合わせからなる群より選ばれるホウ素含有化合物である、請求項1記載の方法。
  3. 該シリコンゲルマニウム膜が、約1×1020原子/cm〜約2.5×1021原子/cmの範囲のホウ素濃度で堆積する、請求項2記載の方法。
  4. 該少なくとも1つのドーパントガスが、ヒ素含有化合物又はリン含有化合物を含んでいる、請求項1記載の方法。
  5. 該キャリヤガスが、H、Ar、N、He又はそれらの組合わせからなる群より選ばれる、請求項1記載の方法。
  6. 該堆積ガスが、更に、炭素源、ClSiH又はそれらの組合わせからなる群より選ばれる1種を含んでいる、請求項5記載の方法。
  7. 該温度が、約600℃〜約750℃の範囲にある、請求項6記載の方法。
  8. 該シリコンゲルマニウム膜が、約100オングストローム〜約3,000オングストロームの範囲の厚さに成長する、請求項7記載の方法。
  9. 該シリコンゲルマニウム膜が、CMOS、バイポーラ又はBiCMOS用途に用いられる電子デバイス内で堆積する、請求項8記載の方法。
  10. 製造ステップが、コンタクトプラグ、ソース/ドレイン伸長部、高ソース/ドレイン及びバイポーラトランジスタからなる群より選ばれる、請求項9記載の方法。
  11. 該シリコンゲルマニウム膜が第1厚さに堆積し、その中でSiHがClSiHで置き換えられ、第2シリコンゲルマニウム膜が該シリコンゲルマニウム膜上に第2厚さに堆積する、請求項1記載の方法。
  12. シリコン含有膜が、該シリコンゲルマニウム膜の前に該基板上に堆積する、請求項1記載の方法。
  13. 該シリコン含有膜が、ClSiHを含むプロセスガスから堆積される、請求項12記載の方法。
  14. 基板上にシリコンゲルマニウム膜を成長させるための選択的エピタキシャル方法であって、
    該基板をプロセスチャンバ内に約0.1トール〜約200トールの範囲の圧力で配置するステップと、
    該基板を約500℃〜約900℃の範囲の温度に加熱するステップと、
    SiH、ゲルマニウム源、エッチング源、キャリヤガス、少なくとも1つのドーパントガスを含む堆積ガスを供給するステップと、
    約1×1020原子/cm〜約2.5×1021原子/cmの範囲のドーパント濃度で該シリコンゲルマニウム膜を選択的に成長させるステップと、
    を含む、前記方法。
  15. 該ゲルマニウム源が、GeH、Ge、Ge、Ge10、それらの誘導体又はそれらの組合わせからなる群より選ばれる、請求項14記載の方法。
  16. 該キャリヤガスが、H、Ar、N、He又はそれらの組合わせからなる群より選ばれる、請求項15記載の方法。
  17. 該温度が、約600℃〜約750℃の範囲にある、請求項16記載の方法。
  18. 該エッチング剤源が、HCl、SiCl、CCl、HCCl、Cl、それらの誘導体又はそれらの組合わせからなる群より選ばれる、請求項17記載の方法。
  19. 該少なくとも1つのドーパントガスが、BH、B、B、MeB、EtB、それらの複合物、及びそれらの誘導体又はそれらの組合わせからなる群より選ばれるホウ素含有化合物である、請求項14記載の方法。
  20. 該少なくとも1つのドーパントガスが、ヒ素含有化合物又はリン含有化合物からなる群より選ばれる、請求項14記載の方法。
  21. 該堆積ガスが、更に、炭素源、ClSiH又はそれらの組合わせからなる群より選ばれる1種を含んでいる、請求項14記載の方法。
  22. 該シリコンゲルマニウム膜が、約100オングストローム〜約3,000オングストロームの範囲の厚さに成長する、請求項17記載の方法。
  23. 該シリコンゲルマニウム膜が、CMOS、バイポーラ又はBiCMOS用途に用いられる電子デバイス内で堆積する、請求項22記載の方法。
  24. 製造ステップが、コンタクトプラグ、ソース/ドレイン伸長部、高ソース/ドレイン又はバイポーラトランジスタからなる群より選ばれる、請求項23記載の方法。
  25. 該シリコンゲルマニウム膜が第1厚さに成長し、その中でSiHがClSiHで置き換えられ、第2シリコンゲルマニウム膜が該シリコンゲルマニウム膜上に第2厚さに堆積する、請求項14記載の方法。
  26. シリコン含有膜が、該シリコンゲルマニウム膜の前に該基板上に堆積する、請求項14記載の方法。
  27. 該シリコン含有膜が、ClSiHを含むプロセスガスから堆積される、請求項26記載の方法。
  28. 基板上にシリコン含有膜を成長させるための選択的エピタキシャル方法であって、
    該基板をプロセスチャンバ内に約0.1トール〜約200トールの範囲の圧力で配置するステップと、
    該基板を約500℃〜約900℃の範囲の温度に加熱するステップと、
    SiH、HCl、キャリヤガスを含む堆積ガスを供給するステップと、
    該シリコン含有膜を約50オングストローム/分〜約600オングストローム/分の速度で成長させるステップと、
    を含む、前記方法。
  29. 該堆積ガスが、更に、少なくとも1つのドーパントガスを含んでいる、請求項28記載の方法。
  30. 該少なくとも1つのドーパントガスが、BH、B、B、MeB、EtB、それらの複合物、及びそれらの誘導体又はそれらの組合わせからなる群より選ばれるホウ素含有化合物である、請求項29記載の方法。
  31. 該シリコン含有膜が、約1×1020原子/cm〜約2.5×1021原子/cmの範囲のホウ素濃度で堆積する、請求項30記載の方法。
  32. 該少なくとも1つのドーパントガスが、ヒ素含有化合物又はリン含有化合物を含んでいる、請求項28記載の方法。
  33. 該キャリヤガスが、H、Ar、N、He又はそれらの組合わせからなる群より選ばれる、請求項28記載の方法。
  34. 該温度が約650℃〜約800℃の範囲にある、請求項33記載の方法。
  35. 該堆積ガスが、更に、炭素源、ClSiH又はそれらの組合わせからなる群より選ばれる1種を含んでいる、請求項28記載の方法。
  36. 該シリコン含有膜が、CMOS、バイポーラ又はBiCMOS用途に用いられる電子デバイス内で堆積する、請求項28記載の方法。
  37. 製造ステップが、コンタクトプラグ、ソース/ドレイン伸長部、高ソース/ドレイン及びバイポーラトランジスタからなる群より選ばれる、請求項36記載の方法。
  38. 該シリコン含有膜が第1厚さに成長し、その中でSiHがClSiHで置き換えられ、第2シリコン含有膜が該シリコン含有膜上に第2厚さに堆積する、請求項28記載の方法。
  39. 第2シリコン含有膜が、該シリコンゲルマニウム膜の前に該基板上に堆積する、請求項28記載の方法。
  40. 該シリコン含有膜が、ClSiHを含むプロセスガスから堆積される、請求項39記載の方法。
  41. 基板上にシリコン含有膜を成長させるための選択的エピタキシャル方法であって、
    該基板をプロセスチャンバ内に約0.1トール〜約200トールの範囲の圧力で配置させるステップと、
    該基板を約500℃〜約900℃の範囲の温度に加熱するステップと、
    ClSiH、HCl、キャリヤガスを含む堆積ガスを供給するステップと、
    該基板上にシリコン含有層を堆積させるステップと、
    SiHと、HClと、第2キャリヤガスとを含む第2堆積ガスを供給するステップと、
    該シリコン含有層上に第2シリコン含有層を堆積させるステップと、
    を含む、前記方法。
  42. 基板上にシリコン含有膜を堆積させる方法であって、
    該基板をプロセスチャンバ内に配置させるステップと、
    該基板を約500℃〜約900℃の範囲の温度に加熱するステップと、
    約0.1トール〜約200トールの範囲の圧力を維持するステップと、
    SiH、ゲルマニウム源、HCl、少なくとも1つのドーパントガス、N、Ar、He及びそれらの組合わせからなる群より選ばれるキャリヤガスを含む堆積ガスを供給するステップと、
    該基板上にエピタキシャル的に該シリコン含有膜を選択的に堆積させるステップと、
    を含む、前記方法。
JP2006533945A 2003-10-10 2004-09-21 大量にドープされたエピタキシャルSiGeを選択的に堆積させる方法 Expired - Fee Related JP4969244B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/683,937 2003-10-10
US10/683,937 US7166528B2 (en) 2003-10-10 2003-10-10 Methods of selective deposition of heavily doped epitaxial SiGe
PCT/US2004/030872 WO2005038890A1 (en) 2003-10-10 2004-09-21 Methods of selective deposition of heavily doped epitaxial sige

Publications (2)

Publication Number Publication Date
JP2007514294A true JP2007514294A (ja) 2007-05-31
JP4969244B2 JP4969244B2 (ja) 2012-07-04

Family

ID=34422873

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006533945A Expired - Fee Related JP4969244B2 (ja) 2003-10-10 2004-09-21 大量にドープされたエピタキシャルSiGeを選択的に堆積させる方法

Country Status (6)

Country Link
US (3) US7166528B2 (ja)
EP (1) EP1680808A1 (ja)
JP (1) JP4969244B2 (ja)
KR (1) KR20060110291A (ja)
CN (2) CN100468625C (ja)
WO (1) WO2005038890A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009004604A (ja) * 2007-06-22 2009-01-08 Fujitsu Microelectronics Ltd 半導体装置の製造方法、半導体装置および半導体層の形成方法
JP4762998B2 (ja) * 2005-10-27 2011-08-31 東京エレクトロン株式会社 処理方法及び記録媒体
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
TWI645043B (zh) * 2013-02-06 2018-12-21 美商應用材料股份有限公司 氣體注入裝置及倂入同一氣體注入裝置之基板處理室

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
EP1611602A1 (en) * 2003-03-28 2006-01-04 Koninklijke Philips Electronics N.V. Method for epitaxial deposition of an n-doped silicon layer
US7868358B2 (en) * 2003-06-06 2011-01-11 Northrop Grumman Systems Corporation Coiled circuit device with active circuitry and methods for making the same
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US20100120235A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7060576B2 (en) * 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
JP2007535147A (ja) * 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド インサイチュドープトエピタキシャルフィルム
US7135391B2 (en) * 2004-05-21 2006-11-14 International Business Machines Corporation Polycrystalline SiGe junctions for advanced devices
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
KR100593736B1 (ko) * 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
US7361563B2 (en) * 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US8673706B2 (en) * 2004-09-01 2014-03-18 Micron Technology, Inc. Methods of forming layers comprising epitaxial silicon
US7132355B2 (en) * 2004-09-01 2006-11-07 Micron Technology, Inc. Method of forming a layer comprising epitaxial silicon and a field effect transistor
JP2006068393A (ja) * 2004-09-03 2006-03-16 Olympus Corp 内視鏡
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100585175B1 (ko) * 2005-01-31 2006-05-30 삼성전자주식회사 화학 기상 증착법에 의한 GeSbTe 박막의 제조방법
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US20060208257A1 (en) * 2005-03-15 2006-09-21 Branz Howard M Method for low-temperature, hetero-epitaxial growth of thin film cSi on amorphous and multi-crystalline substrates and c-Si devices on amorphous, multi-crystalline, and crystalline substrates
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2008547238A (ja) * 2005-06-30 2008-12-25 フリースケール セミコンダクター インコーポレイテッド 半導体構造を形成する方法
US20070048956A1 (en) * 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US20070057320A1 (en) * 2005-09-12 2007-03-15 Tetsuji Ueno Semiconductor Devices with Stressed Channel Regions and methods Forming the Same
US7612389B2 (en) * 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
KR100663010B1 (ko) * 2005-09-23 2006-12-28 동부일렉트로닉스 주식회사 모스 트랜지스터 및 그 제조 방법
CN100442476C (zh) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7410875B2 (en) * 2006-04-06 2008-08-12 United Microelectronics Corp. Semiconductor structure and fabrication thereof
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
FR2900277B1 (fr) * 2006-04-19 2008-07-11 St Microelectronics Sa Procede de formation d'une portion monocristalline a base de silicium
FR2900275A1 (fr) * 2006-04-19 2007-10-26 St Microelectronics Sa Procede de formation d'une portion monocristalline a base de silicium
KR101170210B1 (ko) * 2006-05-01 2012-08-01 어플라이드 머티어리얼스, 인코포레이티드 탄소 합금된 si 필름을 사용한 초박형 접합 형성 방법
US7678631B2 (en) * 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7998788B2 (en) * 2006-07-27 2011-08-16 International Business Machines Corporation Techniques for use of nanotechnology in photovoltaics
CN101496153A (zh) * 2006-07-31 2009-07-29 应用材料股份有限公司 形成含碳外延硅层的方法
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US9064960B2 (en) 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8835263B2 (en) * 2007-02-21 2014-09-16 Texas Instruments Incorporated Formation of a selective carbon-doped epitaxial cap layer on selective epitaxial SiGe
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
KR20080102065A (ko) * 2007-05-18 2008-11-24 삼성전자주식회사 에피택시얼 실리콘 구조물 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
US7776679B2 (en) * 2007-07-20 2010-08-17 Stmicroelectronics Crolles 2 Sas Method for forming silicon wells of different crystallographic orientations
CN101364545B (zh) 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
JP5311791B2 (ja) * 2007-10-12 2013-10-09 東京エレクトロン株式会社 ポリシリコン膜の形成方法
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7994010B2 (en) * 2007-12-27 2011-08-09 Chartered Semiconductor Manufacturing Ltd. Process for fabricating a semiconductor device having embedded epitaxial regions
US8293592B2 (en) * 2008-04-16 2012-10-23 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
JP2010103142A (ja) * 2008-10-21 2010-05-06 Toshiba Corp 半導体装置の製造方法
JP2010141223A (ja) * 2008-12-15 2010-06-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
CN102024761A (zh) 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN101724896B (zh) * 2009-11-26 2012-08-08 上海宏力半导体制造有限公司 一种非选择性生长锗硅外延的方法
US9117905B2 (en) * 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
US8012859B1 (en) 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US8598020B2 (en) 2010-06-25 2013-12-03 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
CN102465336B (zh) * 2010-11-05 2014-07-09 上海华虹宏力半导体制造有限公司 一种高锗浓度的锗硅外延方法
WO2012102755A1 (en) 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
SG191896A1 (en) 2011-02-08 2013-08-30 Applied Materials Inc Epitaxy of high tensile silicon alloy for tensile strain applications
US9218962B2 (en) * 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102931058B (zh) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法,pmos晶体管的形成方法
CN102956465A (zh) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 金属栅极和mos晶体管的形成方法
US20130089962A1 (en) * 2011-10-11 2013-04-11 Chung-Fu Chang Semiconductor process
CN103132049B (zh) * 2011-11-25 2015-08-05 中芯国际集成电路制造(上海)有限公司 锗硅薄膜的形成方法及形成装置
JP5780981B2 (ja) * 2012-03-02 2015-09-16 東京エレクトロン株式会社 ゲルマニウム薄膜の成膜方法
KR20140016008A (ko) 2012-07-30 2014-02-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9059212B2 (en) 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
WO2014089813A1 (zh) * 2012-12-14 2014-06-19 复旦大学 一种晶体管及其制造方法
CN103928294B (zh) * 2013-01-15 2016-12-28 中芯国际集成电路制造(上海)有限公司 选择性外延生长锗硅的晶片预处理方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9721792B2 (en) 2013-09-16 2017-08-01 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9064961B2 (en) * 2013-09-18 2015-06-23 Global Foundries Inc. Integrated circuits including epitaxially grown strain-inducing fills doped with boron for improved robustness from delimination and methods for fabricating the same
CN104701164A (zh) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 半导体器件和半导体器件的制作方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105047526A (zh) * 2014-04-21 2015-11-11 应用材料公司 沉积中卤素分子用作反应剂增强外延膜中掺杂剂结合的方法
WO2015191268A1 (en) * 2014-06-13 2015-12-17 Applied Materials, Inc. Dual auxiliary dopant inlets on epi chamber
CN104201108B (zh) * 2014-08-27 2017-11-07 上海集成电路研发中心有限公司 SiGe源/漏区的制造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104392929A (zh) * 2014-11-26 2015-03-04 上海华力微电子有限公司 嵌入式碳化硅的制备方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102534730B1 (ko) * 2015-04-10 2023-05-26 어플라이드 머티어리얼스, 인코포레이티드 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
FR3057102A1 (fr) * 2016-10-05 2018-04-06 Stmicroelectronics Sa Procede de depot par epitaxie en phase gazeuse
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106783965A (zh) * 2016-12-01 2017-05-31 上海华力微电子有限公司 一种锗硅源漏极及制备方法
TW202314792A (zh) 2016-12-12 2023-04-01 美商應用材料股份有限公司 形成應變通道層的方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102501287B1 (ko) 2018-07-30 2023-02-21 어플라이드 머티어리얼스, 인코포레이티드 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110120344B (zh) * 2019-04-09 2022-08-16 上海华虹宏力半导体制造有限公司 一种在锗硅hbt中用氮化硅侧墙实现自对准结构的方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
KR20210011748A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
KR20220038157A (ko) * 2019-07-26 2022-03-25 어플라이드 머티어리얼스, 인코포레이티드 이방성 에피택셜 성장
CN112309843A (zh) * 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112408327A (zh) * 2020-12-18 2021-02-26 天津中科拓新科技有限公司 一种制备电子级锗烷联产电子级四氟锗烷的方法及装置
TW202240012A (zh) * 2021-03-05 2022-10-16 荷蘭商Asm Ip私人控股有限公司 膜沉積系統及方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11830734B2 (en) * 2021-05-19 2023-11-28 Applied Materials, Inc. Thermal deposition of silicon-germanium

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003077844A (ja) * 2001-09-03 2003-03-14 Hitachi Ltd 半導体装置及びその製造方法

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US552733A (en) * 1896-01-07 stansel
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
JPS5898917A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US4818723A (en) * 1985-11-27 1989-04-04 Advanced Micro Devices, Inc. Silicide contact plug formation technique
JPS62171999A (ja) 1986-01-27 1987-07-28 Nippon Telegr & Teleph Corp <Ntt> 3−v族化合物半導体のエピタキシヤル結晶成長方法
JPS6362313A (ja) 1986-09-03 1988-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPH01270593A (ja) 1988-04-21 1989-10-27 Fujitsu Ltd 化合物半導体層形成方法
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02172895A (ja) 1988-12-22 1990-07-04 Nec Corp 半導体の結晶成長方法
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JPH0671073B2 (ja) * 1989-08-29 1994-09-07 株式会社東芝 半導体装置及びその製造方法
JPH03286522A (ja) 1990-04-03 1991-12-17 Nec Corp Siの結晶成長方法
JP2880322B2 (ja) 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
JPH0547665A (ja) 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
JP2828152B2 (ja) 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) * 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
EP0799495A4 (en) 1994-11-10 1999-11-03 Lawrence Semiconductor Researc SILICON-GERMANIUM-CARBON COMPOSITIONS AND RELATED PROCESSES
US5846867A (en) 1995-12-20 1998-12-08 Sony Corporation Method of producing Si-Ge base heterojunction bipolar device
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
AUPO347196A0 (en) 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
JPH10321818A (ja) * 1997-05-21 1998-12-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
WO1999045167A1 (en) 1998-03-06 1999-09-10 Asm America, Inc. Method of depositing silicon with high step coverage
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
US6037235A (en) 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
EP1065728B1 (en) 1999-06-22 2009-04-22 Panasonic Corporation Heterojunction bipolar transistors and corresponding fabrication methods
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6645838B1 (en) 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
WO2002045167A2 (en) * 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
CN1168121C (zh) * 2001-03-08 2004-09-22 中国科学院半导体研究所 气源分子束外延生长锗硅异质结双极晶体管材料掺杂方法
JP3547419B2 (ja) 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
JP3890202B2 (ja) * 2001-03-28 2007-03-07 株式会社日立製作所 半導体装置の製造方法
KR100500013B1 (ko) 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
WO2002097864A2 (en) 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
WO2002099890A1 (fr) * 2001-06-05 2002-12-12 Sony Corporation Couche semi-conductrice et son procede de formation, et dispositif semi-conducteur et son procede de production
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6777317B2 (en) * 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6858537B2 (en) * 2001-09-11 2005-02-22 Hrl Laboratories, Llc Process for smoothing a rough surface on a substrate by dry etching
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6839507B2 (en) 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
JP2007535147A (ja) * 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド インサイチュドープトエピタキシャルフィルム
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100625175B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003077844A (ja) * 2001-09-03 2003-03-14 Hitachi Ltd 半導体装置及びその製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4762998B2 (ja) * 2005-10-27 2011-08-31 東京エレクトロン株式会社 処理方法及び記録媒体
JP2009004604A (ja) * 2007-06-22 2009-01-08 Fujitsu Microelectronics Ltd 半導体装置の製造方法、半導体装置および半導体層の形成方法
US8293622B2 (en) 2007-06-22 2012-10-23 Fujitsu Semiconductor Limited Semiconductor device fabrication method, semiconductor device, and semiconductor layer formation method
TWI645043B (zh) * 2013-02-06 2018-12-21 美商應用材料股份有限公司 氣體注入裝置及倂入同一氣體注入裝置之基板處理室
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
TWI647851B (zh) * 2016-11-29 2019-01-11 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置及其形成方法
US10770570B2 (en) 2016-11-29 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US11450757B2 (en) 2016-11-29 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming

Also Published As

Publication number Publication date
KR20060110291A (ko) 2006-10-24
CN101483136A (zh) 2009-07-15
CN1875461A (zh) 2006-12-06
US7517775B2 (en) 2009-04-14
US20060234488A1 (en) 2006-10-19
WO2005038890A1 (en) 2005-04-28
EP1680808A1 (en) 2006-07-19
JP4969244B2 (ja) 2012-07-04
US7737007B2 (en) 2010-06-15
CN101483136B (zh) 2012-02-29
CN100468625C (zh) 2009-03-11
US7166528B2 (en) 2007-01-23
US20050079691A1 (en) 2005-04-14
US20090011578A1 (en) 2009-01-08

Similar Documents

Publication Publication Date Title
JP4969244B2 (ja) 大量にドープされたエピタキシャルSiGeを選択的に堆積させる方法
US11018003B2 (en) Method of selective silicon germanium epitaxy at low temperatures
US7439142B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
JP5303148B2 (ja) 交互ガス供給による選択的エピタキシープロセス
JP4918043B2 (ja) シリコンエピタキシャル膜形成方法
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7560352B2 (en) Selective deposition
KR101098102B1 (ko) 실리콘 탄소 에피택셜 층의 선택적 형성
US8394196B2 (en) Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US20230223257A1 (en) Methods of epitaxially growing boron-containing structures
KR20070022046A (ko) 선택적인 증착 프로세스들을 이용하여 mosfet 소자를제조하는 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070906

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101130

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111227

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120313

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120403

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150413

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4969244

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees