KR101098102B1 - 실리콘 탄소 에피택셜 층의 선택적 형성 - Google Patents

실리콘 탄소 에피택셜 층의 선택적 형성 Download PDF

Info

Publication number
KR101098102B1
KR101098102B1 KR1020080095928A KR20080095928A KR101098102B1 KR 101098102 B1 KR101098102 B1 KR 101098102B1 KR 1020080095928 A KR1020080095928 A KR 1020080095928A KR 20080095928 A KR20080095928 A KR 20080095928A KR 101098102 B1 KR101098102 B1 KR 101098102B1
Authority
KR
South Korea
Prior art keywords
silicon
deposition
source
forming
substrate
Prior art date
Application number
KR1020080095928A
Other languages
English (en)
Other versions
KR20090035430A (ko
Inventor
지유안 예
사우라흐 초프라
앤드류 람
이환 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090035430A publication Critical patent/KR20090035430A/ko
Application granted granted Critical
Publication of KR101098102B1 publication Critical patent/KR101098102B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

n-도프된 실리콘을 함유하는 에피택셜 층의 형성 방법이 설명되어 있다. 특정 실시예들은 반도체 장치, 예를 들어 금속 산화물 반도체 전계 효과(MOSFET) 장치 내에 에피택셜 층들의 형성하고 처리하는 것에 관한 것이다. 특정 실시예에서, n-도프된 에피택셜 층의 형성은 프로세스 챔버 내의 기판을 실리콘 소오스, 탄소 소오스 및 n-도펀트 소오스를 포함하는 증착 가스에 제 1 온도와 압력에서 노출시킨 후에 상기 기판을 증착 중의 온도와 압력보다 더 높은 온도와 압력에서 에칭제에 노출시키는 단계를 포함한다.

Description

실리콘 탄소 에피택셜 층의 선택적 형성 {SELECTIVE FORMATION OF SILICON CARBON EPITAXIAL LAYER}
본 발명의 실시예들은 일반적으로 실리콘과 탄소를 함유하는 에피택셜 층의 선택적 형성을 위한 방법 및 장치에 관한 것이다. 특정 실시예들은 반도체, 예를 들어 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET) 장치 내에 n-도프된 에피택셜 층의 선택적 형성을 위한 방법 및 장치를 포함한다.
MOS 트랜지스터의 채널을 통해 흐르는 전류의 양은 채널 내의 캐리어의 이동도에 정비례하며, 고 이동도 MOS 트랜지스터의 사용으로 더 많은 전류를 흐르게 하여 회로 성능을 더 빠르게 할 수 있다. MOS 트랜지스터의 채널 내의 캐리어 이동도는 채널 내의 기계적 응력을 생성함으로써 증가될 수 있다. 압축 응력 하의 채널, 예를 들어 실리콘 상에 성장된 실리콘-게르마늄 채널은 MOS 트랜지스터의 제조를 위한 홀 이동도가 상당히 개선되었다. 인장 응력 하의 채널, 예를 들어 이완된(relaxed) 실리콘-게르마늄 상에서 성장된 얇은 실리콘 채널 층은 nMOS 트랜지스터를 제조하기 위한 전자 이동도가 상당히 개선되었다.
인장 응력 하의 nMOS 트랜지스터도 pMOS 트랜지스터 내의 압축 응력 하의 SiGe 채널에 대해 상보적일 수 있는 하나 또는 그 이상의 탄소-도프된 실리콘 에피택셜 층을 형성함으로써 제조될 수 있다. 따라서, 탄소-도프된 실리콘과 실리콘-게르마늄 에피택셜 층은 nMOS 및 pMOS 트랜지스터의 소오스/드레인 상에 각각 증착될 수 있다. 이러한 소오스와 드레인은 선택적 Si 건식 에칭에 의해 평탄해지거나 오목해질 수 있다. 적절히 제작되었을 때, 탄소-도프된 실리콘 에피택시로 덮힌 nMOS 소오스와 드레인은 채널 내에 인장 응력을 부과하며 nMOS 구동 전류를 증가시킨다.
오목한 소오스/드레인을 갖는 nMOS 트랜지스터의 채널 내에 탄소-도프된 실리콘 에피택시를 사용하여 개선된 전자 이동도를 달성하기 위해, 선택적 증착 또는 후 증착 공정을 통해서 소오스/드레인 상에 탄소-도프된 실리콘 에피택셜 층을 선택적으로 형성하는 것이 바람직하다. 또한, 탄소-도프된 실리콘 에피택셜 층이 치환형 탄소 원자들을 함유하여 채널 내의 인장 응력을 유도하게 하는 것이 바람직하다. 보다 높은 채널 인장 응력은 탄소-도프된 실리콘 소오스와 드레인 내에 치환형 탄소 함량을 높이는 것에 의해 달성될 수 있다.
일반적으로, 100 nm 이하의 CMOS(상보성 금속 산화막 반도체) 장치는 30 nm 미만의 접합부 깊이를 필요로 한다. 선택적 에피택셜 증착은 종종 접합부 내측으로 실리콘 함유 재료(예를 들어, Si, SiGe 및 Si : C)의 에피택셜 층을 형성하는데 사용된다. 선택적 에피택셜 증착은 유전체 영역 상에서의 에피택셜 성장 없는 에피택셜 층의 성장을 가능하게 한다. 선택적 에피택시는 양극성 장치의 상승된 소오스/드레인, 소오스/드레인 연장부, 콘택 플러그 또는 기본 층 증착과 같이 반도 체 장치에 사용된다.
통상적인 선택적 에피택시 공정은 증착 반응과 에칭 반응을 포함한다. 증착 공정 중에, 에피택셜 층은 단결정질 표면 상에 형성되는 반면에 다결정질 및/또는 비정질 재료 층은 존재하는 다결정질 층 및/또는 비결정질 층과 같은 적어도 제 2 층 상에 증착된다. 증착과 에칭 반응은 에피택셜 층과 다결정질 층에 대해 상당히 상이한 반응률로 동시에 발생한다. 그러므로, 에칭제 가스의 농도를 변경함으로써, 순수한 선택적인 공정은 에피택시 재료의 증착과 제한된 또는 거의 없는 다결정질 재료의 증착을 초래한다. 예를 들어, 선택적 에피택시 공정은 단결정질 실리콘 표면 상에 실리콘 함유 재료의 에피택셜 층의 형성을 초래하는 반면에 스페이서 상에는 증착되지 않는다.
실리콘 함유 재료의 선택적 에피택시 증착은 실리콘 함유 MOSFET(금속 산화물 반도체 전계 효과 트랜지스터) 장치의 형성 중에, 예를 들어 상승된 소오스/드레인과 소오스/드레인 연장부 피쳐의 형성 중에 유용한 기술이 되었다. 소오스/드레인 연장부 피쳐는 오목한 소오스/드레인 피쳐를 형성하도록 실리콘 표면을 에칭하고 나서 실리콘 게르마늄(SiGe) 재료와 같은 선택적으로 성장되는 에피택셜 층으로 에칭된 표면을 충전함으로써 제작된다. 선택적 에피택시는 인-시튜 도핑에 의한 거의 완전한 도펀트 활성화를 가능하게 하여 후 어닐링 공정을 생략할 수 있게 한다. 그러므로, 접합부 깊이는 실리콘 에칭과 선택적 에피택시에 의해 정확하게 한정될 수 있다. 다른 한편으로, 극히 얇은 소오스/드레인 접합부는 불가피하게 직렬 저항의 증가를 초래한다. 또한, 실리콘 형성 중의 접합부 소모는 직렬 저항 을 훨씬 더 증가시킨다. 접합부의 소모를 보상하기 위해서, 상승된 소오스/드레인이 접합부 상에서 에피택셜하고 선택적으로 성장된다. 통상적으로, 상승된 소오스/드레인 층은 언도프된 실리콘이다.
그러나, 현재의 선택적 에피택시 공정은 몇몇 단점들을 가진다. 현재의 에피택시 공정 중에 선택성을 유지하기 위해서, 전구체의 화학적 농도뿐만 아니라 반응 온도는 증착 공정에 걸쳐서 조정되고 조절되어야 한다. 실리콘 전구체가 충분하지 않게 관리되면, 에칭 반응이 우세하게 되며 전체 공정은 늦어지게 된다. 또한, 기판에 대한 유해한 오버-에칭이 발생될 수 있다. 에칭제 전구체가 불충분하게 관리된다면, 증착 반응이 우세하여 기판 표면에 걸친 단결정질과 다결정질 재료를 형성하기 위한 선택도가 감소된다. 또한, 현재의 에피택시 공정은 보통, 약 800 ℃, 1000℃ 또는 그 이상의 온도와 같은 높은 반응 온도를 필요로 한다. 그와 같이 높은 온도는 기판 표면에 대한 잠재적인 제어불가능한 질화 반응과 열 예산(thermal budget)의 고려로 인해 제작 공정 중에 바람직하지 않다. 또한, 보다 높은 공정 온도에서 통상의 선택적 Si : C 에피택시 공정을 통해 결합된 대부분의 탄소 원자들은 Si 격자의 비-치환형(즉, 침입형) 위치를 점유한다. 성장 온도를 낮춤으로써, 높은 비율의 치환형 탄소가 달성되나(예를 들어, 550 ℃의 성장 온도에서 거의 100 %), 이들 낮은 온도에서의 늦은 성장률은 장치 적용 분야에서 바람직하지 않으며 그러한 선택적 공정은 낮은 온도에서 불가능하게 될 것이다.
실리콘 탄소 에피택시를 위한 제작 조건은 상이한 도펀트와 도펀트 농도를 갖는 에피택시에 대해 상이할 수 있다. 높은 레벨의 도펀트(예를 들어, 1020 원자/㎤ 이상)를 증착 중에 Si : C 에피택시와 결합하는 것은 흥미로운데, 그 이유는 증착 중에 높은 레벨의 도펀트를 결합하는 것은 이온 주입과 같은 다음 공정을 사용하여 도펀트 레벨을 증가시킬 필요성이 감소되기 때문이다. 이에 한정되지는 않지만 온도, 캐리어 가스 형태, 증착 가스 형태, 에칭 가스 형태, 각각의 에칭에 대한 유동률, 증착 및 캐리어 가스 그리고 챔버 압력을 포함하는 에피택시 제조 공정에 있어서의 폭넓은 변수들의 조합을 고려하면, 특정 도펀트와 도펀트 농도를 갖는 특정 에피택시에 대한 특정 변수들의 선택과 최적화가 예견될 수 없다. 따라서, 높은 레벨의 도펀트를 Si : C 에피택시와 결합하는 것은 높은 품질의 에피택시를 달성하기 위해 커다란 변수의 변경을 필요로 할 수 있다. 두껍게 n-도프된 Si : C 에피택시를 형성하기 위한 방법을 제공하는 것이 바람직하다. 그러한 방법은 트랜지스터 장치의 제조에 유용하다.
본 발명의 일 실시예는 실리콘을 함유하는 에피택셜 층의 형성과 처리 방법에 관한 것이다. 다른 실시예들은 실리콘과 탄소를 함유하는 에피택셜 층을 포함하는 트랜지스터 장치를 제조하는 방법에 관한 것이다.
본 발명의 일 실시예에 따라, 기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법은 단결정질 표면과 제 2 표면을 포함하는 기판을 프로세스 챔버 내측에 위치시키는 단계; 실리콘 소오스, 탄소 소오스 및 n-형 도펀트를 포함하는 증착 가스에 약 600 ℃ 미만의 온도와 증착 압력에서 기판을 노출시키는 단계; 및 염화 수소를 포함하는 에칭 가스에 약 600 ℃ 미만의 온도와 상기 증착 가스에 대한 노출 중의 압력에 약 10 배 이상의 압력에서 기판을 노출시키는 단계를 포함하며; 상기 방법에 의해 단결정질 표면 상에 두텁게 도프된 n-형 에피택시의 선택적인 증착을 초래한다.
일 실시예에서, 상기 도펀트는 예를 들어, 포스핀(phosphine)과 같은 인 소오스를 포함한다. 다른 실시예에서, 도펀트는 예를 들어, 아르신(arsine)과 같은 비소 소오스를 포함한다. 도펀트는 아르신과 포스핀의 조합물을 포함할 수 있다. 일 실시예에서, 에칭 가스는 단지 염화 수소만을 포함한다. 염화 수소는 염화 수소 소오스 가스로부터 챔버로 분배될 수 있다. 이와는 달리, 염화수소는 챔버 내에서 수소와 염소 소오스의 혼합으로 챔버 내에서 형성될 수 있다.
일 실시예에서, 도펀트 레벨은 적어도 약 2 ×1020 원자/㎤이다. 일 실시예에서, 증착 중의 온도는 약 575 ℃ 내지 약 600 ℃ 범위이다. 일 실시예에서, 에칭 중의 온도는 약 600 ℃ 내지 약 650 ℃ 범위이다.
다른 실시예는 증착 중에 디클로로실란을 유동시키는 단계를 포함한다. 다른 실시예에서, 염화 수소는 증착 중에 유동된다. 본 발명의 다른 실시예에서, 에피택셜 필름은 트랜지스터 제조 방법의 제조 단계 중에 형성되며, 그 방법은 기판 상에 게이트 유전체를 형성하는 단계; 상기 게이트 유전체 상에 게이트 전극을 형성하는 단계; 및 상기 게이트 전극의 반대쪽의 기판 상에 소오스/드레인 영역을 형 성하고 상기 소오스/드레인 영역들 사이에 채널 영역을 형성하는 단계를 포함한다.
전술한 설명들은 폭넓다기 보다는 개략적인 본 발명의 기술적 장점들과 특징들이다. 설명된 특정 실시예들은 본 발명의 범주 내에서 다른 구조와 공정들을 변경 또는 달리 설계함으로써 용이하게 사용될 수 있다는 것을 본 기술 분야의 당업자들은 용이하게 이해할 수 있을 것이다. 특허청구범위에 기재된 바와 같은 본 발명의 사상과 범주로부터 이탈함이 없는 균등한 구성들이 있을 수 있다는 것은 본 기술 분야의 당업자들에게 용이하게 이해될 수 있을 것이다.
전술한 본 발명의 특징들이 더 상세히 이해될 수 있도록 개략적으로 전술한 본 발명에 대해 첨부 도면에 일부가 도시되어 있는 실시예들을 참조하여 더욱 상세하게 설명한다. 그러나, 첨부 도면은 본 발명의 단지 통상적인 실시예만을 도시하는 것이므로 본 발명의 범주를 한정하는 것이 아니며 다른 균등한 유효한 실시예들이 있을 수 있다고 이해해야 한다.
삭제
본 발명의 실시예들은 일반적으로 실리콘 함유 에피택셜 층의 형성 및 처리 방법과 장치를 제공한다. 특정 실시예들은 트랜지스터의 제조 중에 에피택셜 층을 형성하고 처리하는 방법 및 장치에 포함된다.
본 명세서에서 사용된 바와 같이, 에피택셜 증착은 증착된 층의 결정 구조가 기판의 결정 구조와 일치되도록 기판 상에 단결정 층을 증착하는 것을 지칭한다. 따라서, 에피택셜 층 또는 필름은 기판의 결정 구조와 일치하는 결정 구조를 갖는 단결정 층이다. 에피택셜 층은 벌크 기판과 다결정 층들과는 구별된다.
본 명세서 전체에서, 용어 "실리콘 함유" 재료, 화합물, 필름 또는 층은 적어도 실리콘을 함유하는 조성물을 포함하는 것으로 이해되어야 하며, 게르마늄, 탄소, 붕소, 비소, 인 갈륨 및/또는 알루미늄을 포함할 수 있다. 금속, 할로겐 또는 수소와 같은 다른 원소들이 보통 ppm 농도의 분량으로 실리콘 함유 재료, 화합물, 필름 또는 층 내에 결합될 수 있다. 실리콘 함유 재료의 화합물 또는 합금은 실리콘에 대해서는 Si, 실리콘 게르마늄에 대해서는 SiGe, 실리콘 탄소에 대해서는 Si : C 및 실리콘 게르마늄 탄소에 대해서는 SiGeC와 같이 약어로 나타낼 수 있다. 약어는 화학량론적 관련성을 갖는 화학실을 나타내지 않으며 실리콘 함유 재료의 어떤 특정 환원/산화 상태를 나타내지도 않는다.
본 발명의 하나 또는 그 이상의 실시예들은 일반적으로, 전자 장치의 제조 중에 기판의 단결정질 표면 상에 실리콘 함유 재료를 선택적이고 에피택셜하게 증착하기 위한 공정을 제공한다. 단결정질 표면(예를 들어, 실리콘 또는 실리콘 게르마늄) 및 비정질 표면 및/또는 다결정질 표면(예를 들어, 산화물 또는 질화물)과 같은 적어도 2차 표면을 함유하는 기판이 에피택셜 공정에 노출되어 2차 표면 상에 제한된 또는 다결정질 층이 없는 층을 형성하는 동안에 단결정질 표면 상에 에피택셜 층을 형성한다. 에피택셜 공정은 통상적으로, 소정 두께의 에피택셜 층이 성장될 때까지 증착 공정과 에칭 공정의 사이클을 반복하는 단계를 포함한다. 증착 공정과 에칭 공정을 교대하는 예는 일반 양도되고 공동 계류 중인 미국 출원 번호 11/001,774호에 설명되어 있으며, 이는 교대식 가스 공급원을 갖춘 선택적인 에피택시 공정이란 명칭으로 2006/0115934호로 공개되었으며 이의 전체적인 내용은 본 발명에 참조되었다.
하나 또는 그 이상의 실시예에서, 증착 공정은 적어도 실리콘 소오스와 캐리어 가스를 함유하는 증착가스에 기판 표면을 노출하는 단계를 포함한다. 증착 가스는 또한 게르마늄 소오스 및/또는 탄소 소오스뿐만 아니라 도펀트 소오스를 포함할 수 있다. 특정 실시예에서, 증착 가스는 에피택셜 필름 내에 적어도 약 1 ×1020 원자/㎤의 n-형 도펀트를 함유할 수 있게 하는 충분한 양의 n-형 도펀트 전구체를 함유한다. 특정 실시예에서, 최종 에피택셜 층은 적어도 약 2 ×1020 원자/㎤의 n-형 도펀트, 특히 적어도 약 5×1020 원자/㎤의 n-형 도펀트를 함유한다. 본 명세서에 사용된 바와 같이, 전술한 3 레벨의 도펀트 농도가 n-형 도펀트로 두껍게 도프되는 것으로 언급될 것이다. 적합한 n-형 도펀트의 예로는 P, As 및 Sb를 포함한다. 증착 공정 중에, 에피택셜 층은 기판의 단결정질 표면 상에 형성되는 반면에, 다결정질/비정질 층은 "2차 표면"으로 총칭될 유전체, 비정질 및/또는 다결정질 표면과 같은 2차 표면 상에 형성된다. 계속해서, 상기 표면은 에칭 가스에 노출된다. 통상적으로, 에칭 가스는 염소 가스 또는 염화 수소와 같은 에칭제와 캐리어 가스를 포함한다. 그러나, 하나 또는 그 이상의 실시예에 따라 출원인은 두껍게 도프되는 n-형 필름의 효과적인 에칭은 염소 가스를 포함하지 않는 염화 수소만으로 에칭될 수 있다고 결정했다. 에칭 가스는 증착 공정 중에 증착된 실리콘 함유 재료를 제거한다. 에칭 공정 중에, 다결정질/비정질 층은 에피택셜 층 보다 더 빠른 비율로 제거된다. 그러므로, 증착 및 에칭 공정의 순수한 결과는 있다면, 2차 표면 상의 다결정질/비정질 실리콘 함유 재료의 성장을 최소화하면서 단결정질 표면 상에 에피택셜하게 성장된 실리콘 함유 재료를 형성한다. 증착 및 에칭 공정의 사이클은 소정 두께의 실리콘 함유 재료를 얻기 위해 필요한 것 처럼 반복될 수 있다. 본 발명의 실시예에 의해 증착될 수 있는 실리콘 함유 재료는 도펀트를 포함하는, 실리콘, 실리콘 게르마늄, 실리콘 탄소, 실리콘 게르마늄 탄소, 및 이들의 조합물을 포함한다.
상기 공정에 대한 일 실시예에서, 에칭제로서 HCl을 사용하는 것에 의해 두껍게 n-형 도프된 에피택시를 위해 2차 표면 상에서 다결정질/비정질 실리콘 함유 재료를 충분히 제거하는 결과를 초래한다. 일반적으로, 증착 공정은 에칭 공정보다 낮은 온도에서 수행될 수 있는데, 그 이유는 에칭제가 종종 활성화되기 위해 높은 온도를 필요로 하기 때문이다. 하나 또는 그 이상의 실시예에 따라, 증착 이후의 압력을 증착 압력의 적어도 약 10배 만큼, 특정 실시예에서는 적어도 약 20배 만큼, 및 다른 특정 실시예에서는 적어도 약 30배 만큼 높게 수행함으로써, 두껍게 도프된 n-형 에피택시를 위해 효과적인 에칭이 약 600 ℃ 내지 약 650 ℃ 범위의 온도에서 수행될 수 있다.
질소는 캐리어 가스로서 아르곤과 헬륨의 사용과 관련된 비용적 측면을 고려하면, 통상적으로 바람직한 캐리어 가스이다. 질소는 아르곤보다 일반적으로 훨씬 덜 비싸다는 사실에도 불구하고, 본 발명의 하나 또는 그 이상의 실시예에 따라 아르곤은 특히 메틸실란이 실리콘 소오스 가스인 실시예에서 적합한 캐리어 가스이다. 캐리어 가스로서 질소를 사용하는 것에 의해 유발될 수 있는 하나의 단점은 증착 공정 중에 기판 상의 재료에 대한 질화이다. 그러나, 그와 같은 방식에서는 질소를 활성화하는데 800 ℃과 같은 고온을 필요로 한다. 그러므로, 하나 또는 그 이상의 실시예에 따라, 질소는 질소 활성화 임계치 이하의 온도에서 수행되는 공정에서 불활성 캐리어 가스로서 사용될 수 있다. 불활성 캐리어 가스의 사용으로 증착 공정 중에 몇몇 특성을 갖게 된다. 그 중 하나로서, 불활성 캐리어 가스는 실리콘 함유 재료의 증착률을 증가시킬 수 있다. 수소가 증착 공정 중에 캐리어 가스로서 사용될 수 있지만, 수소는 수소 종료 표면을 형성하도록 기판에 흡수되거나 기판과 반응하는 경향이 있다. 수소 종료 표면은 노출 실리콘 표면보다 에피택셜 성장에 대해 훨씬 더 느리게 반응한다. 그러므로, 불활성 가스의 사용으로 증착 반응에 악영향을 주지 않음으로써 증착률을 증가시킨다.
본 발명의 제 1 실시예에 따라, 증착 및 세정의 교대 단계를 갖는 블랭킷 또는 비선택적 에피택시는 연속 증착에 비해서 보다 높은 차원의 실란을 사용하여 성장된 에피택셜 필름에 대해 개선된 결정도를 가지게 한다. 본 명세서에서 사용된 바와 같이, "보다 높은 차원의 실란"은 디실란 또는 보다 높은 실란 전구체를 지칭한다. 어떤 특정 실시예에서, "보다 높은 차원의 실란"은 디실란, 네오펜타실란(NPS), 또는 이들의 혼합물을 지칭한다. 예시적인 공정은 기판을 프로세스 챔버 내에 로딩하는 단계 및 상기 프로세스 챔버 내의 조건을 소정의 온도와 압력으로 조정하는 단계를 포함한다. 그 후, 증착 공정은 기판의 단결정질 표면 상에 에피택셜 층을 형성하도록 시작된다. 그 후, 증착 공정은 종료된다. 그 후 에피택셜 층의 두께가 결정된다. 예정된 에피택셜 층 두께가 얻어지면, 에피택 셜 공정은 종료된다. 그러나, 예정된 두께가 달성되지 않으면, 예정 두께가 달성될 때까지 증착 및 세정 단계들이 한 사이클로서 반복된다. 이러한 예시적인 공정의 추가의 세부 사항은 이하에서 설명된다.
기판은 패턴화 또는 비패턴화될 수 있다. 패턴화된 기판은 기판 표면 상에 또는 기판 표면 내측에 형성된 전자적 피쳐(feature)를 포함하는 기판이다. 패턴화된 기판은 보통은 단결정질 표면과 유전체, 다결정질 또는 비정질 표면과 같은 비단결정질인 적어도 하나의 2차 표면을 포함한다. 단결정질 표면은 실리콘, 실리콘 게르마늄 또는 실리콘 탄소과 같은 재료로 일반적으로 형성되는 증착된 단일 결정질 층 또는 노출 결정질 기판을 포함한다. 다결정질 또는 비정질 표면들은 산화물 또는 질화물, 특히 실리콘 산화물 또는 실리콘 질화물과 같은 유전체 재료뿐만 아니라 비정질 실리콘 표면을 포함할 수 있다.
기판을 프로세스 챔버의 내측에 로딩한 이후에, 프로세스 챔버 내의 조건은 예정된 온도와 압력으로 조정된다. 온도는 특정 수행 공정으로 조정된다. 일반적으로, 프로세스 챔버는 증착 동안에는 약 600 ℃ 이하의 온도로, 그리고 에칭 공정 동안에는 약 600 ℃ 이상의 온도로 유지된다. 에피택셜 공정을 수행하기에 적합한 온도는 실리콘 함유 재료를 증착하는데 사용되는 특정 전구체에 의존할 수 있다. 일 예로서, 염화 수소(HCl) 가스는 특히, 압력이 증착 중에 사용된 압력의 적어도 약 10배로 증가될 때 두껍게 n-도프된 실리콘 함유 재료를 위한 에칭제로서 양호하게 작용한다.
프로세스 챔버는 일반적으로 증착 중에 약 0.1 Torr 내지 50 Torr 범위의 압 력으로 유지된다. 일 실시예에서, 증착 압력은 약 10 Torr에서 유지된다. 상기 압력은 두 프로세스 단계 중에 그리고 두 프로세스 단계 사이에서 변동될 수 있나 일반적으로 일정하게 유지된다. 에칭 중에, 상기 챔버 내의 압력은 증착 중에 사용되는 압력의 적어도 약 10배까지 증가된다.
증착 공정 중에, 기판은 에피택셜 층을 형성하도록 증착 가스에 노출된다. 기판은 약 0.5 초 내지 약 30초, 예를 들어, 약 1 초 내지 약 20초, 더 특정적으로 약 5 초 내지 약 10초의 시간 주기 동안에 증착 가스에 노출된다. 특정 실시예에서, 증착 단계는 약 10 내지 11초간 지속된다. 증착 공정의 특정 노출 시간은 다음의 에칭 공정 동안의 노출 시간뿐만아니라, 상기 공정 중에 사용되는 특정 전구체와 온도와 관련하여 결정된다. 일반적으로, 기판은 에피택셜 층의 최대 두께를 형성하기에 충분히 길게 증착 가스에 노출된다.
하나 또는 그 이상의 실시예에서, 증착 가스는 적어도 실리콘 소오스와 캐리어 가스를 포함하며 탄소 소오스 및/또는 게르마늄 소오스와 같은 적어도 하나의 2차 소오스를 포함할 수 있다. 또한, 증착 가스는 붕소, 비소, 인, 갈륨 및/또는 알루미늄과 같은 도펀트 소오스를 제공하기 위한 도펀트 화합물을 더 포함한다. 대체 실시예에서, 증착 가스는 적어도 하나는 염화 수소와 같은 에칭제를 포함할 수 있다. 염화 수소는 HCl을 형성하도록 챔버내에서 반응되는 별도의 수소 및 염소 가스로서 또는 염화 수소 가스로서 분배될 수 있다.
실리콘 소오스는 일반적으로 약 5 sccm 내지 약 500 sccm, 바람직하게 약 10 sccm 내지 약 300 sccm, 더 바람직하게 약 50 sccm 내지 약 약 200 sccm, 예를 들어 약 10 sccm의 비율로 프로세스 챔버 내측으로 제공된다. 특정 실시예에서, 실란은 약 60 sccm으로 유동된다. 실리콘 함유 화합물을 증착하기 위한 증착 가스에 유용한 실리콘 소오스는 실란, 할로겐화 실란 및 유기실란이 포함된다. 실란은 실란(SiH4) 및 디실란(Si6H6), 트리실란(Si3H8), 및 네오펜타실란과 같은 화학식(SiXH2X+2)을 갖는 보다 높은 실란뿐만 아니라 다른 실란들을 포함한다. 할로겐화 실란은 헥사클로로디실란(Sl3Cl6), 테트라클로로실란(SlCl4), 디클로로실란(Cl2SiH2) 및 트리클로로실란(Cl3SiH)과 같은 화학식(X'SiXH(2X+2-Y))을 갖는 화합물을 포함하며, 여기서 X'는 F, Cl, Br 또는 I이다. 유기실란은 메틸실란((CH3)SiH3), 디메틸실란((CH3)2SiH2), 에틸실란((CH3CH2)SiH3), 메틸디실란((CH3)Si2H5) 및 헥사메틸디실란((CH3)6Si2)와 같은 화학식(R'SiXH(2X+2-Y))을 갖는 화합물을 포함하며, 여기서 R은 메틸, 에틸, 프로필 또는 부틸을 포함한다. 유기 화합물은 증착된 실리콘 함유 화합물 내에 탄소가 결합되는 실시예에서 유리한 탄소 소오스뿐만 아니라 실리콘 소오스라는 것이 발혀졌다. 하나 또는 그 이상의 실시예에 따라서, 아르곤 함유 캐리어 가스 내의 메틸실란은 바람직한 실리콘 함유 소오스와 캐리어 가스의 조합물이다.
실란 소오스는 일반적으로 캐리어 가스와 함께 프로세스 챔버의 내측으로 제공된다. 캐리어 가스는 약 1 slm(표준 리터 당 분) 내지 약 100 slm, 예를 들어 약 5 slm 내지 약 75 slm, 더 바람직하게 약 10 slm 내지 약 50 slm, 예를 들어 약 10 slm의 유동률을 가진다. 캐리어 가스는 질소, 수소, 아르곤, 헬륨 및 이들의 조합물을 포함할 수 있다. 불활성 가스가 바람직하며 질소, 아르곤, 헬륨 및 이들의 조합물을 포함한다. 캐리어 가스는 에피택셜 공정 중의 프로세스 온도 및/또는 사용된 전구체에 기초하여 선택될 수 있다. 일반적으로 캐리어 가스는 각각의 증착 및 에칭 단계에 대해 모두 동일하다. 그러나, 몇몇 실시예에서는 특정 단계에서 상이한 캐리어 가스를 사용할 수 있다.
통상적으로, 질소는 낮은 온도(예를 들어, 800 ℃ 이하)의 공정을 특징으로 하는 실시예에서 캐리어 가스로서 사용된다. 낮은 온도의 공정은 에칭 공정에서 염소 가스의 사용으로 인해 일부 허용가능하다. 질소는 낮은 온도 증착 공정 중에 불활성을 유지한다. 그러므로, 질소는 낮은 온도의 공정 중에 증착된 실리콘 함유 재료와 결합하지 않는다. 또한, 캐리어 가스는 수소 캐리어 가스가 하는 것처럼 수소 종료 표면을 형성하지 않는다. 기판 표면 상의 수소 캐리어 가스의 증착에 의해 형성되는 수소 종료 표면은 실리콘 함유 층의 성장률을 억제한다. 최종적으로, 낮은 온도 공정은 캐리어 가스로서 질소의 경제적 장점을 제공하는데, 그 이유는 질소가 수소, 아르곤 또는 헬륨보다 덜 비싸기 때문이다. 경제적 이점에도 불구하고, 특정 실시예에 따라 아르곤은 바람직한 캐리어 가스이다.
사용된 증착 가스도 탄소 소오스 및/또는 게르마늄 소오스와 같은 적어도 하나의 2차 원소 소오스를 포함한다. 탄소 소오스는 실리콘 탄소 재료와 같은 실리콘 함유 화합물을 형성하기 위해 실리콘 소오스와 캐리어 가스에 의해 프로세스 챔버에서 증착하는 중에 추가될 수 있다. 탄소 소오스는 일반적으로 약 0.1 sccm 내지 약 40 sccm, 예를 들어 약 3 sccm 내지 약 25 sccm, 그리고 더 특정적으로 약 5 sccm 내지 약 25 sccm, 예를 들어 약 10 sccm의 비율로 프로세스 챔버의 내측으로 제공된다. 탄소 소오스는 아르곤 또는 질소 가스 내에서 5 % 희석되며 750 sccm의 비율로 유동된다. 실리콘 함유 화합물을 증착하는데 유용한 탄소 소오스는 유기실란, 에틸, 프로필 및 부틸의 알킬, 알켄 및 알킨을 포함한다. 그러한 탄소 소오스는 메틸실란((CH3)SiH3), 디메틸실란((CH3)2SiH2), 에틸실란((CH3CH2)SiH3), 메탄(CH4), 에틸렌(C2H4), 에타인(C2H2), 프로판(C3H6), 부틴(C4H6)뿐만 아니라 다른 것들을 포함한다. 에피택셜 층의 탄소 농도는 약 200 ppm 내지 약 5 원자%, 바람직하게 약 1 원자% 내지 약 3 원자%, 예를 들어 1.5 원자% 범위이다. 일 실시예에서, 탄소 농도는 에피택셜 층 내의 등급, 바람직하게 에피택셜 층의 최종 부분보다는 에피택셜 층의 초기 부분 내의 낮은 탄소 농도를 갖는 등급일 수 있다. 이와는 달리, 게르마늄 소오스 및 탄소 소오스는 실리콘 탄소 또는 실리콘 게르마늄 탄소 재료와 같은 실리콘 함유 화합물을 형성하기 위한 실리콘 소오스와 캐리어 가스와 함께 프로세스 챔버의 내측으로 증착 중에 모두 추가될 수 있다.
이와는 달리, 게르마늄 소오스는 실리콘 게르마늄 재료와 같은 실리콘 함유 화합물을 형성하도록 실리콘 소오스와 캐리어 가스와 함게 프로세스 챔버에 추가될 수 있다. 게르마늄 소오스는 일반적으로 약 0.1 sccm 내지 약 20 sccm, 바람직하게 약 0.5 sccm 내지 약 10 sccm, 및 더 바람직하게 약 1 sccm 내지 약 5 sccm, 예를 들어 약 2 sccm의 비율로 프로세스 챔버의 내측으로 제공된다. 실리콘 함유 화함물을 증착하는데 유용한 게르마늄 소오스는 게르마늄(GeH4), 보다 높은 게르마늄 및 유기게르마늄을 포함한다. 보다 높은 게르마늄은 디게르마늄(Ge2H6), 트리게르마늄(Ge3H8) 및 테트라게르마늄(Ge4H10)뿐만 아니라 다른 것과 같은 화학식(GeXH(2X+2))를 갖는 화학물을 포함한다. 유기게르마늄은 메틸게르마늄(CH3)GeH3), 디메틸게르마늄(CH3)2GeH2), 에틸게르마늄(CH3CH2)GeH2), 메틸디게르마늄(CH3)Ge2H5), 디메틸디게르마늄(CH3)2Ge2H4) 및 헥사메틸디게르마늄(CH3)6Ge2)와 같은 화합물을 포함한다. 게르마늄과 유기게르마늄 화합물은 게르마늄과 탄소가 증착된 실리콘 함유 화합물, 즉 SiGe 및 SiGeC 화합물과 결합하는 실시예에서 유리한 게르마늄 소오스와 탄소 소오스로서 유리하다는 것이 발견되었다. 에피택셜 층 내의 게르마늄 농도는 약 1 원자% 내지 약 30 원자%, 예를 들어 약 20 원자%이다. 게르마늄 농도는 에피택셜 층 내의 등급, 바람직하게 에피택셜 층의 상부 부분보다 에피택셜 층의 하부에 있는 보다 높은 게르마늄 농도의 등급일 수 있다.
증착 중에 사용되는 증착 가스는 붕소, 비소, 인, 갈륨 또는 알루미늄과 같은 원소 도펀트 소오스를 제공하기 위한 적어도 하나의 도펀트 화합물을 추가로 포함할 수 있다. 도펀트는 전자 장치에 요구되는 제어가능하고 소정의 패스웨이로 흐르는 지향성 전자와 같은 다양한 전도체 특성을 갖는 증착된 실리콘 함유 화합물을 제공한다. 실리콘 함유 화합물의 필름은 소정의 전도체 특성을 달성하도록 특정 도펀트와 함께 도프된다. 일 실시예에서, 실리콘 함유 화합물은 약 1020 원자/㎤ 내지 약 1021 원자/㎤ 범위의 농도로 도프되는 인, 안티몬 및/또는 비소와 같은 n-형 화합물이다. 특정 실시예에서, 도펀트 레벨은 약 2 × 1020 원자/㎤를 초과한다.
도펀트 소오스는 일반적으로 약 0.1 sccm 내지 약 20 sccm, 예를 들어 약 0.5 sccm 내지 약 10 sccm, 더 특정적으로 약 1 sccm 내지 약 5 sccm, 예를 들어 약 3 sccm의 비율로 증착 중에 프로세스 챔버의 내측으로 제공된다. 도펀트는 또한 비소, 포스핀 및 화학식(RxPH(3-x), 여기서 R은 메틸, 에틸, 프로필 또는 부틸이며 x는 1, 2 또는 3)을 갖는 알킬포스핀도 포함한다. 알킬포스핀은 트리메틸포스핀((CH3).sub.3P), 디메틸포스핀((CH3)2PH), 트리에틸포스핀((CH3CH2).sub.3P) 및 디에틸포스핀((CH3CH2)2PH)를 포함한다. 알루미늄과 갈륨 도펀트는 소오스는 화학식(RxMX(3-x), 여기서 M은 Al 또는 Ga, R은 메틸, 에틸, 프로필 또는 부틸, X는 Cl 또는 F 및 x는 0, 1, 2 또는 3)와 같은 알킬 및/또는 할로겐화 유도체를 포함할 수 있다. 알루미늄 및 갈륨 도펀트의 예들은 트리메틸알루미늄(Me3Al), 트리에틸알루미늄(Et3Al), 디메틸알루미늄염화물(Me2AlCl), 알루미늄 염화물(AlCl3), 트리메틸갈륨(Me3Ga), 트리에틸갈륨(Et3Ga), 디메틸갈륨염화물(Me2GeCl) 및 갈륨 염화물(GaCl3)을 포함한다.
하나 또는 그 이상의 실시예에 따라, 증착 공정이 종료된 이후에 프로세스 챔버는 세정 가스 또는 캐리어 가스로 세정 및/또는 프로세스 챔버가 진공 펌프로 배기될 수 있다. 세정 및/또는 배기 공정은 과도한 증착 가스, 반응 부산물 및 기타 오염물을 제거한다. 예시적인 실시예에서, 프로세스 챔버는 캐리어 가스를 약 5 slm으로 유동시킴으로써 약 10초 동안 세정될 수 있다. 증착 및 세정 사이클은 다수 사이클 동안 반복될 수 있다. 일 실시예에서, 증착 및 세정 사이클은 약 90번 반복된다.
본 발명의 다른 일면에서, 블랭킷 또는 비선택적 증착이 저온, 예를 들어 약 600 ℃ 이하의 온도에서 보다 높은 차원의 실란(예를 들어, 디실란 및 그 이상) 소오스를 사용하여 수행된다. 이는 증착 단계(비선택적 증착) 중에 산화물과 질화물과 같은 유전체 표면에서의 (다결정질 보다는)비정질 성장에 도움을 주는데, 이는 다음 에칭 단계에 의해 유전체 층 상의 층 제거를 용이하게 하고 다결정질 기판 상의 단일 결정질 층 성장에 대한 손상을 최소화한다.
기판 상의 에피택셜 필름의 형성에 네오펜타실란을 사용하는 것에 대해서는 일반 양도된 미국 특허 출원 번호 10/688,797호에 설명되어 있으며, 이 출원은 실리콘 화합물에 의한 실리콘 함유 층의 증착이란 명칭으로 미국 출원 제 2004/0224089호로 공개되었으며 이 출원의 모든 내용은 본 발명에 참조되었다. 네오펜타실란((SiH3)4Si)은 실리콘 원자에 결합되는 3원 실란 함유 4 실리(-SiH3)족이다. 보다 높은 차원의 실란의 사용으로 낮은 온도에서 보다 높은 증착률을 가능하게 하고 실리콘 함유 필름 결합 탄소에 대해 실리콘 소오스 가스로서 모노-실란보다 치환형 탄소 원자의 보다 높은 결합을 가능하게 한다. 실리콘으로서의 실란과 비교하여 얻은 블랭킷 증착 실험에서 600 ℃의 프로세스 온도에서의 실리콘 소오스 가스와 캐리어 가스로서의 질소와 실리콘-탄소 소오스로서 메틸실란(수소로 1% 희석)를 사용하여 50%의 탄소는 증착 필름 내에서 치환형 탄소으로 되었다. 그러나, 높은 차원의 실란의 경우에, 디실란은 약 90% 치환형 탄소보다 큰 필름을 생성하였으며 네오펜타실란은 100% 치환형 탄소를 갖는 필름을 생성했다.
하나 또는 그 이상의 실시예에서, 프로세스 챔버에 근접하게, 예를 들어 프로세스 챔버의 약 5 피트 미만, 더 특정적으로 프로세스 챔버의 약 2 또는 3 피트 내에 설치된 네오펜타실란 앰플을 포함하는 액체 소오스 캐비넷은 실란 소오스의 보다 높은 분배률과 그에 따른 보다 높은 증착률을 가능하게 한다.
따라서, 본 발명의 실시예들은 에피택셜 필름이 트랜지스터의 오목한 소오스/드레인 상에서 성장될 때 n-형 MOSFET의 인장 응력 채널을 형성하는데 사용될 수 있는 높은 치환형 탄소 농도(1% 이상)을 갖는 실리콘 함유 필름, 예를 들어 Si : C 필름을 위한 선택적인 에피택셜 공정을 제공한다. 일반적으로, 치환형 탄소 농도에 대해 요구되는 낮은 온도로 인해 부드러운 형상을 갖는 선택적인 성장과 Si : C 에피택시에서 높은 치환형 탄소 농도(1% 이상)를 모두 달성하는 것은 어렵다. 본 발명의 하나 또는 그 이상의 실시예에 따라 전술한 두 가지 모두가 달성된다.
본 발명의 다른 일면은 Si : C 필름의 인 시튜 인 도핑과 선택적인 에피택셜 증착을 위한 방법에 관한 것이다. 일반적으로, 실리콘 증착 중의 인 시튜 인 도핑 은 성장률을 감소시키며 결정질 필름의 에칭률을 증가시키므로, 선택도를 달성하는 것이 어렵다. 환언하면, 유전체 표면 상에서의 임의의 성장 없이 기판의 결정질 표면 상의 결정질 성장을 달성하는 것은 어렵다. 또한, 인 시튜 인 도핑은 에피택셜 필름의 결정성을 악화시키는 경향이 있다.
하나 또는 그 이상의 실시예에 따라, 상기 방법은 순차적인 순서를 따르나 상기 방법은 본 명세서에서 설명하는 것과 정확한 단계들에만 한정되는 것은 아니다. 예를 들어, 공정 시퀀스의 순서들이 유지되는 한 다른 단계들도 단계들 사이에 삽입될 수 있다. 에피택셜 증착의 개개의 단계들은 하나 또는 그 이상의 실시예에 따라 이후에 설명될 것이다.
실험 중에, 염소 에칭제 가스가 약 600 ℃의 온도에서 n-형 도프된 실리콘에 매우 공격적임을 발견하였으며, 이는 n-형 도프된 기판과 높은 n-형 도프된 실리콘 탄소 필름에 대한 사용 능력을 제한한다. 본 발명의 실시예들에 따라, 두껍게 P 도프된 실리콘 탄소는 약 600 ℃ 미만의 낮은 온도에서 증착되었다. 에칭 중에 상기 온도와 압력은 조정되었으며 1 slm 이상의 HCl 유동을 사용하여 적합한 에칭률과 선택도를 얻었다. 약 1.5% 초과의 치환형 탄소 레벨을 갖는 5 × 1020 원자/㎤ P의 예시적인 높은 도핑 레벨이 달성되었다. 표준적으로 그와 같은 높은 레벨의 도핑이 이온 주입에 필요하다.
일 실시예에서, 네오펜타실란, 실란, 메틸실란 및 PH3가 혼합되고 챔버로 분배되었으며 575 ℃ 및 10 Torr에서 비선택적으로 증착되었다. 에칭 중에, 상기 온도는 625 ℃로 상승되었으며 압력은 증착 압력의 약 30배인 300 Torr로 상승되었다. HCl은 유전체 표면 상에 증착된 비정질 필름을 에칭하도록 에칭 중에 18 slm HCl로 유동되었다. 적어도 3 : 1의 에칭 선택도가 비정질 필름 대 에피택셜 필름 사이에 달성되었다. 그 과정은 약 500-900 Å의 소정 두께를 갖는 선택적인 높은 P 도프된 실리콘 탄소가 개방된 실리콘 영역에서 얻어질 때까지 반복되었다. 1.3% 이상의 탄소, 95% 치환성 및 3 × 1020 원자/㎤ 초과의 인 도핑 레벨을 갖는 무결점 실리콘 탄소 에피택시가 얻어졌다.
다른 예에서, 50 sccm의 디실란, 150 sccm의 실란과 200 sccm의 아르곤 중의 5% 메틸실란, 60 sccm의 디클로로실란(DCS)과 225 sccm의 수소 중의 1% PH3가 혼합되고 비선택적인 증착 중에 5 slm 질소 캐리어 가스와 함께 챔버로 분배되었다. 비선택적인 증착이 11 초동안 10 Torr의 압력 하에서 기판에서 수행되었다. 에칭 중에, 상기 온도는 625 ℃까지 그리고 상기 압력은 300 Torr로 상승되었다. 에칭 중에, 18 slm HCl이 유전체 표면 상에 증착된 비정질 필름을 에칭하는데 사용되었다. 무결점 실리콘 탄소 에피택시가 약 30초의 에칭 이후에 얻어졌다. 20 사이클의 경우에, 약 90% 이상의 치환성 및 약 5.2 × 1020 원자/㎤ P도펀트를 갖는 약 1.4% 탄소를 함유하는 550 Å 두께의 선택적인 실리콘 탄소가 미패턴 기판 상에 얻어졌다. 패턴화된 기판 상에서의 동일한 레서피의 반복으로 유사한 특성과 850 Å 두께를 갖는 무결점 필름이 얻어졌다.
추가의 실험으로 600 ℃ 초과의 온도와 증착 압력의 약 10를 초과하는 압력 또는 100 Torr의 압력은 에칭 중에 비정질 재료를 HCl로 효과적으로 에칭하는데 충분한 것으로 드러났다. 종래에, 디실란은 낮은 프로세스 온도를 요구하는 것으로 인해 P 도프된 실리콘 탄소의 적용에는 적합하지 않은 것으로 여겨졌었다. 그와 같은 낮은 온도에서, 디실란은 충분한 증착률을 제공할 수 없는 것으로 여겨졌다. 그러나, 본 발명에서의 실험으로 약 600 Å/분을 초과하는 증착률이 달성되었다. 증착 중에 디클로로실란 또는 HCl의 첨가로 US2006/0115933호로 공개된 미국 특허출원 번호 11/227,874호에 설명된 바와 같이 선택성에 도움을 주는 것이 관찰되었다. 또한, 디실란의 높은 유동률과 디클로로실란/HCl의 첨가는 패턴화된 기판의 양호한 로딩성에 도움을 주어서 기판의 결정질 부분 상에 보다 두꺼운 에피택셜 층을 초래함을 관찰했다.
본 발명의 하나 또는 그 이상의 실시예는 상보성 금속 산화물 반도체(CMOS) 집적 회로 장치를 형성하는데 특히 유용한 방법을 제공한다. 다른 장치와 적용도 본 발명의 범주 내에 있다. 도 1은 통상적인 CMOS 장치 내의 일부의 FET 쌍을 횡단면도로 도시한다. 장치(100)는 NMOS 장치 및 PMOS 장치의 소오스/드레인 영역, 게이트 유전체, 및 게이트 전극을 제공하기 위해 웰(well) 형성 이후의 반도체 기판을 포함한다. 장치(100)는 트렌치 개구 내에 유전체를 트렌치 에칭 및 성장 또는 증착함으로써 얇은 트렌치 절연 구조물을 형성하고 단결정 실리콘을 성장시키는 것과 같은 종래의 반도체 공정을 사용하여 형성될 수 있다. 이와 같은 다양한 구조물을 형성하기 위한 세부 과정은 종래 기술에 공지되었으며 더 이상 설명하지 않는다.
장치(100)는 실리콘 기판, 예를 들어 p-형 재료로 도프된 반도체 기판, 기판(155) 상의 p-형 에피택셜 실리콘 층(165), 에피택셜 층(165) 내에 형성된 p-형 웰 영역(120) 및 n-형 웰 영역, p-웰(120) 내에 형성된 n-형 트랜지스터(110: NMOS FET) 그리고 n-웰(150) 내에 형성된 p-형 트랜지스터(140: PMOS FET)를 포함한다. 제 1 절연 영역(158)은 NMOS(110)와 PMOS(140) 트랜지스터를 전기적으로 절연시키며, 제 2 절연 영역(160)은 트랜지스터(110,140) 쌍을 기판(155) 상의 다른 반도체 장치와 전기적으로 절연시킨다.
본 발명의 하나 또는 그 이상의 실시예에 따라, NMOS 트랜지스터(110)는 게이트 전극(122), 제 1 소오스 영역(114) 및 드레인 영역(116)을 포함한다. NMOS 게이트 전극(122)의 두께는 측정가능하며 장치 성능과 관련한 고려 사항을 기초하여 조정될 수 있다. NMOS 게이트 전극(122)은 N-형 장치의 작동 함수에 대응하는 작동 함수를 가진다. 소오스 및 드레인 영역은 게이트 전극(122)의 반대쪽에 있는 n-형 영역이다. 채널 영역(118)은 소오스 영역(114)과 드레인 영역(116) 사이에 끼인다. 게이트 유전체 층(112)은 채널 영역(118)과 게이트 전극(122)을 분리시킨다. NMOS 게이트 전극(122)과 유전체 층을 형성하기 위한 공정들은 기술 분야에 공지되어 있으며 본 명세서에서는 더 이상 설명하지 않는다.
하나 또는 그 이상의 실시예에 따라, PMOS 트랜지스터(140)는 게이트 전극(152), 소오스 영역(144) 및 드레인 영역(146)을 포함한다. PMOS 게이트 전극(152)의 두께는 측정가능하며 장치 성능과 관련한 고려 사항을 기초하여 조정될 수 있다. PMOS 게이트 전극(152)은 N-형 장치의 작동 함수에 대응하는 작동 함수를 가진다. 소오스 및 드레인 영역은 게이트 전극(152)의 반대쪽에 있는 p-형 영역이다. 채널 영역(148)은 소오스 영역(144)과 드레인 영역(146) 사이에 끼인다. 게이트 유전체 층(142)은 채널 영역(148)과 게이트 전극(152)을 분리시킨다. 유전체(142)는 게이트 전극(152)를 채널 영역(148)과 전기적으로 절연시킨다. 도 2에 도시되고 전술한 트랜지스터(110,140)의 구조는 단지 예시적인 것이며 재료, 층 등에 있어서 다양한 변형이 있을 수 있으며 이들은 본 발명의 범주 내에 있다고 이해해야 한다.
도 2를 참조하면, 스페이서, 소오스/드레인 영역 위의 층, 예를 들어 실리콘 층의 형성 및 에칭 스톱(191)의 형성 이후의 도 1의 NMOS 장치(110)의 추가의 세부 사항이 도시되어 있다. 상기 PMOS 장치는 이후에 추가로 설명하는 바와 같이 NMOS 장치의 채널 내부에서 유도되는 응력에 영향을 끼치는 치수 및/또는 조성이 변화될 수 있는 어떤 유사한 스페이서 및 층을 포함할 수 있다고 이해해야 한다. 그러나, 설명의 목적으로 단지, NMOS 장치만이 도시되고 상세히 설명되었다.
도 2는 게이트 전극(121)을 포함하는 게이트(119) 주위에 결합되는 적합한 유전체 재료로 형성될 수 있는 스페이서(175)를 도시한다. 각각의 스페이서(175)를 에워싸는 오프셋 스페이서(177)도 제공될 수 있다. 스페이서(175,177)의 형상, 크기 및 두께를 형성하는 공정들은 본 기술분야에 공지되어 있으며 본 명세서에서는 더 이상 상세히 설명하지 않는다. 금속 실리사이드 층(179)은 소오스 영역(114)과 드레인 영역(116) 위에 형성될 수 있다. 실리사이드 층(179)은 스퍼터링 또는 PVD(물리 기상 증착)과 같은 어떤 적합한 공정에 의해 니켈, 티타늄 또는 코발트와 같은 적합한 금속으로 형성될 수 있다. 실리사이드 층(179)은 하부 표면의 부분들로 확산될 수 있다. 드레인 영역(116)의 높이가 화살표(181)로 표시되어 있으며, 이는 기판 표면(180)으로부터 실리사이드 층(179)의 상부로 이격되어 있는 것으로 도시되어 있다. 소오스 드레인 영역의 정면(183)은 각진 표면으로서 도시되어 있다. 본 기술 분야의 당업자들에게 이해될 수 있는 바와 같이, 전술한 예시적인 장치는 전술한 방법에 따라 더욱 변형될 수 있는 Si : C 에피택셜 층을 갖는 소오스/드레인 또는 소오스/드레인 연장부를 포함하도록 변형될 수 있다.
본 명세서에 있어서 "일 실시예", "특정 실시예", "하나 또는 그 이상의 실시예" 또는 "실시예"란 용어는 실시예와 관련하여 설명된 특별한 특징, 구조, 재료, 또는 특성들이 본 발명의 적어도 하나의 실시예에 포함되어 있음을 의미한다. 따라서, 본 명세서의 여러 위치에 기재된 "하나 또는 그 이상의 실시예", "특정 실시예에 있어서", "일 실시예에서" 또는 "실시예에서"와 같은 문구는 본 발명의 항상 동일한 구현예만을 지칭하는 것은 아니다. 또한, 특징, 구조, 재료 또는 특성들은 하나 또는 그 이상의 실시예에서 어떤 적합한 방식으로 조합될 수 있다. 전술한 설명의 순서는 한정적인 것이 아니며 전술한 방법들은 설명한 순서대로, 또는 생략되거나 추가되어 작동을 설명하는데 사용될 수 있다.
전술한 설명은 예시적인 것이며 한정적인 것이 아니라고 이해해야 한다. 전술한 설명에 기초한 많은 다수의 실시예들이 본 기술분야의 당업자들에게 분명히 존재할 것이다. 그러므로, 본 발명의 범주는 다음의 특허청구범위를 참조로 결정되며, 그러한 특허청구범위에 기재된 것과 균등한 모든 범위도 포함된다.
도 1은 본 발명의 실시예에 따른 전계 효과 트랜지스터 쌍의 횡단면도.
도 2는 반도체 장치 상에 형성된 추가 층을 갖춘 도 1에 도시된 NMOS 전계 효과 트랜지스터의 횡단면도.

Claims (15)

  1. 기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법으로서,
    프로세스 챔버의 내측에 단결정질 표면과 2차 표면을 포함하는 기판을 위치시키는 단계와,
    상기 기판을 600 ℃ 미만의 증착 온도 및 10 Torr의 증착 압력에서 실리콘 소오스, 탄소 소오스 및 n-형 도펀트를 포함하는 증착 가스에 노출시키는 단계, 및
    600 ℃ 초과 650 ℃ 미만의 에칭 온도 및 상기 증착 압력의 10배 이상의 에칭 압력에서 염화 수소를 포함하는 에칭 가스에 상기 기판을 노출시키는 단계를 포함함으로써,
    상기 단결정질 표면 상에 두껍게 도프된 n-형 에피택시의 선택적 증착을 형성하는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 도펀트는 인과 비소 소오스 중의 하나 또는 두 개의 소오스를 포함하는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 인 소오스는 포스핀을 포함하며 상기 비소 소오스는 아르신을 포함하는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  4. 제 2 항에 있어서,
    상기 에칭 가스는 염화 수소만을 포함하는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  5. 제 4 항에 있어서,
    상기 염화 수소는 염화 수소 소오스 가스로부터 상기 챔버로 분배되는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  6. 제 4 항에 있어서,
    상기 염화 수소는 상기 챔버 내에서 수소와 염소 소오스 가스들의 혼합에 의해 상기 챔버 내에 형성되는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  7. 제 4 항에 있어서,
    상기 실리콘 소오스는 모노실란과 그리고 디실란과 네오펜타실란으로부터 선택되는 보다 높은 차수의 실란과의 혼합물로부터 선택되는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  8. 제 2 항에 있어서,
    상기 도펀트 레벨은 5 ×1020 원자/㎤ 이상인,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  9. 삭제
  10. 제 7 항에 있어서,
    상기 증착 중에 디클로로실란이 유동되는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  11. 제 7 항에 있어서,
    상기 탄소 소오스는 메틸실란을 포함하는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  12. 제 7 항에 있어서,
    상기 증착 중에 염화 수소가 유동되는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 에피택셜 필름은 트랜지스터 제조 방법의 제작 단계 중에 형성되며,
    기판 상에 게이트 유전체를 형성하는 단계와,
    상기 게이트 유전체 상에 게이트 전극을 형성하는 단계, 및
    상기 전극의 반대쪽에 있는 기판 상에 소오스/드레인 영역들을 형성하고 상기 소오스/드레인 영역들 사이에 채널 영역을 형성하는 단계를 더 포함하는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  14. 제 7 항에 있어서,
    상기 에피택셜 필름은 트랜지스터 제조 방법의 제작 단계 중에 형성되며,
    기판 상에 게이트 유전체를 형성하는 단계와,
    상기 게이트 유전체 상에 게이트 전극을 형성하는 단계, 및
    상기 전극의 반대쪽에 있는 기판 상에 소오스/드레인 영역들을 형성하고 상기 소오스/드레인 영역들 사이에 채널 영역을 형성하는 단계를 더 포함하는,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 에칭 가스에 대한 노출 중의 상기 압력은 상기 증착 가스에 대한 노출 중의 상기 압력의 30배 이상인,
    기판 표면 상에 실리콘-탄소 필름을 에피택셜하게 형성하는 방법.
KR1020080095928A 2007-10-05 2008-09-30 실리콘 탄소 에피택셜 층의 선택적 형성 KR101098102B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/867,933 US7776698B2 (en) 2007-10-05 2007-10-05 Selective formation of silicon carbon epitaxial layer
US11/867,933 2007-10-05

Publications (2)

Publication Number Publication Date
KR20090035430A KR20090035430A (ko) 2009-04-09
KR101098102B1 true KR101098102B1 (ko) 2011-12-26

Family

ID=40459170

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080095928A KR101098102B1 (ko) 2007-10-05 2008-09-30 실리콘 탄소 에피택셜 층의 선택적 형성

Country Status (6)

Country Link
US (1) US7776698B2 (ko)
JP (1) JP5147629B2 (ko)
KR (1) KR101098102B1 (ko)
CN (1) CN101404250B (ko)
DE (1) DE102008050511B4 (ko)
TW (1) TWI467639B (ko)

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101660131B (zh) * 2009-09-28 2011-03-09 西安交通大学 一种磁控溅射制备氢化硅薄膜的方法
DE102009053806A1 (de) * 2009-11-18 2011-05-19 Evonik Degussa Gmbh Verfahren zur Herstellung von Siliciumschichten
JP5533428B2 (ja) * 2010-08-20 2014-06-25 信越半導体株式会社 シリコンエピタキシャルウエーハの製造方法
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
FR2973566A1 (fr) * 2011-04-01 2012-10-05 St Microelectronics Crolles 2 Procédé de formation d'une couche epitaxiee, en particulier sur des régions de source et de drain de transistor a appauvrissement total
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR101371435B1 (ko) 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
US8759916B2 (en) * 2012-01-27 2014-06-24 International Business Machines Corporation Field effect transistor and a method of forming the transistor
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130299895A1 (en) * 2012-05-09 2013-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Iii-v compound semiconductor device having dopant layer and method of making the same
JP2013258188A (ja) * 2012-06-11 2013-12-26 Hitachi Kokusai Electric Inc 基板処理方法と半導体装置の製造方法、および基板処理装置
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP2015005534A (ja) * 2013-06-18 2015-01-08 学校法人立命館 縦型発光ダイオードおよび結晶成長方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR101677560B1 (ko) 2014-03-18 2016-11-18 주식회사 유진테크 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9892924B2 (en) 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
WO2016164152A1 (en) 2015-04-10 2016-10-13 Applied Materials, Inc. Method to enhance growth rate for selective epitaxial growth
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6778139B2 (ja) 2017-03-22 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
CN114514290B (zh) 2019-10-09 2023-09-29 Dic株式会社 片状氧化铝颗粒和片状氧化铝颗粒的制造方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166414A1 (en) 2004-12-01 2006-07-27 Carlson David K Selective deposition
US20070161216A1 (en) 2005-12-22 2007-07-12 Matthias Bauer Epitaxial deposition of doped semiconductor materials
US7361222B2 (en) 2003-04-24 2008-04-22 Norstel Ab Device and method for producing single crystals by vapor deposition
US20080182397A1 (en) 2007-01-31 2008-07-31 Applied Materials, Inc. Selective Epitaxy Process Control

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
JP4010724B2 (ja) * 1999-12-28 2007-11-21 株式会社東芝 半導体装置の製造方法
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
JP2006351744A (ja) * 2005-06-15 2006-12-28 Fuji Electric Holdings Co Ltd 炭化珪素半導体装置の製造方法
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7361222B2 (en) 2003-04-24 2008-04-22 Norstel Ab Device and method for producing single crystals by vapor deposition
US20060166414A1 (en) 2004-12-01 2006-07-27 Carlson David K Selective deposition
US20070161216A1 (en) 2005-12-22 2007-07-12 Matthias Bauer Epitaxial deposition of doped semiconductor materials
US20080182397A1 (en) 2007-01-31 2008-07-31 Applied Materials, Inc. Selective Epitaxy Process Control

Also Published As

Publication number Publication date
DE102008050511B4 (de) 2017-02-16
CN101404250B (zh) 2010-10-27
US20090093094A1 (en) 2009-04-09
DE102008050511A1 (de) 2009-04-23
TWI467639B (zh) 2015-01-01
US7776698B2 (en) 2010-08-17
KR20090035430A (ko) 2009-04-09
TW200943389A (en) 2009-10-16
JP2009111368A (ja) 2009-05-21
CN101404250A (zh) 2009-04-08
JP5147629B2 (ja) 2013-02-20

Similar Documents

Publication Publication Date Title
KR101098102B1 (ko) 실리콘 탄소 에피택셜 층의 선택적 형성
JP5808522B2 (ja) シリコンを含有するエピタキシャル層の形成
US7960236B2 (en) Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) Formation of epitaxial layer containing silicon and carbon
JP5303148B2 (ja) 交互ガス供給による選択的エピタキシープロセス
US7737007B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7439142B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
JP5115970B2 (ja) 選択エピタキシープロセス制御
JP5551745B2 (ja) シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成
JP2009533546A (ja) 選択的堆積
KR20070022046A (ko) 선택적인 증착 프로세스들을 이용하여 mosfet 소자를제조하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 7