JP2009533546A - 選択的堆積 - Google Patents

選択的堆積 Download PDF

Info

Publication number
JP2009533546A
JP2009533546A JP2009500603A JP2009500603A JP2009533546A JP 2009533546 A JP2009533546 A JP 2009533546A JP 2009500603 A JP2009500603 A JP 2009500603A JP 2009500603 A JP2009500603 A JP 2009500603A JP 2009533546 A JP2009533546 A JP 2009533546A
Authority
JP
Japan
Prior art keywords
gas
silicon
substrate
deposition
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009500603A
Other languages
English (en)
Other versions
JP2009533546A5 (ja
Inventor
ディヴィッド ケー. カールソン,
サティーシ クプラオ,
エロール アントニオ シー. サンチェス,
ハワード ベックフォード,
イーワン キム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009533546A publication Critical patent/JP2009533546A/ja
Publication of JP2009533546A5 publication Critical patent/JP2009533546A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/969Simultaneous formation of monocrystalline and polycrystalline regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)
  • Bipolar Transistors (AREA)

Abstract

基板の表面上にシリコン含有材料をエピタキシャルに形成する方法は、プロセスチャンバー温度及び圧力の調整を通じてハロゲン含有ガスをエッチングガス及び担体ガスの両方として使用する。HClをハロゲン含有ガスとして使用するのが有益である。なぜなら、HClを担体ガスからエッチングガスへ変換することが、チャンバー圧力の調整で容易に遂行できるからである。
【選択図】 図1

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、電子製造プロセス及びデバイスの分野に係り、より詳細には、電子デバイスを形成する間にシリコン含有膜を堆積する方法に係る。
関連技術の説明
[0002]より小型のトランジスタが製造されるにつれて、極浅のソース/ドレイン接合を形成することが益々難題になりつつある。100nm以下のCMOS(相補的金属酸化物半導体)デバイスは、接合深さが30nm未満であることを要求する。シリコン含有材料(例えば、Si、SiGe及びSiC)のエピ層(epilayers)を接合内に形成するために選択的エピタキシャル堆積がしばしば利用されている。選択的エピタキシャル堆積は、シリコン堀にエピ層の成長を許容し、誘電体エリアには成長させない。選択的エピタキシーは、バイポーラデバイスのエレベートソース/ドレイン、ソース/ドレイン延長部、コンタクトプラグ又はベース層堆積部のような半導体デバイス内で使用することができる。
[0003]選択的エピタキシープロセスは、堆積反応及びエッチング反応を伴う。これらの堆積及びエッチング反応は、エピタキシャル層及び多結晶層に対して相対的に異なる反応速度で同時に生じる。堆積プロセス中に、エピタキシャル層は、単結晶表面に形成され、一方、多結晶層は、少なくとも第2の層、例えば、既存の多結晶層及び/又はアモルファス層に堆積される。しかしながら、堆積された多結晶層は、エピタキシャル層よりも速い速度でエッチングされる。それ故、エッチング剤ガスの濃度を変化させることで、正味の選択的プロセスとして、エピタキシー材料の堆積は生じさせるが、多結晶材料の堆積は、限定されたものとなるか又は生じさせない。例えば、選択的エピタキシープロセスは、単結晶シリコン表面にはシリコン含有材料のエピ層の形成を生じるが、スペーサーには堆積がなされないままのことがある。
[0004]シリコン含有材料の選択的エピタキシー堆積は、エレベートソース/ドレイン及びソース/ドレイン延長特徴部の形成中、例えば、シリコン含有MOSFET(金属酸化物半導体電界効果トランジスタ)デバイスの形成中の有用な技術となっている。ソース/ドレイン延長特徴部は、シリコン表面をエッチングしてくぼんだソース/ドレイン特徴部を作り、その後、そのエッチングされた表面に、シリコンゲルマニウム(SiGe)材料のような選択的成長エピ層を充填することにより、製造される。選択的エピタキシーは、その場での(in-situ)ドーピングでほぼ完全なドープ剤活性化を許容し、後アニーリングプロセスが省略される。それ故、シリコンエッチング及び選択的エピタキシーにより接合深さを正確に定めることができる。他方、極浅のソース/ドレイン接合は、必然的に直列抵抗の増加を招く。また、ケイ化物生成中の接合の消費は、直列抵抗を更に増加させる。接合の消費を補償するために、エレベートソース/ドレインが接合上にエピタキシャルに及び選択的に成長される。通常、エレベートソース/ドレイン層は、非ドープのシリコンである。
[0005]しかしながら、現在の選択的エピタキシープロセスには、幾つかの欠点がある。現在のエピタキシープロセス中に選択性を維持するために、先駆物質の化学的濃度及び反応温度を堆積プロセス全体にわたり調節及び調整しなければならない。充分なシリコン先駆物質が管理されない場合には、エッチング反応が優勢となり、全体的なプロセスが低速化される。また、基板特徴部の有害なオーバーエッチングが生じることもある。充分なエッチング先駆物質が管理されない場合には、堆積反応が優勢となって、選択性を減少させ、基板表面にわたって単結晶及び多結晶材料を形成することがある。また、現在の選択的エピタキシープロセスは、通常、約800℃、1000℃又はそれ以上の高い反応温度を必要とする。このような高い温度も、基板表面に対して熱履歴の事柄やおそらく制御されない窒化反応が生じるために、製造プロセス中には望ましくない。
[0006]それ故、シリコン及びシリコン含有化合物を任意のドープ剤と共に選択的に且つエピタキシャルに堆積するためのプロセスが要望される。更に、このプロセスは、速い堆積速度を得ながら、種々の元素濃度をもつシリコン含有化合物を形成するための多様性がなければならない。
発明の概要
[0007]本発明は、基板上に層をエピタキシャル堆積する方法を提供する。基板表面上にシリコン含有材料をエピタキシャル形成する方法は、プロセスチャンバー温度及び圧力の調整を通じて、ハロゲン含有ガスをエッチングガス及び担体ガスの両方として使用する。HClをハロゲン含有ガスとして使用するのが有益である。なぜなら、HClを担体ガスからエッチングガスへ変換することが、チャンバー圧力の調整で容易に遂行できるからである。
[0008]第1の実施形態において、基板表面上にシリコン含有材料をエピタキシャル形成する方法が開示される。この方法は、単結晶表面及び少なくとも第2の表面を有する基板をプロセスチャンバー内に位置させることを含む。第2の表面は、アモルファス表面、多結晶表面、又はその組合せでよい。基板は、堆積ガスに露出され、単結晶表面にエピタキシャル層を、且つ第2表面に多結晶層を堆積する。堆積ガスは、シリコンソースを有し、担体ガスは、ハロゲン含有化合物を含む。その後、基板は、エッチングガスに露出されて、多結晶層及びエピタキシャル層をエッチングする。多結晶層は、エピタキシャル層よりも速い速度でエッチングされる。
[0009]第1の実施形態の1つの態様によれば、ハロゲン含有化合物は、HClを含み、このHClは、堆積中の担体ガス、及びエッチングガスの両方として使用される。
[0010]第1の実施形態の別の態様によれば、ハロゲン含有ガスは、堆積中に担体ガスとして使用されるが、塩素化炭化水素を含むエッチングガスが使用される。
[0011]第2の実施形態において、プロセスチャンバー内に位置された基板上にシリコン含有材料をエピタキシャル形成する方法が開示される。基板は、単結晶表面と、アモルファス表面、多結晶表面及びその組合せより成るグループから選択された第2の表面とを備えている。この方法は、プロセスチャンバーへのハロゲン含有ガスの流れを、単結晶表面にエピタキシャル層を且つ第2の表面に多結晶層を堆積するための第1の流量へ制御すると共に、プロセスチャンバーへのハロゲン含有ガスの流量を、多結晶層及びエピタキシャル層をエッチングするための第2の流量へ制御することを含む。
[0012]本発明の上述した特徴を詳細に理解できるように、前記で簡単に要約した本発明を、添付図面に幾つか示された実施形態を参照して、より詳細に説明する。しかしながら、添付図面は、本発明の典型的な実施形態を示すに過ぎず、それ故、本発明の範囲を何ら限定するものではなく、本発明は、他の等しく有効な実施形態も受け入れられることに注意されたい。
詳細な説明
[0018]本発明の実施形態は、電子デバイスの製造中に基板の単結晶表面上にシリコン含有材料を選択的に且つエピタキシャルに堆積するプロセスを提供する。単結晶表面(例えば、シリコン又はシリコンゲルマニウム)と、少なくとも二次表面、例えば、アモルファス表面及び/又は多結晶表面(例えば、酸化物又は窒化物)とを含むパターン化された基板が、エピタキシャルプロセスに露出されて、単結晶表面上にエピタキシャル層を形成するが、二次表面上には多結晶層を限定的に形成するか又は形成しない。また、交互ガス供給(AGS)プロセスとも称されるエピタキシャルプロセスは、希望の厚みのエピタキシャル層が成長するまで堆積プロセス及びエッチングプロセスのサイクルを繰り返すことを含む。
[0019]堆積プロセスは、基板表面を、少なくともシリコンソース及び担体ガスを含む堆積ガスに露出させることを含む。また、堆積ガスは、ゲルマニウムソース又は炭素ソース、及びドープ剤ソースを含んでもよい。堆積プロセス中に、基板の単結晶表面上にはエピタキシャル層が形成される一方、二次表面、例えば、アモルファス表面、及び/又は多結晶表面上には多結晶層が形成される。その後、基板がエッチングガスに露出される。エッチングガスは、担体ガス、及びエッチング剤、例えば、塩素ガス又は塩化水素を含む。エッチングガスは、堆積プロセス中に堆積されたシリコン含有材料を除去する。エッチングプロセス中に、多結晶層は、エピタキシャル層よりも速い速度で除去される。それ故、堆積及びエッチングプロセスの正味の結果として、単結晶表面上にエピタキシャル成長されたシリコン含有材料が形成される一方、二次表面における多結晶シリコン含有材料の成長は、もしあっても、最小にされる。堆積及びエッチングプロセスのサイクルを必要に応じて繰り返して、希望の厚みのシリコン含有材料を得ることができる。本発明の実施形態により堆積できるシリコン含有材料は、シリコン、シリコンゲルマニウム、シリコン炭素、シリコンゲルマニウム炭素、又はそのドープ剤変形体を含む。
[0020]一般に、堆積プロセスは、エッチング反応よりも低い温度で行うことができる。なぜなら、エッチング剤は、活性化するのにしばしば高い温度を必要とするからである。例えば、シランは、約500℃以下でシリコンを堆積するように熱的に分解できるが、塩化水素は、有効なエッチング剤として働くためには約700℃以上の活性化温度を必要とする。それ故、AGSプロセス中に塩化水素が使用される場合には、全体的なプロセス温度は、エッチング剤を活性化するのに必要な高い温度により決められる。
[0021]AGSプロセスの一実施例では、水素のような慣習的な担体ガスに代わって、希ガスや窒素のような不活性ガスが、堆積及びエッチングプロセス中に担体ガスとして使用される。不活性担体ガスの使用は、AGSプロセス中に多数の属性を有する。その1つとして、不活性担体ガスは、シリコン含有材料の堆積速度を高めることができる。堆積プロセス中に水素を担体ガスとして使用できるが、水素は、基板に吸着するか又はそれと反応を生じて水素成端表面を形成する傾向がある。水素成端表面は、裸のシリコン表面よりも非常にゆっくりと反応してエピタキシャル成長する。それ故、不活性担体ガスの使用は、堆積反応に悪影響を及ぼさずに堆積速度を高める。
[0022]ハロゲン含有ガスは、担体ガスとして使用できることが分かっている。非常に特定の条件のもとでは、ハロゲン含有ガスは、材料をエッチングしない。ハロゲン含有ガスは、不活性ガスとして働く。ハロゲン含有ガスを担体ガスとして使用する利点は、ハロゲン含有ガスを、エッチング段階中にエッチング剤として使用するか又は堆積段階に対して不活性担体ガスとして使用するように調整できることである。いずれかの使用に対してハロゲン含有ガスを調整することは、温度及び圧力によって制御される。ハロゲン含有ガスをエッチングスレッシュホールドより低く保つことで、ハロゲン含有ガスは、有効な担体ガスとして機能する。エッチングスレッシュホールドは、使用する特定のハロゲン含有ガスに基づいて変化する。
[0023]二原子ハロゲンを使用できるが、これは、低いプロセスチャンバー温度(即ち、約550℃未満)及び非常に低い濃度で使用する必要がある。二原子ハロゲン及びハロゲン含有ガスの混合物を使用することができる。好ましくは、ハロゲン含有ガスは、HCl、HBr、及びHlから選択され、HClが最も好ましい。エッチングプロセス中にHClの反応度を高めるために、HClに少量のClを添加することができる。堆積段階に対してHClの反応度を制御するように、少量のH又はN或いは不活性ガス、例えば、Ar又はHeを添加することができる。特定のパラメータは、約500℃から約650℃のプロセスチャンバー動作温度と、約1トールから約100トールのプロセスチャンバー動作圧力とを含む。Clに代わってHClを使用する利点は、幾つもある。HClは、Clほど成長膜を攻撃しない。HClは、より選択的にエッチングする。Clに対してHClを使用して得られる膜には少数の欠陥しかない。
[0024]プロセスチャンバーの堆積温度(即ち、約500℃から約650℃)では、HClのエッチング効率が、通常、大幅に下がる。従って、500℃から650℃のプロセスチャンバーの堆積温度に対して、HClは、不活性ガスとして働く。これらの低いプロセスチャンバー温度で動作するときには、HClは、効率的にエッチングしない。これらの低いプロセスチャンバー温度でHClを使用してエッチングするためには、圧力を高めることが必要である。
[0025]HClの流量を低(即ち、約60から約600sccm)と高(即ち、約2000から約20000sccm)との間で変調することにより、交互の堆積/エッチングサイクルが達成される。堆積ステップは、HClの流量が低い非効率的なエッチング又は成長ステップである。効率的なエッチングステップは、高いHCl流量において生じる。流量を変調することにより、低圧力の堆積ステップと堆積ステップとの間に、ポンピング速度をほとんど変化せずに、高圧力のエッチングが遂行される。圧力制御は、あまり負担にならない。図5A−図5Cは、時間に伴うHCL流量、合計チャンバー圧力及びソースガス流量の比較を示す。ソースガスは、堆積段階中にチャンバーに与えられる。HClガスは、常に与えられるが、堆積段階中には、HClは、低い流量(即ち、約60から約600sccm)で与えられる。堆積段階中の合計チャンバー圧力は、約1から約100トールである。堆積段階中には少量のエッチングが生じ得るが、それは最小限である。エッチング段階中には、ソースガスが遮断され、HCLガス流量が増加される(即ち、約2000から約20000sccm)。合計チャンバー圧力は、約30から約100トールへ増加される。エッチング段階中に、堆積は行われない。堆積段階及びエッチング段階は、長さがほぼ等しい。堆積段階は、約5から約25秒間続き、エッチング段階は、約10から約30秒間続く。ソースガスは、Si、Ge、C及びその組合せを含むことができる。
[0026]時々は、低いチャンバー堆積温度(即ち、約500℃から約650℃)で、しかも、低いチャンバー圧力(即ち、約50トール未満)で動作することが好ましい。しかしながら、低い圧力では、HClが効率的にエッチングしない。低い温度及び圧力においてClを添加することは任意ではない。なぜなら、あまりに攻撃的だからである。塩素化炭化水素は、魅力的な代替え物である。
[0027]塩素化炭化水素により、C−Cl結合を含む炭化水素を構成することが理解される。塩素化炭化水素は、例えば、クロロアルキル(即ち、塩化メチル、ジクロロメタン、クロロホルム、四塩化炭素、塩化エチル、等)、クロロアルケン、塩素置換フェニル、及びクロロアルキンを含む。
[0028]塩素化炭化水素がシリコン系の膜をエッチングするのに有効であるためには、C−Cl結合強度がSi−Cl結合強度より弱くて、塩素が置換性であって間入性でないようにしなければならない。Clが結合される炭化水素の性質は、使用できる塩素の結合強度を決定する。塩素化炭化水素は、シリコン及び炭素ソースガスと同時に(即ち、同一の流れで)又は交互に(即ち、堆積/エッチング繰り返し機構で)使用することができる。塩素化炭化水素は、いかなるプロセスチャンバー温度又は圧力で使用することもできる。塩素化炭化水素は、ガスでも、液体でも、個体でもよいが、高い蒸気圧を有するのが好ましい。また、塩素化炭化水素は、純度の高いものでなければならない。
[0029]上記の実施例では、塩素化炭化水素は、堆積段階中にHClが不活性担体ガスとして使用されるプロセスにおいて、エッチング剤として使用される。しかしながら、塩素化炭化水素は、堆積段階中に不活性担体ガス又はバックグランドガスと組み合わせてエッチング剤として使用されてもよい。塩素化炭化水素は、約50トール以下のプロセスチャンバー圧力でエッチング段階を行えるようにする。
[0030]本出願全体にわたり、「シリコン含有」材料、化合物、膜又は層といった用語は、少なくともシリコンを含む組成を包含すると解釈すべきであり、また、ゲルマニウム、炭素、硼素、砒素、燐、ガリウム、及び/又はアルミニウムを含んでもよい。シリコン含有材料、化合物、膜又は層内には、金属、ハロゲン、又は水素のような他の元素を、通常、パーツ・パー・ミリオン(ppm)濃度で合体してもよい。シリコン含有材料の化合物又は合金は、省略形で表わしてもよく、例えば、シリコンがSiで、シリコンゲルマニウムがSiGeで、シリコン炭素がSiCで、シリコンゲルマニウム炭素がSiGeCというようにしてもよい。省略形は、化学量論的関係をもつ化学式を表わすものでもないし、シリコン含有材料の特定の還元/酸化状態を表わすものでもない。
[0031]図1は、シリコン含有層を堆積するのに使用されるエピタキシャルプロセス100の一実施例を示す。このプロセス100は、パターン化された基板をプロセスチャンバーにロードし、プロセスチャンバー内の条件を希望の温度及び圧力に調整するステップ110を含む。ステップ120は、堆積プロセスを行なって、基板の単結晶表面にエピタキシャル層を形成する一方、基板のアモルファス表面又は多結晶表面に多結晶層を形成する。ステップ130の間に、堆積プロセスが終了される。ステップ140は、基板の表面をエッチングするエッチングプロセスを行なう。好ましくは、多結晶層は、エピタキシャル層よりも速い速度でエッチングされる。エッチングステップは、多結晶層を最小にするか又は完全に除去するが、エピタキシャル層は、最低限の部分しか除去されない。ステップ150の間に、エッチングプロセスが終了される。エピタキシャル層、及びもしあれば、多結晶層の厚みが、ステップ160の間に決定される。エピタキシャル層又は多結晶層の所定の厚みが達成された場合には、エピタキシャルプロセス100は、ステップ170で終了される。しかしながら、所定の厚みが達成されない場合には、所定の厚みが達成されるまで、ステップ120−160が1つのサイクルとして繰り返される。
[0032]パターン化された基板がステップ110の間にプロセスチャンバーにロードされる。パターン化された基板とは、基板表面内又はその上に電子的特徴部が形成された基板である。パターン化された基板は、通常、単結晶表面と、単結晶ではない少なくとも1つの二次表面、例えば、多結晶又はアモルファス表面とを含む。単結晶表面は、裸の結晶基板、或いは通常、シリコン、シリコンゲルマニウム又はシリコン炭素のような材料で作られる堆積された単結晶層を含む。多結晶又はアモルファス表面は、誘電体材料、例えば、酸化物又は窒化物、特に、酸化シリコン又は窒化シリコン、並びにアモルファスシリコン表面を含むことができる。
[0033]エピタキシャルプロセス100は、パターン化された基板を収容するプロセスチャンバーをステップ110の間に所定の温度及び圧力に調整することにより開始される。温度は、特別に行なわれるプロセスに対して仕立てられる。プロセスチャンバーは、エピタキシャルプロセス100全体にわたり一貫した温度に維持される。しかしながら、幾つかのステップは、様々な温度で行われてもよい。プロセスチャンバーは、約250℃から約1000℃、好ましくは約500℃から約800℃、更に好ましくは、約550℃から約750℃の範囲内の温度に保持される。エピタキシャルプロセス100を行なうのに適した温度は、ステップ120及び140中にシリコン含有材料を堆積及び/又はエッチングするのに使用される特定の先駆物質に依存し得る。HClを担体ガス及びエッチングガスの両方として使用するときには、プロセスチャンバーの圧力は、エッチングステップ中の定義された高い圧力と、堆積ステップ中の定義された低い圧力との間で切り換わる。
[0034]堆積プロセスは、ステップ120中に行なわれる。パターン化された基板が堆積ガスに露出されて、単結晶表面上にエピタキシャル層を形成する一方、二次表面上に多結晶層を形成する。基板は、約0.5秒から約30秒、好ましくは、約5秒から約25秒の時間周期中に堆積ガスに露出される。堆積プロセスの特定の露出時間は、ステップ140のエッチングプロセス中の露出時間、並びにそのプロセスに使用される特定の先駆物質及び温度に関連して決定される。基板は、最大厚みのエピタキシャル層を形成する一方、その後のステップ140中に容易にエッチングできる最小厚みの多結晶層を形成するに足る長さで堆積ガスに露出される。
[0035]堆積ガスは、少なくともシリコンソース及び担体ガスを含み、少なくとも1つの二次元素ソース、例えば、ゲルマニウムソース及び/又は炭素ソースを含んでもよい。また、堆積ガスは、更に、ドープ剤のソースをなすドープ剤化合物、例えば、硼素、砒素、燐、ガリウム、及び/又はアルミニウムを含んでもよい。
[0036]シリコンソースは、通常、約5sccmから約500sccm、好ましくは、約10sccmから約300sccm、更に好ましくは、約50sccmから約200sccmの範囲の割合でプロセスチャンバーに送り込まれ、例えば、約100sccmで送り込まれる。シリコン含有化合物を堆積するための堆積ガスに有用なシリコンソースは、シラン、ハロゲン化シラン、及びオルガノシランを含む。シランは、シラン(SiH)と、実験式Si(2x+2)をもつより高次のシラン、例えば、ジシラン(Si)、トリシラン(Si)、及びテトラシラン(Si10)、並びに他のものを含む。ハロゲン化シランは、X’=F、Cl、Br又はIとすれば、実験式X’Si(2x+2−y)をもつ化合物、例えば、ヘクサクロロジシラン(SiCl)、テトラクロロシラン(SiCl)、ジクロロシラン(ClSiH)、及びトリクロロシラン(ClSiH)を含む。オルガノシランは、R=メチル、エチル、プロピル又はブチルとすれば、実験式RSi(2x+2−y)をもつ化合物、例えば、メチルシラン((CH)SiH)、ジメチルシラン((CHSiH)、エチルシラン((CHCH)SiH)、メチルジシラン((CH)Si)、ジメチルシラン((CHSi)、及びヘクサメチルジシラン((CHSi)を含む。オルガノシラン化合物は、堆積されるシリコン含有化合物に炭素を合体する実施形態では、効果的なシリコンソース及び炭素ソースであると分かった。好ましいシリコンソースは、シラン、ジクロロシラン及びジシランを含む。
[0037]シリコンソースは、通常、担体ガスと共にプロセスチャンバーへ送り込まれる。担体ガスは、約60から約600sccmの流量を有する。担体ガスは、窒素(N)、水素(H)、アルゴン、ヘリウム、及びその組み合せを含んでもよい。HClは、好ましい担体ガスである。担体ガスは、エピタキシャルプロセス100中に使用される先駆物質(1つ又は複数)及び/又はプロセス温度に基づいて選択されてもよい。通常、担体ガスは、各ステップ110−150全体にわたり同じである。しかしながら、ある実施形態では、特定のステップに異なる担体ガスを使用してもよい。ステップ120におけるシリコンソース及びステップ140におけるエッチング剤と共にHClを担体ガスとして使用してもよい。
[0038]ステップ120中に使用される堆積ガスも、少なくとも1つの二次元素ソース、例えば、ゲルマニウムソース及び/又は炭素ソースを含むことができる。ゲルマニウムソースは、シリコンソース及び担体ガスと共にプロセスチャンバーへ追加されて、シリコン含有化合物、例えば、シリコンゲルマニウム材料を形成することができる。ゲルマニウムソースは、通常、約0.1sccmから約20sccm、好ましくは、約0.5sccmから約10sccm、更に好ましくは、約1sccmから約5sccmの範囲内の割合でプロセスチャンバーへ送り込まれ、例えば、約2sccmで送り込まれる。シリコン含有化合物を堆積するのに有用なゲルマニウムソースは、ゲルマン(GeH)、より高次のゲルマン及びオルガノゲルマンを含む。より高次のゲルマンは、実験式Ge(2x+2)をもつ化合物、例えば、ジゲルマン(Ge)、トリゲルマン(Ge)、及びテトラゲルマン(Ge10)、並びに他のものを含む。オルガノゲルマンは、メチルゲルマン((CH)GeH)、ジメチルゲルマン((CHGeH)、エチルゲルマン((CHCH)GeH)、メチルジゲルマン((CH)Ge)、ジメチルジゲルマン((CH)Ge)、及びヘクサメチルジゲルマン((CHGe)のような化合物を含む。ゲルマン及びオルガノゲルマン化合物は、これら実施形態において、堆積されたシリコン含有化合物、即ちSiGe及びSiGeC化合物にゲルマニウム及び炭素を合体する間に、効果的なゲルマニウムソース及び炭素ソースであることが分かった。エピタキシャル層のゲルマニウム濃度は、約1原子パーセント(at%)から約30at%の範囲内であり、例えば、約20at%である。ゲルマニウム濃度は、エピタキシャル層内で等級付けされてもよく、好ましくは、エピタキシャル層の下部の方がエピタキシャル層の上部より高いゲルマニウム濃度となるように等級付けされてもよい。
[0039]或いは又、炭素ソースをステップ120中にシリコンソース及び担体ガスと共にプロセスチャンバーに追加して、シリコン含有化合物、例えば、シリコン炭素材料を形成することができる。炭素ソースは、通常、約0.1sccmから約20sccm、好ましくは、約0.5sccmから約10sccm、更に好ましくは、約1sccmから約5sccmの範囲内の割合でプロセスチャンバーに送り込まれ、例えば、約2sccmで送り込まれる。シリコン含有化合物を堆積するのに有用な炭素ソースは、オルガノシラン、エチル、プロピル及びブチルのアルキル、アルケン及びアルキンを含む。このような炭素ソースは、メチルシラン(CHSiH)、ジメチルシラン((CHSiH)、エチルシラン(CHCHSiH)、メタン(CH)、エチレン(C)、エチン(C)、プロパン(C)、プロペン(C)、ブチン(C)、及び他のものを含む。エピタキシャル層の炭素濃度は、約200ppmから約5at%、好ましくは、約1at%から約3at%の範囲内であり、例えば、1.5at%である。一実施形態において、炭素濃度は、エピタキシャル層内で等級付けされてもよく、好ましくは、エピタキシャル層の下部の方がエピタキシャル層の上部より高い炭素濃度となるように等級付けされてもよい。或いは又、ゲルマニウムソース及び炭素ソースの両方をステップ120中にシリコンソース及び担体ガスと共にプロセスチャンバーへ追加して、シリコン含有化合物、例えば、シリコンゲルマニウム炭素材料を形成することができる。
[0040]ステップ120中に使用される堆積ガスは、更に、硼素、砒素、燐、ガリウム又はアルミニウムのような元素ドープ剤のソースをなすために少なくとも1つのドープ剤化合物を含んでもよい。ドープ剤は、堆積されるシリコン含有化合物に、電子デバイスで要求される制御された希望の経路における方向性電子流のような種々の導電特性を与える。シリコン含有化合物の膜に特定のドープ剤をドープして、希望の導電特性を得る。一実施例では、シリコン含有化合物は、例えば、ジボランを使用して、約1015原子/cmから約1021原子/cmの範囲内の濃度で硼素を添加することにより、p型にドープされる。一実施例では、p型ドープ剤は、少なくとも5x1019原子/cmの濃度を有する。別の実施例では、p型ドープ剤は、約1x1020原子/cmから約2.5x1021原子/cmの範囲内である。また、別の実施例では、シリコン含有化合物は、例えば、燐及び/又は砒素により、約1015原子/cmから約1021原子/cmの範囲内の濃度で、n型にドープされる。
[0041]ドープ剤ソースは、通常、ステップ120中に、約0.1sccmから約20sccm、好ましくは、約0.5sccmから約10sccm、更に好ましくは、約1sccmから約5sccmの範囲内の割合でプロセスチャンバーへ送り込まれ、例えば、約2sccmで送り込まれる。ドープ剤ソースとして有用な硼素含有ドープ剤は、ボラン及びオルガノボランを含む。ボランは、ボラン、ジボラン(B)、トリボラン、テトラボラン、及びペンタボランを含み、一方、アルキルボランは、R=メチル、エチル、プロピル又はブチルとし、x=1、2又は3とすれば、実験式RBH(3−x)をもつ化合物を含む。アルキルボランは、トリメチルボラン((CHB)、ジメトルボラン((CHBH)、トリエチルボラン((CHCHB)、及びジエチルボラン((CHCHBH)を含む。また、ドープ剤は、R=メチル、エチル、プロピル又はブチルとし、x=1、2又は3とすれば、実験式RPH(3−x)をもつような砒素(AsH)、ホスフィン(PH)、及びアルキルホスフィンを含んでもよい。アルキルホスフィンは、トリメチルホスフィン((CHP)、ジメチルホスフィン((CHPH)、トリエチルホスフィン((CHCHP)、及びジエチルホスフィン((CHCHPH)を含む。アルミニウム及びガリウムドープ剤ソースは、M=Al又はGaとし、R=メチル、エチル、プロピル又はブチルとし、X=Cl又はFとし、x=0、1、2又は3とすれば、実験式RMX(3−x)で示されるようなアルキル化及び/又はハロゲン化派生物を含んでもよい。アルミニウム及びガリウムドープ剤ソースは、例えば、トリメチルアルミニウム(MeAl)、トリエチルアルミニウム(EtAl)、ジメチルアルミニウムクロライド(MeAlCl)、塩化アルミニウム(AlCl)、トリメチルガリウム(MeGa)、トリエチルガリウム(EtGa)、ジメチルガリウムクロライド(MeGaCl)、塩化ガリウム(GaCl)を含む。
[0042]ステップ130中に、堆積プロセスが終了される。一実施例では、プロセスチャンバーをパージガス又は担体ガスでフラッシュすることができ、及び/又はプロセスチャンバーを真空ポンプで排気することができる。パージ及び/又は排気プロセスは、余計な堆積ガス、反応副産物及び他の汚染物を除去する。別の実施例では、堆積プロセスが終了すると、プロセスチャンバーをパージ及び/又は排気せずに、ステップ140のエッチングプロセスが直ちに開始される。
[0043]ステップ140のエッチングプロセスは、ステップ120中に堆積されたシリコン含有材料を基板表面から除去する。エッチングプロセスは、エピタキシャル又は単結晶材料と、アモルファス又は多結晶材料との両方を除去する。基板表面に多結晶層が堆積されていれば、それが、エピタキシャル層より速い速度で除去される。エッチングプロセスの時間幅は、基板の希望のエリアに選択的に形成されるエピタキシャル層の正味堆積を生じるように、堆積プロセスの時間幅とバランスされる。それ故、ステップ120の堆積プロセス及びステップ140のエッチングプロセスの正味の結果は、選択的及びエピタキシャルに成長されるシリコン含有材料を形成する一方、多結晶シリコン含有材料の成長がもしあれば、それを最小にすることである。
[0044]ステップ140の間に、約10秒から約30秒の範囲内の時間周期中、基板がエッチングガスに露出される。塩素処理の炭素エッチング剤の場合には、約10sccmから約700sccm、好ましくは、約50sccmから約500sccm、更に好ましくは、約100sccmから約400sccmの範囲内の割合でプロセスチャンバーへ送り込むことができ、例えば、約200sccmで送り込むことができる。HClの場合には、約2000から約20000sccmで送り込むことができる。
[0045]エッチングプロセスは、ステップ150の間に終了される。一実施例では、プロセスチャンバーをパージガス又は担体ガスでフラッシュすることができ、及び/又はプロセスチャンバーを真空ポンプで排気することができる。パージ及び/又は排気プロセスは、余計なエッチングガス、反応副産物及び他の汚染物を除去する。別の実施例では、エッチングプロセスが終了すると、プロセスチャンバーをパージ及び/又は排気せずに、ステップ160が直ちに開始される。
[0046]エピタキシャル層及び多結晶層の厚みは、ステップ160の間に決定することができる。所定の厚みが達成された場合には、エピタキシャルプロセス100がステップ170において終了される。しかしながら、所定の厚みが達成されない場合には、所定の厚みが達成されるまでステップ120−160が1つのサイクルとして繰り返される。エピタキシャル層は、通常、約10Åから約2000Å、好ましくは、約100Åから約1500Å、更に好ましくは、約400Åから約1200Åの範囲内の厚み、例えば、約800Åの厚みをもつように成長される。多結晶層は、通常、原子層から約500Åまでの範囲内の厚みで堆積される。エピタキシャルシリコン含有層又は多結晶シリコン含有層の希望厚み又は所定厚みは、特定の製造プロセスに対して特有のものである。一実施例では、エピタキシャル層が所定の厚みに到達すると、多結晶層が厚くなり過ぎることがある。過剰な多結晶層は、ステップ120及び130をスキップしてステップ140−160を繰り返すことにより更にエッチングすることができる。
[0047]図2A−図2Eに示す一実施例では、シリコン含有層が基板の表面にエピタキシャルに且つ選択的に堆積されるようにしてMOSFETデバイス内にソース/ドレイン延長部が形成される。図2Aは、基板230の表面にイオンを注入することにより形成されたソース/ドレイン領域232を示している。ソース/ドレイン領域232のセグメントは、ゲート酸化物層235及びスペーサー234に形成されたゲート236により橋絡される。ソース/ドレイン延長部を形成するために、ソース/ドレイン領域232の一部分がエッチングされ湿式クリーニングされて、図2Bのように、くぼみ238を形成する。ソース/ドレイン領域232の一部分をエッチングする前にハードマスクを堆積することによりゲート236のエッチングを回避することができる。
[0048]図2Cは、ここに述べるエピタキシャルプロセスの一実施形態を示すもので、シリコン含有エピタキシャル層240及び任意の多結晶層242が、スペーサー234上に堆積を生じることなく、同時に且つ選択的に堆積される。多結晶層242は、エピタキシャルプロセス100のステップ120及び140において堆積及びエッチングプロセスを調整することによりゲート236上に任意に形成される。或いは又、エピタキシャル層240がソース/ドレイン領域232に堆積されるときに、多結晶層242がゲート236から連続的にエッチング除去される。
[0049]別の実施例では、シリコン含有エピタキシャル層240及び多結晶層242は、ゲルマニウム濃度が約1at%から約50at%の範囲内で、好ましくは、約24at%以下であるようなSiGe含有層である。種々の量のシリコン及びゲルマニウムを含む多数のSiGe含有層を積み重ねて、元素濃度が等級付けされたシリコン含有エピタキシャル層240を形成することができる。例えば、第1のSiGe層は、ゲルマニウム濃度が約15at%から約25at%の範囲で堆積することができ、また、第2のSiGe層は、ゲルマニウム濃度が約25at%から約35at%の範囲で堆積することができる。
[0050]別の実施例では、シリコン含有エピタキシャル層240及び多結晶層242は、炭素濃度が約200ppmから約5at%の範囲で、好ましくは、約3at%以下であり、また、好ましくは、約1at%から約2at%で、例えば、約1.5at%であるようなSiC含有層である。別の実施形態では、シリコン含有エピタキシャル層240及び多結晶層242は、ゲルマニウム濃度が約1at%から約50at%の範囲内で、好ましくは、約24at%以下であり、且つ炭素濃度が約200ppmから約5at%で、好ましくは、約3at%以下であり、更に好ましくは、約1at%から約2at%で、例えば、約1.5at%であるようなSiGeC含有層である。
[0051]Si、SiGe、SiC又はSiGeCを含む多数の層を異なる順序で堆積して、シリコン含有エピタキシャル層240内に等級付けされた元素濃度を形成することができる。シリコン含有層は、濃度が約1x1019原子/cmから約2.5x1021原子/cm、好ましくは、約5x1019原子/cmから約2x1020原子/cmの範囲内であるドープ剤(例えば、硼素、砒素、燐、ガリウム又はアルミニウム)でドープされる。シリコン含有材料の個々の層に添加されるドープ剤は、等級付けされたドープ剤を形成する。例えば、シリコン含有エピタキシャル層240は、ドープ剤濃度(例えば、硼素)が約5x1019原子/cmから約1x1020原子/cmの範囲の第1のSiGe含有層と、ドープ剤濃度(例えば、硼素)が約1x1020原子/cmから約2x1020原子/cmの範囲の第2のSiGe含有層とを堆積することにより形成される。
[0052]SiC含有層及びSiGeC含有層に合体された炭素は、シリコン含有層の堆積の直後に結晶格子の格子間サイトに配置される。格子間炭素含有量は、約10at%以下であり、好ましくは、約5at%未満であり、更に好ましくは、約1at%から約3at%であり、例えば、約2at%である。シリコン含有エピタキシャル層240は、格子間炭素の全部でないまでもその少なくとも一部分を、結晶格子の代用サイトに合体させるようにアニールすることができる。アニールプロセスは、迅速熱プロセス(RTP)のようなスパイクアニール、レーザアニール、又は熱アニールであって、酸素、窒素、水素、アルゴン、ヘリウム、又はその組み合せのようなガスの雰囲気を伴うものを含んでもよい。アニールプロセスは、約800℃から約1200℃、好ましくは、約1050℃から約1100℃の範囲内の温度で行なわれる。アニールプロセスは、シリコン含有層が堆積された直後、又は基板が耐える種々の他のプロセスステップの後に行うことができる。
[0053]次のステップの間に、図2Dは、スペーサー234に堆積されたスペーサー244、即ち、窒化物スペーサー(例えば、Si)を示している。このスペーサー244は、通常、CVD又はALD技術により異なるチャンバーにおいて堆積される。それ故、シリコン含有エピタキシャル層240を堆積するのに使用したプロセスチャンバーから基板が取り出される。2つのチャンバー間で移送する間に、基板を、温度、圧力、又は水や酸素を含む雰囲気のような周囲条件に露出することができる。スペーサー244を堆積するか、又は他の半導体プロセス(例えば、アニール、堆積又はインプランテーション)を実行するときに、基板を周囲条件にもう一度露出させた後に、エレベート層248を堆積することができる。一実施形態では、基板を周囲条件に露出する前に、ゲルマニウムを全くもたないか又は最小限(例えば、約5at%未満)しかもたないエピタキシャル層(図示せず)がエピタキシャル層240の上部に堆積される。なぜなら、約5at%より大きなゲルマニウム濃度で形成されたエピタキシャル層よりも、最小のゲルマニウム濃度しか含まないエピタキシャル層からの方が自然酸化物の除去が容易だからである。
[0054]図2Eは、シリコン含有材料で構成されたエレベート層248が、エピタキシャル層240(例えば、ドープされたSiGe)に選択的に且つエピタキシャルに堆積される別の実施例を示す。堆積プロセス中に、ゲート236において多結晶層242が更に成長され、堆積され又はエッチング除去される。
[0055]好ましい実施形態では、エレベート層248は、ゲルマニウム又は炭素をほとんど又は全く含まないエピタキシャル堆積されたシリコンである。しかしながら、別の実施形態では、エレベート層248は、ゲルマニウム及び/又は炭素を含む。例えば、エレベート層248は、約5at%以下のゲルマニウムを有してもよい。別の実施例では、エレベート層248は、約2at%以下の炭素を有してもよい。また、エレベート層248は、硼素、砒素、燐、アルミニウム又はガリウムのようなドープ剤でドープされてもよい。
[0056]シリコン含有化合物は、バイポーラデバイス製造(例えば、ベース、エミッタ、コレクタ、エミッタコンタクト)、BiCMOSデバイス製造(例えば、ベース、エミッタ、コレクタ、エミッタコンタクト)、及びCMOSデバイス製造(例えば、チャンネル、ソース/ドレイン、ソース/ドレイン延長部、エレベートソース/ドレイン、基板、張力を掛けたシリコン、シリコン・オン・インスレータ、及びコンタクトプラグ)に使用されるシリコン含有層を堆積するプロセスの実施形態内で使用される。これらプロセスの他の実施形態は、ゲート、ベースコンタクト、コレクタコンタクト、エミッタコンタクト、エレベートソース/ドレイン及び他の用途に使用できるシリコン含有層の成長を教示している。
[0057]これらプロセスは、図3A−3Cに示すように、MOSFET及びバイポーラトランジスタに選択的エピタキシャルシリコン含有層を堆積するのに非常に有用である。図3A−3Bは、MOSFETデバイスにエピタキシャル成長されたシリコン含有化合物を示している。シリコン含有化合物は、デバイスのソース/ドレイン特徴部に堆積される。シリコン含有化合物は、その下の層の結晶格子に接着してそこから成長し、シリコン含有化合物が希望の厚みに成長したときにこの配列を維持する。図3Aは、くぼんだソース/ドレイン層として堆積されたシリコン含有化合物を示し、一方、図3Bは、くぼんだソース/ドレイン層及びエレベートソース/ドレイン層として堆積されたシリコン含有化合物を示す。
[0058]ソース/ドレイン領域312は、イオンインプランテーションによって形成される。基板310は、n型にドープされる一方、ソース/ドレイン領域312は、p型にドープされる。シリコン含有エピタキシャル層313は、ソース/ドレイン領域312上に選択的に及び/又は基板310上に直接的に成長される。ここでの態様に基づいてシリコン含有層313上には、シリコン含有エピタキシャル層314が選択的に成長される。ゲート酸化物層318が、セグメント化されたシリコン含有層313を橋絡する。ゲート酸化物層318は、二酸化シリコン、シリコンオキシニトライド、又は酸化ハフニウムで構成される。ゲート酸化物層318を部分的に取り巻いているのは、スペーサー316であり、これは、通常、窒化物/酸化物スタック(例えば、Si/SiO/Si)のような分離材料である。ゲート層322(例えば、ポリシリコン)は、図3Aの場合のように、垂直な側部に沿って、二酸化シリコンのような保護層319を有することができる。或いは又、ゲート層322は、各側に配置されたスペーサー316及びオフセット層320(例えば、Si)を有してもよい。
[0059]別の実施例において、図3Cは、バイポーラトランジスタのベース層として堆積されたシリコン含有エピタキシャル層334を示している。このシリコン含有エピタキシャル層334は、本発明の種々の実施形態で選択的に成長される。シリコン含有エピタキシャル層334は、基板330に以前に堆積されたn型コレクタ層332上に堆積される。このトランジスタは、更に、分離層333(例えば、SiO又はSi)、コンタクト層336(例えば、強くドープされたポリSi)、オフセット層338(例えば、Si)、及び第2の分離層340(例えば、SiO又はSi)を備えている。
[0060]別の実施形態において、図4は、シリコン含有材料/層を選択的に堆積するのに使用できるエピタキシャルプロセス400を示す。このエピタキシャルプロセス400は、少なくとも2つの堆積プロセスと、それに続くエッチングプロセスとを備えている。第1堆積プロセスは、シリコンソースを含む堆積ガスを備え、一方、第2堆積プロセスは、ゲルマニウム、炭素又はドープ剤(例えば、硼素、砒素、燐、ガリウム、又はアルミニウム)のような二次元素ソースを含む堆積ガスを備えている。エピタキシャルプロセス100で使用された同様のプロセスパラメータ、例えば、温度、圧力、流量、担体ガス及び先駆物質が、エピタキシャルプロセス400でも使用される。
[0061]エピタキシャルプロセス400は、パターン化された基板をプロセスチャンバーにロードし、プロセスチャンバーを所定の温度に調整するためのステップ410を備えている。ステップ420は、単結晶表面上にエピタキシャル層を形成する一方、二次表面、例えば、アモルファス表面及び/又は多結晶表面上に多結晶層を形成する第1堆積プロセスを行なう。エピタキシャル層及び単結晶層は、シリコンソースを含む堆積ガスから形成される。ステップ430中に、第1堆積プロセスが終了される。ステップ440は、単結晶表面上にエピタキシャル層の成長を続けると共に、二次表面上に多結晶層の形成を続けるための第2堆積プロセスを行なう。エピタキシャル層及び多結晶層は、二次元素ソースを含む堆積ガスに基板表面を露出させることにより更に成長される。ステップ450において、第2堆積プロセスが終了となる。ステップ460は、露出されたシリコン含有層をエッチングするためのエッチングプロセスを行なう。エッチングプロセスは、多結晶層を最小にするか又は完全に除去する一方、各材料が除去される割合の結果としてエピタキシャル層の縁部分だけを除去する。ステップ470の間に、エッチングプロセスが終了する。ステップ480の間に、エピタキシャル層、及びもしあれば、多結晶層の厚みが決定される。所定の厚みが達成された場合には、ステップ490において、エピタキシャルプロセス400が終了となる。しかしながら、各層の所定の厚みが達成されない場合は、所定の厚みが達成されるまでステップ420−480が1つのサイクルとして繰り返される。
[0062]エピタキシャルプロセス400は、ステップ410において、パターン化された基板を収容するプロセスチャンバーを所定の温度に調整することにより開始される。温度及び圧力は、特別に行なわれるプロセスに対して仕立てられる。プロセスチャンバーは、エピタキシャルプロセス400全体にわたり一貫した温度に維持される。しかしながら、幾つかのステップは、様々な温度で行われてもよい。プロセスチャンバーは、約500℃から約650℃の範囲の温度に保持される。エピタキシャルプロセス400を行なうのに適した温度は、ステップ420から480中にシリコン含有材料を堆積及び/又はエッチングするのに使用される特定の先駆物質に依存し得る。プロセスチャンバーは、通常、約1トールから約100トールの圧力に維持される。
[0063]第1堆積プロセスは、ステップ420中に行なわれる。パターン化された基板が第1堆積ガスに露出されて、単結晶表面上にエピタキシャル層を形成する一方、二次表面上に多結晶層を形成する。基板は、約5秒から約25秒の時間周期中に第1堆積ガスに露出される。堆積プロセスの特定の露出時間は、ステップ460のエッチングプロセス中の露出時間、並びにそのプロセスに使用される特定の先駆物質及び温度に関連して決定される。基板は、最大厚みのエピタキシャル層を形成する一方、その後のステップ460中に容易にエッチング除去できる最小厚みの多結晶層を形成するに足る長さで第1堆積ガスに露出される。
[0064]第1堆積ガスは、少なくともシリコンソース及び担体ガスを含む。また、第1堆積ガスは、二次元素ソース及び/又はドープ剤化合物も含むことができるが、これら二次元素ソース及びドープ剤化合物は、第2堆積ガスに含まれるのが好ましい。それ故、1つの態様において、第1堆積ガスは、シリコンソース、二次元素ソース、及びドープ剤ソースを含むことができる。別の態様において、第1堆積ガスは、シリコンソース及び二次元素ソースを含んでもよい。更に別の態様において、第1堆積ガスは、シリコンソース及びドープ剤ソースを含んでもよい。別の実施形態において、第1堆積ガスは、塩化水素又は塩素のような少なくとも1つのエッチング剤も含むことができる。
[0065]シリコンソースは、通常、約5sccmから約500sccm、好ましくは、約10sccmから約300sccm、更に好ましくは、約50sccmから約200sccmの範囲内の割合、例えば、約100sccmで、プロセスチャンバーに送り込まれる。好ましいシリコンソースは、シラン、ジクロロシラン、及びジシランを含む。
[0066]シリコンソースは、通常、担体ガスにおいてプロセスチャンバーへ送り込まれる。担体ガスは、流量が約60から約600sccmである。担体ガスは、窒素(N)、水素(H)、アルゴン、ヘリウム、HCl、及びその組み合せを含むことができる。HClは、特定の条件のもとでエッチング剤又は不活性ガスとして働くことができるので、好ましい担体ガスである。
[0067]ステップ430の間に、第1堆積プロセスが終了される。一実施例において、プロセスチャンバーをパージガス又は担体ガスでフラッシュすることができ、及び/又はプロセスチャンバーを真空ポンプで排気することができる。パージ及び/又は排気プロセスは、余計な堆積ガス、反応副産物及び他の汚染物を除去する。別の実施例では、第1堆積プロセスが終了すると、プロセスチャンバーをパージ及び/又は排気せずに、ステップ440の第2堆積プロセスが直ちに開始される。
[0068]ステップ440中に使用される堆積ガスは、担体ガスと、少なくとも1つの二次元素ソース、例えば、ゲルマニウムソース、炭素ソース及び/又はドープ剤化合物とを含む。或いは又、シリコンソースが第2堆積ガスに含まれてもよい。二次元素ソースは、担体ガスと共にプロセスチャンバーへ追加され、ステップ420中に堆積されるシリコン含有化合物の成長を続けさせる。シリコン含有化合物は、特定の二次元素ソース、及びその二次元素ソースの濃度により制御される種々の組成をもつことができる。二次元素ソースは、通常、約0.1sccmから約20sccm、好ましくは、約0.5sccmから約10sccm、更に好ましくは、約1sccmから約5sccmの範囲内の割合、例えば、約2sccmで、プロセスチャンバーに送り込まれる。上述した先駆物質からゲルマニウムソース、炭素ソース及びドープ剤化合物が選択される。
[0069]ステップ450の間に、第2堆積プロセスが終了される。一実施例において、プロセスチャンバーをパージガス又は担体ガスでフラッシュすることができ、及び/又はプロセスチャンバーを真空ポンプで排気することができる。パージ及び/又は排気プロセスは、余計な堆積ガス、反応副産物及び他の汚染物を除去する。別の実施例では、第2堆積プロセスが終了すると、プロセスチャンバーをパージ及び/又は排気せずに、ステップ460のエッチングプロセスが直ちに開始される。
[0070]ステップ460のエッチングプロセスは、ステップ420及び440中に堆積された材料を基板表面から除去する。エッチングプロセスは、エピタキシャル又は単結晶材料と、アモルファス及び/又は多結晶材料との両方を除去する。基板表面に多結晶層が堆積されていれば、それが、エピタキシャル層より速い速度で除去される。エッチングプロセスの時間幅は、2つの堆積プロセスの時間幅とバランスされる。それ故、ステップ420及び440の堆積プロセス及びステップ460のエッチングプロセスの正味の結果は、選択的に及びエピタキシャルに成長されるシリコン含有材料を形成する一方、多結晶シリコン含有材料の成長がもしあれば、それを最小にすることである。ステップ460の間に、約10秒から約30秒の範囲の時間周期にわたり基板がエッチングガスに曝される。
[0071]エッチングプロセスは、ステップ470の間に終了される。一実施例では、プロセスチャンバーをパージガス又は担体ガスでフラッシュすることができ、及び/又はプロセスチャンバーを真空ポンプで排気することができる。パージ及び/又は排気プロセスは、余計なエッチングガス、反応副産物及び他の汚染物を除去する。別の実施例では、エッチングプロセスが終了すると、プロセスチャンバーをパージ及び/又は排気せずに、ステップ480が直ちに開始される。
[0072]エピタキシャル層及び多結晶層の厚みは、ステップ480の間に決定することができる。所定の厚みが達成された場合には、エピタキシャルプロセス400がステップ490において終了される。しかしながら、所定の厚みが達成されない場合には、希望の厚みが達成されるまで、ステップ420−480が1つのサイクルとして繰り返される。エピタキシャル層は、通常、約10Åから約2000Å、好ましくは、約100Åから約1500Å、更に好ましくは、約400Åから約1200Åの範囲内の厚み、例えば、約800Åの厚みをもつように成長される。多結晶層は、通常、ほぼ原子層から約500Åまでの範囲内の厚みをもつように堆積される。エピタキシャルシリコン含有層又は多結晶シリコン含有層の希望厚み又は所定厚みは、特定の製造プロセスに対して特有のものである。一実施例では、エピタキシャル層が所定の厚みに到達すると、多結晶層が厚くなり過ぎることがある。過剰な多結晶層は、ステップ460及び470を省略してステップ140−160を繰り返すことにより更にエッチングすることができる。同様に、他の実施例では、エピタキシャルプロセス400を通して進む間にステップ420、440及び460を個々に省略することもできる。ステップ420、440及び460をスキップすることにより、堆積されるシリコン含有材料の元素濃度及び厚みを制御することができる。
[0073]本発明の実施形態は、種々の基板上にシリコン含有化合物を堆積するためのプロセスを教示する。本発明の実施形態に有用である基板は、結晶シリコン(例えば、Si<100>及びSi<111>)、酸化シリコン、シリコンゲルマニウム、ドープ又は非ドープウェハ、及びパターン化又は非パターン化ウェハのような半導体ウェハを含むが、これに限定されない。基板は、種々の幾何学形状(例えば、丸い、方形、及び長方形)及びサイズ(例えば、200mmOD、300mmOD)を有する。
[0074]一実施形態において、ここに述べるプロセスにより堆積されるシリコン含有化合物は、約0at%から約95at%の範囲内のゲルマニウム濃度を含む。別の実施形態では、ゲルマニウム濃度は、約1at%から約30at%、好ましくは、約15at%から約30at%の範囲内であり、例えば、約20at%である。また、シリコン含有化合物は、約0at%から約5at%の範囲内の炭素濃度も含む。他の態様において、炭素濃度は、約200ppmから約3at%の範囲内でよく、約1.5at%であるのが好ましい。
[0075]ゲルマニウム及び/又は炭素のシリコン含有化合物膜が、本発明の種々のプロセスにより形成され、これは、一貫した、散発的な又は等級付けされた元素濃度をもつことができる。等級付けされたシリコンゲルマニウム膜が、アプライドマテリアルズ社に共に譲渡された米国特許第6,770,134号、及び米国特許出願第10/014,466号、即ち米国特許公告第20020174827号に開示されており、これらは、等級付けされたシリコン含有化合物膜を堆積する方法を説明する目的でその全体を参考としてここに援用する。一実施例では、シリコンゲルマニウム含有膜を選択的に且つエピタキシャルに堆積するために、シリコンソース(例えば、SiH)及びゲルマニウムソース(例えば、GeH)が使用される。この実施例では、等級付けされた膜を成長する間に、シリコンソースとゲルマニウムソースの比を変化させて、シリコン及びゲルマニウムのような元素の濃度を制御することができる。別の実施形態では、シリコンソース及び炭素ソース(例えば、CHSiH)を使用して、シリコン炭素含有膜を選択的に且つエピタキシャルに堆積する。均質な又は等級付けされた膜を成長する間に、シリコンソースと炭素ソースの比を変化させて、元素濃度を制御することができる。別の実施例では、シリコンソース、ゲルマニウムソース及び炭素ソースを使用して、シリコンゲルマニウム炭素含有膜を選択的に且つエピタキシャルに堆積する。均質な又は等級付けされた膜を成長する間に、シリコン、ゲルマニウム及び炭素ソースの比を独立して変化させて、元素濃度の制御を与える。
[0076]ここに述べるプロセスにより形成されるMOSFETデバイスは、PMOSコンポーネント又はNMOSコンポーネントを含むことができる。p型チャンネルを伴うPMOSコンポーネントは、チャンネル導通の役割を果たすホールを有し、一方、n型チャンネルを伴うNMOSコンポーネントは、チャンネル導通の役割を果たす電子を有する。それ故、例えば、SiGeのようなシリコン含有材料をくぼみエリアに堆積して、PMOSコンポーネントを形成することができる。別の実施例では、SiCのようなシリコン含有膜をくぼみエリアに堆積して、NMOSコンポーネントを形成することができる。SiGeは、多数の理由でPMOS用途に使用される。SiGe材料は、シリコン単独の場合より多数の硼素を合体し、従って、接合抵抗率を下げることができる。また、基板表面におけるSiGe/ケイ化物層の界面は、Si/ケイ化物の界面より、ショットキーバリアが低い。
[0077]更に、シリコンの上部にエピタキシャル成長されたSiGeは、SiGeの格子定数がシリコンより大きいので、膜内に圧縮応力を有する。この圧縮応力は、横方向次元において伝達されて、PMOSチャンネルに圧縮歪を生成すると共に、ホールの移動度を高める。NMOS用途については、SiCをくぼんだエリアに使用して、チャンネルに引っ張り応力を生成することができる。なぜなら、SiCの格子定数がシリコンより小さいからである。引っ張り応力は、チャンネルへ伝達され、電子の移動度を高める。それ故、一実施形態では、第1のシリコン含有層が第1の格子歪値で形成され、第2のシリコン含有層が第2の格子歪値で形成される。例えば、厚みが約50Åから約200ÅのSiC層が基板表面に堆積され、その後、このSiC層の上に厚みが約150Åから約1000ÅのSiGe層が堆積される。SiC層は、エピタキシャル成長させることができ、このSiC層上にエピタキシャル成長されるSiGe層より歪が少ない。
[0078]ここに述べる実施形態では、シリコン含有化合物膜は、化学気相堆積(CVD)プロセスにより選択的に且つエピタキシャルに堆積される。化学気相堆積プロセスは、原子層堆積(ALD)プロセス及び/又は原子層エピタキシー(ALE)プロセスを含む。化学気相堆積は、プラズマ支援CVD(PA−CVD)、原子層CVD(ALCVD)、オルガノメタリック又はメタルオーガニックCVD(OMCVD又はMOCVD)、レーザ支援CVD(LA−CVD)、紫外線CVD(UV−CVD)、ホットワイヤ(HWCVD)、減圧CVD(RP−CVD)、超高真空CVD(UHV−CVD)、等々の多数の技術の使用を含む。一実施形態において、好ましいプロセスは、熱CVDを使用して、シリコン含有化合物をエピタキシャル成長又は堆積することであり、一方、シリコン含有化合物は、シリコン、SiGe、SiC、SiGeC、それらのドープされたもの、及びそれらの組み合せを含む。
[0079]本発明のプロセスは、ALE、CVD及びALDの分野で知られた装置において実行することができる。この装置は、堆積ガス及びエッチングガスを、プロセスチャンバーに入れる前に、分離状態に維持するために多数のガス管路を含むことができる。その後、ガスは、シリコン含有化合物膜が成長されるところの加熱された基板に接触させられる。シリコン含有膜を堆積するのに使用できるハードウェアは、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手できるEpi Centura(登録商標)システム及びPoly Gen(登録商標)システムを含む。ALD装置は、アプライドマテリアルズ社に譲渡された“Gas Delivery Apparatus and Methods for ALD”と題する2001年12月21日に出願された米国特許出願第10/032,284号、即ち米国特許公告第20030079686号に開示されており、これは、この装置を説明する目的で参考としてここに全体を援用する。他の装置は、この技術で知られたバッチ式高温炉を含む。
[0080]本発明のプロセスは、以上に述べた方法を遂行するように実行できるコンピュータ読み取り可能なプログラムによって遂行できる。
[0081]以上、本発明の実施形態を説明したが、本発明の基本的な範囲から逸脱せずに、他の及び更に別の実施形態を案出することもでき、それ故、本発明の範囲は、特許請求の範囲により限定されるものとする。
ここに述べる一実施形態においてシリコン含有材料を選択的に且つエピタキシャルに堆積するプロセスを示すフローチャートである。 MOSFET内にソース/ドレイン拡張デバイスを製造する技術の概略図である。 MOSFET内にソース/ドレイン拡張デバイスを製造する技術の概略図である。 MOSFET内にソース/ドレイン拡張デバイスを製造する技術の概略図である。 MOSFET内にソース/ドレイン拡張デバイスを製造する技術の概略図である。 MOSFET内にソース/ドレイン拡張デバイスを製造する技術の概略図である。 ここに示す実施形態を適用することにより選択的に且つエピタキシャルに堆積されたシリコン含有層を含む多数のデバイスを示す図である。 ここに示す実施形態を適用することにより選択的に且つエピタキシャルに堆積されたシリコン含有層を含む多数のデバイスを示す図である。 ここに示す実施形態を適用することにより選択的に且つエピタキシャルに堆積されたシリコン含有層を含む多数のデバイスを示す図である。 ここに示す別の実施形態においてシリコン含有材料を選択的に且つエピタキシャルに堆積するプロセスを説明するフローチャートである。 HClの流量、全圧力、及びソースガスの流量を時間に対して示すグラフである。 HClの流量、全圧力、及びソースガスの流量を時間に対して示すグラフである。 HClの流量、全圧力、及びソースガスの流量を時間に対して示すグラフである。
符号の説明
100…エピタキシャルプロセス、230…基板、232…ソース/ドレイン領域、234…スペーサー、235…ゲート酸化物層、236…ゲート、240…シリコン含有エピタキシャル層、242…多結晶層、244…スペーサー、248…エレベート層、310…基板、312…ソース/ドレイン領域、313、314…シリコン含有エピタキシャル層、316…スペーサー、318…ゲート酸化物層、320…オフセット層、322…ゲート層、330…基板、332…コレクタ層、333…分離層、334…シリコン含有エピタキシャル層、336…コンタクト層、338…オフセット層、340…第2の分離層、400…エピタキシャルプロセス

Claims (36)

  1. 基板表面上にシリコン含有材料をエピタキシャル形成する方法において、
    単結晶表面と、アモルファス表面、多結晶表面及びその組合せより成るグループから選択された第2の表面とを含む基板をプロセスチャンバー内に位置させるステップと、
    シリコンソースと、ハロゲン含有化合物を含む担体ガスとで構成された堆積ガスに上記基板を露出して、上記単結晶表面にはエピタキシャル層を、上記第2の表面には多結晶層を堆積するステップと、
    エッチングガスに上記基板を露出して、上記多結晶層及びエピタキシャル層をエッチングするステップと、
    を備えた方法。
  2. 前記ハロゲン含有化合物は、HCl、HBr又はその組合せを含む、請求項1に記載の方法。
  3. 前記ハロゲン含有化合物は、HClを含む、請求項2に記載の方法。
  4. 上記堆積ガスは、更に、Cl、H、N、不活性ガス、又はその組合せを含む、請求項1に記載の方法。
  5. 前記ハロゲン含有化合物は、前記基板を前記堆積ガスに露出する間に、約60sccmから約600sccmの割合で前記チャンバーへ流れ込む、請求項1に記載の方法。
  6. 前記エッチングガスは、前記ハロゲン含有化合物を含む、請求項1に記載の方法。
  7. 前記ハロゲン含有化合物は、前記基板を前記堆積ガスに前記露出する間には約60sccmから約600sccmの割合で前記チャンバーへ流れ込むのと、前記基板を前記エッチングガスに前記露出する間には約2000sccmから約20000sccmの割合で流れ込むのとを交互に行う、請求項6に記載の方法。
  8. 前記基板をエッチングガスに前記露出する間のチャンバー圧力は、約30トールから約100トールである、請求項1に記載の方法。
  9. 堆積サイクルは、上記基板を上記堆積ガス及びエッチングガスに露出して、所定厚みのシリコン含有材料を形成するのを繰り返すことを含む、請求項1に記載の方法。
  10. 上記堆積サイクルは、少なくとも2回繰り返される、請求項1に記載の方法。
  11. 上記エピタキシャル層は、シリコンゲルマニウム、シリコン炭素、シリコンゲルマニウム炭素、及びその組合せより成るグループから選択された材料を含む、請求項1に記載の方法。
  12. 上記プロセスチャンバーの温度は、基板を堆積ガスに露出する間及び基板をエッチングガスに露出する間に約500℃から約650℃である、請求項1に記載の方法。
  13. 基板表面上にシリコン含有材料をエピタキシャル形成する方法において、
    単結晶表面と、アモルファス表面、多結晶表面及びその組合せより成るグループから選択された第2の表面とを含む基板をプロセスチャンバー内に位置させるステップと、
    シリコンソース及び担体ガスで構成された堆積ガスに上記基板を露出して、上記単結晶表面にはエピタキシャル層を、上記第2の表面には多結晶層を堆積するステップと、
    約650℃より低いプロセスチャンバー温度における塩素化炭化水素エッチングガスに上記基板を露出して、上記多結晶層及びエピタキシャル層をエッチングするステップと、
    を備えた方法。
  14. 前記担体ガスは、ハロゲン含有化合物を含む、請求項13に記載の方法。
  15. 前記ハロゲン含有化合物は、HCl、HBr、Hl又はその組合せを含む、請求項14に記載の方法。
  16. 前記ハロゲン含有ガスは、HClを含む、請求項15に記載の方法。
  17. 前記塩素化炭化水素は、塩化メチル、ジクロロメタン、クロロホルム、四塩化炭素、塩化エチル、クロロアルケン、又はその組合せを含む、請求項13に記載の方法。
  18. 前記塩素化炭化水素は、前記堆積ガスと同時に前記チャンバーへ流れ込む、請求項13に記載の方法。
  19. 前記塩素化炭化水素は、前記堆積ガスと交互に前記チャンバーへ流れ込む、請求項13に記載の方法。
  20. 前記基板を前記エッチングガスに露出する前記ステップは、約50トール以下のプロセスチャンバー圧力で行われる、請求項13に記載の方法。
  21. 堆積サイクルは、上記基板を上記堆積ガス及びエッチングガスに露出して、所定厚みのシリコン含有材料を形成するのを繰り返すことを含む、請求項13に記載の方法。
  22. 上記堆積サイクルは、少なくとも2回繰り返される、請求項21に記載の方法。
  23. 上記エピタキシャル層は、シリコンゲルマニウム、シリコン炭素、シリコンゲルマニウム炭素、及びその組合せより成るグループから選択された材料を含む、請求項13に記載の方法。
  24. 基板表面上にシリコン含有材料をエピタキシャル形成する方法において、
    シリコンソース及びHClを担体ガスとして含む堆積ガスに上記基板を露出するステップと、
    HClをエッチングガスとして使用してエッチングを行うステップと、
    を備えた方法。
  25. 上記堆積ガスは、更に、Cl、H、N、不活性ガス、又はその組合せを含む、請求項24に記載の方法。
  26. 前記HClは、前記基板を前記堆積ガスに前記露出する間に約60sccmから約600sccmの割合で前記チャンバーに流れ込む、請求項24に記載の方法。
  27. 前記HClは、前記エッチング中に約2000sccmから約20000sccmの割合で前記チャンバーに流れ込む、請求項24に記載の方法。
  28. 前記HClは、前記基板を前記堆積ガスに前記露出する間には約60sccmから約600sccmの割合で前記チャンバーへ流れ込むのと、前記エッチング中には約2000sccmから約20000sccmの割合で流れ込むのとを交互に行う、請求項24に記載の方法。
  29. 上記プロセスチャンバー温度は、上記基板を堆積ガスに露出する間及び上記エッチング中に約500℃から約650℃である、請求項24に記載の方法。
  30. プロセスチャンバーに位置された基板上にシリコン含有材料をエピタキシャル形成する方法であって、上記基板は、単結晶表面と、アモルファス表面、多結晶表面及びその組合せより成るグループから選択された第2の表面とを含むものである方法において、
    上記プロセスチャンバーへのハロゲン含有ガスの流れを、上記単結晶表面にはエピタキシャル層を、上記第2の表面には多結晶層を堆積するための第1の流量に制御するステップと、
    上記プロセスチャンバーへのハロゲン含有ガスの流量を、上記多結晶層及びエピタキシャル層をエッチングするための第2の流量に制御するステップと、
    を備えた方法。
  31. 上記第1の流量におけるハロゲン含有ガスと、上記第2の流量におけるハロゲン含有ガスは、同じものである、請求項30に記載の方法。
  32. 前記ハロゲン含有ガスは、HClを含む、請求項31に記載の方法。
  33. 前記ハロゲン含有ガスは、前記第1の流量に対して約60sccmから約600sccmの割合で前記チャンバーへと流れ込む、請求項32に記載の方法。
  34. 前記ハロゲン含有化合物は、前記第2の流量に対して約2000sccmから約20000sccmの割合で前記チャンバーへと流れ込む、請求項32に記載の方法。
  35. 上記プロセスチャンバーの温度は、前記方法の間に約500℃から約650℃である、請求項30に記載の方法。
  36. 請求項30に記載の方法を実行するためのプログラムインストラクションが記憶されたコンピュータ読み取り可能な媒体。
JP2009500603A 2006-03-17 2007-03-15 選択的堆積 Pending JP2009533546A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/378,101 US7560352B2 (en) 2004-12-01 2006-03-17 Selective deposition
PCT/US2007/064038 WO2007109491A2 (en) 2006-03-17 2007-03-15 Selective deposition

Publications (2)

Publication Number Publication Date
JP2009533546A true JP2009533546A (ja) 2009-09-17
JP2009533546A5 JP2009533546A5 (ja) 2010-04-30

Family

ID=38523167

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009500603A Pending JP2009533546A (ja) 2006-03-17 2007-03-15 選択的堆積

Country Status (6)

Country Link
US (1) US7560352B2 (ja)
JP (1) JP2009533546A (ja)
KR (1) KR101037524B1 (ja)
CN (1) CN101401202B (ja)
TW (1) TWI419204B (ja)
WO (1) WO2007109491A2 (ja)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
JP2007281038A (ja) * 2006-04-03 2007-10-25 Toshiba Corp 半導体装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7560350B2 (en) * 2006-04-17 2009-07-14 United Microelectronics Corp. Method for forming strained semiconductor device and method for forming source/drain region
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
KR100764058B1 (ko) * 2006-09-20 2007-10-09 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7557010B2 (en) * 2007-02-12 2009-07-07 Agere Systems Inc. Method to improve writer leakage in a SiGe bipolar device
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5018473B2 (ja) * 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US20090269926A1 (en) * 2008-04-28 2009-10-29 International Business Machines Corporation Polygrain engineering by adding impurities in the gas phase during chemical vapor deposition of polysilicon
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN102117741B (zh) * 2010-01-06 2013-03-13 上海华虹Nec电子有限公司 改善锗硅或锗硅碳单晶与多晶交界面形貌的方法
JP5393895B2 (ja) * 2010-09-01 2014-01-22 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
CN102569082B (zh) * 2010-12-24 2015-05-20 中芯国际集成电路制造(上海)有限公司 用于制作嵌入式锗硅应变pmos器件结构的方法
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
CN103832965B (zh) * 2012-11-23 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
JP6068661B2 (ja) * 2013-09-30 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
CN103556219B (zh) * 2013-10-31 2016-04-20 国家电网公司 一种碳化硅外延生长装置
CN105993064B (zh) * 2013-12-27 2019-12-03 英特尔公司 用于环绕栅极架构的选择性蚀刻
US9202812B2 (en) * 2014-03-21 2015-12-01 International Business Machines Corporation Abrupt source/drain junction formation using a diffusion facilitation layer
JP6271356B2 (ja) * 2014-07-07 2018-01-31 株式会社東芝 半導体装置の製造方法
JP6269854B2 (ja) * 2014-10-31 2018-01-31 富士電機株式会社 炭化珪素エピタキシャル膜の成長方法
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
US11011635B2 (en) 2016-12-12 2021-05-18 Applied Materials, Inc. Method of forming conformal epitaxial semiconductor cladding material over a fin field effect transistor (FINFET) device
US9923081B1 (en) 2017-04-04 2018-03-20 Applied Materials, Inc. Selective process for source and drain formation
US10256322B2 (en) 2017-04-04 2019-04-09 Applied Materials, Inc. Co-doping process for n-MOS source drain application
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPH02218125A (ja) * 1988-11-30 1990-08-30 Microelectron Center Of North Carolina 半導体基板上に耐熱金属を選択的に堆積する方法
JPH0992621A (ja) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd 半導体薄膜の選択成長方法
JP2002057115A (ja) * 2000-08-11 2002-02-22 Samsung Electronics Co Ltd 選択的エピタキシャル成長方法
JP2003045802A (ja) * 2001-06-02 2003-02-14 Samsung Electronics Co Ltd 構造選択エピタキシヤル成長技術および選択シリコンエッチング技術を用いた単結晶シリコンパターン形成方法
US20040171238A1 (en) * 2003-01-24 2004-09-02 Arena Chantal J. Enhanced selectivity for epitaxial deposition
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US590680A (en) * 1897-09-28 gillespie
US3675619A (en) * 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) * 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (ja) * 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) * 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JP2880322B2 (ja) * 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) * 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) * 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
EP1060287B1 (en) * 1998-03-06 2005-01-26 ASM America, Inc. Method of depositing silicon with high step coverage
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
JP2000007337A (ja) 1998-06-12 2000-01-11 Gerest Inc タンタル薄膜およびタンタルを主体とした薄膜並びにそれらの作製方法
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) * 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) * 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
KR100814980B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
KR100393208B1 (ko) * 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3730962B2 (ja) * 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2004533118A (ja) * 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド 低温搬入出およびベーク
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) * 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
WO2004081986A2 (en) * 2003-03-12 2004-09-23 Asm America Inc. Method to planarize and reduce defect density of silicon germanium
EP1678795A1 (en) * 2003-10-31 2006-07-12 Bookham Technology PLC Method for manufacturing gratings in semiconductor materials that readily oxidise
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7144779B2 (en) * 2004-09-01 2006-12-05 Micron Technology, Inc. Method of forming epitaxial silicon-comprising material

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPH02218125A (ja) * 1988-11-30 1990-08-30 Microelectron Center Of North Carolina 半導体基板上に耐熱金属を選択的に堆積する方法
JPH0992621A (ja) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd 半導体薄膜の選択成長方法
JP2002057115A (ja) * 2000-08-11 2002-02-22 Samsung Electronics Co Ltd 選択的エピタキシャル成長方法
JP2003045802A (ja) * 2001-06-02 2003-02-14 Samsung Electronics Co Ltd 構造選択エピタキシヤル成長技術および選択シリコンエッチング技術を用いた単結晶シリコンパターン形成方法
US20040171238A1 (en) * 2003-01-24 2004-09-02 Arena Chantal J. Enhanced selectivity for epitaxial deposition
US20050079691A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe

Also Published As

Publication number Publication date
WO2007109491A3 (en) 2007-12-13
CN101401202A (zh) 2009-04-01
WO2007109491A2 (en) 2007-09-27
TWI419204B (zh) 2013-12-11
US20060166414A1 (en) 2006-07-27
CN101401202B (zh) 2011-09-28
US7560352B2 (en) 2009-07-14
TW200802547A (en) 2008-01-01
KR101037524B1 (ko) 2011-05-26
KR20080112298A (ko) 2008-12-24

Similar Documents

Publication Publication Date Title
JP5303148B2 (ja) 交互ガス供給による選択的エピタキシープロセス
US7560352B2 (en) Selective deposition
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
JP4918043B2 (ja) シリコンエピタキシャル膜形成方法
US7737007B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7439142B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
JP5115970B2 (ja) 選択エピタキシープロセス制御

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100309

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100309

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121001

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121009

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121227

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130924