JP2009533546A5 - - Google Patents

Download PDF

Info

Publication number
JP2009533546A5
JP2009533546A5 JP2009500603A JP2009500603A JP2009533546A5 JP 2009533546 A5 JP2009533546 A5 JP 2009533546A5 JP 2009500603 A JP2009500603 A JP 2009500603A JP 2009500603 A JP2009500603 A JP 2009500603A JP 2009533546 A5 JP2009533546 A5 JP 2009533546A5
Authority
JP
Japan
Prior art keywords
gas
substrate
silicon
halogen
polycrystalline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009500603A
Other languages
English (en)
Other versions
JP2009533546A (ja
Filing date
Publication date
Priority claimed from US11/378,101 external-priority patent/US7560352B2/en
Application filed filed Critical
Publication of JP2009533546A publication Critical patent/JP2009533546A/ja
Publication of JP2009533546A5 publication Critical patent/JP2009533546A5/ja
Pending legal-status Critical Current

Links

Claims (15)

  1. 基板表面上にシリコン含有材料をエピタキシャル形成する方法において、
    単結晶表面と、アモルファス表面、多結晶表面及びその組合せより成るグループから選択された第2の表面とを含む基板をプロセスチャンバー内に位置させるステップと、
    シリコンソースと、ハロゲン含有化合物を含む担体ガスとで構成された堆積ガスに上記基板を露出して、上記単結晶表面にはエピタキシャル層を、上記第2の表面には多結晶層を堆積するステップと、
    エッチングガスに上記基板を露出して、上記多結晶層及びエピタキシャル層をエッチングするステップと、
    を備えた方法。
  2. 前記ハロゲン含有化合物は、HClを含む、請求項1に記載の方法。
  3. 前記エッチングガスは、前記ハロゲン含有化合物を含む、請求項1に記載の方法。
  4. 上記エピタキシャル層は、シリコンゲルマニウム、シリコン炭素、シリコンゲルマニウム炭素、及びその組合せより成るグループから選択された材料を含む、請求項1に記載の方法。
  5. 基板表面上にシリコン含有材料をエピタキシャル形成する方法において、
    単結晶表面と、アモルファス表面、多結晶表面及びその組合せより成るグループから選択された第2の表面とを含む基板をプロセスチャンバー内に位置させるステップと、
    シリコンソース及び担体ガスで構成された堆積ガスに上記基板を露出して、上記単結晶表面にはエピタキシャル層を、上記第2の表面には多結晶層を堆積するステップと、
    約650℃より低いプロセスチャンバー温度における塩素化炭化水素エッチングガスに上記基板を露出して、上記多結晶層及びエピタキシャル層をエッチングするステップと、
    を備えた方法。
  6. 前記ハロゲン含有ガスは、HClを含む、請求項に記載の方法。
  7. 前記塩素化炭化水素は、塩化メチル、ジクロロメタン、クロロホルム、四塩化炭素、塩化エチル、クロロアルケン、又はその組合せを含む、請求項に記載の方法。
  8. 前記塩素化炭化水素は、前記堆積ガスと同時に前記チャンバーへ流れ込む、請求項に記載の方法。
  9. 前記塩素化炭化水素は、前記堆積ガスと交互に前記チャンバーへ流れ込む、請求項に記載の方法。
  10. 上記エピタキシャル層は、シリコンゲルマニウム、シリコン炭素、シリコンゲルマニウム炭素、及びその組合せより成るグループから選択された材料を含む、請求項に記載の方法。
  11. 基板表面上にシリコン含有材料をエピタキシャル形成する方法において、
    シリコンソース及びHClを担体ガスとして含む堆積ガスに上記基板を露出するステップと、
    HClをエッチングガスとして使用してエッチングを行うステップと、
    を備えた方法。
  12. 上記堆積ガスは、更に、Cl、H、N、不活性ガス、又はその組合せを含む、請求項11に記載の方法。
  13. プロセスチャンバーに位置された基板上にシリコン含有材料をエピタキシャル形成する方法であって、上記基板は、単結晶表面と、アモルファス表面、多結晶表面及びその組合せより成るグループから選択された第2の表面とを含むものである方法において、
    上記プロセスチャンバーへのハロゲン含有ガスの流れを、上記単結晶表面にはエピタキシャル層を、上記第2の表面には多結晶層を堆積するための第1の流量に制御するステップと、
    上記プロセスチャンバーへのハロゲン含有ガスの流量を、上記多結晶層及びエピタキシャル層をエッチングするための第2の流量に制御するステップと、
    を備えた方法。
  14. 上記第1の流量におけるハロゲン含有ガスと、上記第2の流量におけるハロゲン含有ガスは、同じものである、請求項13に記載の方法。
  15. 前記ハロゲン含有ガスは、HClを含む、請求項14に記載の方法。
JP2009500603A 2006-03-17 2007-03-15 選択的堆積 Pending JP2009533546A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/378,101 US7560352B2 (en) 2004-12-01 2006-03-17 Selective deposition
PCT/US2007/064038 WO2007109491A2 (en) 2006-03-17 2007-03-15 Selective deposition

Publications (2)

Publication Number Publication Date
JP2009533546A JP2009533546A (ja) 2009-09-17
JP2009533546A5 true JP2009533546A5 (ja) 2010-04-30

Family

ID=38523167

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009500603A Pending JP2009533546A (ja) 2006-03-17 2007-03-15 選択的堆積

Country Status (6)

Country Link
US (1) US7560352B2 (ja)
JP (1) JP2009533546A (ja)
KR (1) KR101037524B1 (ja)
CN (1) CN101401202B (ja)
TW (1) TWI419204B (ja)
WO (1) WO2007109491A2 (ja)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
JP2007281038A (ja) * 2006-04-03 2007-10-25 Toshiba Corp 半導体装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7560350B2 (en) * 2006-04-17 2009-07-14 United Microelectronics Corp. Method for forming strained semiconductor device and method for forming source/drain region
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
KR100764058B1 (ko) * 2006-09-20 2007-10-09 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7557010B2 (en) * 2007-02-12 2009-07-07 Agere Systems Inc. Method to improve writer leakage in a SiGe bipolar device
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5018473B2 (ja) * 2007-12-28 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US20090269926A1 (en) * 2008-04-28 2009-10-29 International Business Machines Corporation Polygrain engineering by adding impurities in the gas phase during chemical vapor deposition of polysilicon
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN102117741B (zh) * 2010-01-06 2013-03-13 上海华虹Nec电子有限公司 改善锗硅或锗硅碳单晶与多晶交界面形貌的方法
JP5393895B2 (ja) 2010-09-01 2014-01-22 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
CN102569082B (zh) * 2010-12-24 2015-05-20 中芯国际集成电路制造(上海)有限公司 用于制作嵌入式锗硅应变pmos器件结构的方法
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
CN103832965B (zh) * 2012-11-23 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
JP6068661B2 (ja) * 2013-09-30 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
CN103556219B (zh) * 2013-10-31 2016-04-20 国家电网公司 一种碳化硅外延生长装置
WO2015099761A1 (en) * 2013-12-27 2015-07-02 Intel Corporation Selective etching for gate all around architectures
US9202812B2 (en) * 2014-03-21 2015-12-01 International Business Machines Corporation Abrupt source/drain junction formation using a diffusion facilitation layer
JP6271356B2 (ja) * 2014-07-07 2018-01-31 株式会社東芝 半導体装置の製造方法
WO2016067918A1 (ja) * 2014-10-31 2016-05-06 富士電機株式会社 炭化珪素エピタキシャル膜の成長方法
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
TWI812984B (zh) 2016-12-12 2023-08-21 美商應用材料股份有限公司 形成應變通道層的方法
US10256322B2 (en) 2017-04-04 2019-04-09 Applied Materials, Inc. Co-doping process for n-MOS source drain application
US9923081B1 (en) 2017-04-04 2018-03-20 Applied Materials, Inc. Selective process for source and drain formation
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
US10689405B2 (en) * 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US590680A (en) * 1897-09-28 gillespie
US3675619A (en) * 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) * 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0639357B2 (ja) * 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5037775A (en) * 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) * 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JP2880322B2 (ja) * 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) * 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
JPH0992621A (ja) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd 半導体薄膜の選択成長方法
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) * 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
JP2002505532A (ja) * 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
JP2000007337A (ja) 1998-06-12 2000-01-11 Gerest Inc タンタル薄膜およびタンタルを主体とした薄膜並びにそれらの作製方法
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) * 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) * 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) * 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
KR100393208B1 (ko) * 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3730962B2 (ja) * 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR20040008193A (ko) * 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100430404B1 (ko) * 2001-06-02 2004-05-04 삼성전자주식회사 구조 선택적 에피택시얼 성장 기술 및 선택적 실리콘 식각기술을 사용한 단결정 실리콘 패턴 형성 방법
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) * 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
WO2004081986A2 (en) * 2003-03-12 2004-09-23 Asm America Inc. Method to planarize and reduce defect density of silicon germanium
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
EP1678795A1 (en) * 2003-10-31 2006-07-12 Bookham Technology PLC Method for manufacturing gratings in semiconductor materials that readily oxidise
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7144779B2 (en) * 2004-09-01 2006-12-05 Micron Technology, Inc. Method of forming epitaxial silicon-comprising material

Similar Documents

Publication Publication Date Title
JP2009533546A5 (ja)
TWI751115B (zh) 形成矽鍺錫膜的方法
TWI692545B (zh) 形成高p型摻雜鍺錫膜的方法以及包含該等膜的結構和裝置
CN105742157B (zh) 氧化锗预清洁模块和方法
TWI647744B (zh) 在低溫下生長薄磊晶膜的方法
JP2009545884A5 (ja)
TWI534863B (zh) 選擇性沉積磊晶鍺合金應力源的方法與設備
JP2007537601A5 (ja)
TWI379347B (en) Methods of forming carbon-containing silicon epitaxial layers
CN101069264B (zh) 具有选择性气体供应的选择性外延工艺
JP5317956B2 (ja) エピタキシャル膜を形成する方法、及び、エピタキシャル膜の形成に使用するためのクラスターツール
TWI390606B (zh) 控制磊晶層成長期間形態之方法
JP2007535147A5 (ja)
JP2006182781A5 (ja)
JP2014515877A5 (ja)
JP2013546169A5 (ja)
JP2008538161A5 (ja)
JP2009531872A5 (ja)
JP2009521801A5 (ja)
JP2009509338A5 (ja)
JP2010523458A5 (ja)
JP2014112681A5 (ja)
KR100434698B1 (ko) 반도체소자의 선택적 에피성장법
JP2006261672A5 (ja)
US9287116B2 (en) Method of forming multilayer graphene structure