CN101404250B - 硅碳外延层的选择性形成 - Google Patents

硅碳外延层的选择性形成 Download PDF

Info

Publication number
CN101404250B
CN101404250B CN200810211475XA CN200810211475A CN101404250B CN 101404250 B CN101404250 B CN 101404250B CN 200810211475X A CN200810211475X A CN 200810211475XA CN 200810211475 A CN200810211475 A CN 200810211475A CN 101404250 B CN101404250 B CN 101404250B
Authority
CN
China
Prior art keywords
source
silicon
substrate
carbon
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200810211475XA
Other languages
English (en)
Other versions
CN101404250A (zh
Inventor
叶祉渊
乔普拉·索拉布
林启华
金以宽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101404250A publication Critical patent/CN101404250A/zh
Application granted granted Critical
Publication of CN101404250B publication Critical patent/CN101404250B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本发明公开了用于形成含有n掺杂硅的外延层的方法。特定的实施例涉及在半导体器件、例如金属氧化物场效应晶体管(MOSFET)器件中外延层的形成和处理。在特定的实施例中,n掺杂外延层的形成包括在第一温度和压力下在处理室中暴露衬底于包含硅源、碳源和n掺杂剂源的沉积气体中,然后在比沉积期间的温度和压力都高的第二温度和压力下暴露所述衬底于蚀刻剂中。

Description

硅碳外延层的选择性形成
技术领域
本发明的各个实施例一般涉及用于含硅和碳的外延层的选择性形成的方法和装置。特定的一些实施例涉及用于在半导体器件、例如金属氧化物半导体场效应晶体管(MOSFET)器件中n掺杂外延层的选择性形成的方法和装置。
背景技术
流过MOS晶体管的沟道的电流大小与该沟道中的载流子的迁移率成正比,高迁移率MOS晶体管的使用能使更多的电流流动,因此具有更快的电路性能。通过在沟道中产生机械应力能够增加MOS晶体管的沟道中的载流子的迁移率。处于压缩应变下的沟道,例如,生长在硅上的硅锗沟道层,具有显著增加的空穴迁移率以提供pMOS晶体管。处于拉伸应变下的沟道,例如,生长在松弛硅锗上的薄硅沟道层,获得显著增加的电子迁移率以提供nMOS晶体管。
通过形成一个或多个碳掺杂的硅外延层,也能够提供处于拉伸应变下的nMOS晶体管沟道,该nMOS晶体管沟道可以与pMOS晶体管中的压缩应变的SiGe沟道互补。因而,碳掺杂的硅和硅锗外延层能够分别沉积在nMOS和pMOS的源极/漏极上。源极区域和漏极区域能够是或者平坦的或者通过选择性Si干蚀刻而凹进。当被适当制造时,覆盖有碳掺杂的硅外延层的nMOS源极和漏极在沟道中施加拉伸应力并增加nMOS驱动电流。
为了利用碳掺杂的硅外延层来获得在具有凹进源极/漏极的nMOS晶体管的沟道中增加的电子迁移率,期望通过选择性沉积或者通过沉积后处理在源极/漏极上选择性形成碳掺杂的硅外延层。另外,期望碳掺杂的硅外延层包含替代C原子以在沟道中产生拉伸应变。利用碳掺杂的硅源极和漏极中的增大的替代碳含量能够获得更大的沟道拉伸应变。
一般地,低于100nm的CMOS(互补金属氧化物半导体)器件要求结深度小于30nm。选择性外延沉积经常被用于将含硅材料(例如,Si,SiGe和Si:C)的外延层(“epilayers”)形成为结。选择性外延沉积允许在电介质区域上没有外延生长的情况下,在硅槽(silicon moat)上生长外延层。在半导体器件内,诸如升高的源极/漏极、源极/漏极延伸、接触插塞(contact plug)或者双极器件的底层沉积,可以使用选择性外延。
典型选择性外延工艺包含沉积反应和蚀刻反应。在沉积工艺期间,外延层形成在单晶表面上,而多晶材料层和/或非晶材料层沉积在诸如存在的多晶层和/或非晶层的至少第二层上。沉积和蚀刻反应以关于外延层和关于多晶层的相对不同的反应速率同时发生。但是,一般以比外延层更快的速率蚀刻所沉积的多晶/非晶层。因此,通过改变蚀刻气体的浓度,净选择工艺导致外延材料的沉积、多晶材料的有限沉积或者不沉积。例如,选择性外延工艺可以导致在单晶硅表面上含硅材料的外延层的形成,而在间隔片(spacer)上不留下任何沉积。
在升高的源极/漏极和源极/漏极延伸特征图案的形成期间,例如,在含硅MOSFET(金属氧化物半导体场效应晶体管)的形成期间,含硅材料的选择性外延沉积已经成为一种有用的技术。通过蚀刻硅表面以形成凹进的源极/漏极特征图案,然后用诸如硅锗(SiGe)材料的选择性生长的外延层填充所蚀刻的表面,来生产源极/漏极延伸特征图案。选择性外延允许采用原位掺杂来使几乎全部掺杂剂激活,从而省去了后退火工艺。因此,通过硅蚀刻和选择性外延能够精确地限定结深。另一方面,超浅源极/漏极结不可避免地导致串联电阻增加。此外,硅化物形成期间的结消耗甚至增加更多的串联电阻。为了补偿结消耗,在所述结上外延并选择性生长升高的源极/漏极。典型地,升高的源极/漏极是未掺杂硅。
然而,目前的选择性外延工艺具有一些缺点。为保持当前外延工艺期间的选择性,在整个沉积工艺中必须控制并调节前驱物的化学浓度,以及反应温度。如果硅前驱物供给不足,则蚀刻反应会占优,并且整个工艺减慢。另外,会发生对衬底特征图案的有害过蚀刻。如果蚀刻剂前驱物供给不足,则沉积反应会占优,降低跨过衬底表面形成单晶和多晶材料的选择性。另外,目前的选择性外延工艺通常要求高反应温度,诸如约800℃、1,000℃或更高的温度。由于热预算考虑和对于衬底表面可能的不受控制的氮化反应,在制造工艺期间不期望这样的高温。此外,在较高工艺温度下通过典型选择性Si:C外延工艺注入的大部分碳原子将占据Si晶格的非替代(即,空隙)位置。通过降低生长温度,能够获得替代碳浓度的较高百分率(例如,在550℃的生长温度下接近100%),然而对于器件应用来说,不期望在这样较低温度下缓慢的生长速率,并且这种选择性处理在较低的温度下可能是不可行的。
对于具有不同掺杂剂和掺杂浓度的外延来说,硅碳外延的生产条件可以不相同。关心沉积期间高浓度掺杂剂(例如,大于1020原子/cm3)向Si:C外延中的注入,因为在沉积期间高浓度掺杂剂的注入减少了利用诸如离子掺杂的后续操作步骤来增加掺杂剂浓度的需要。考虑到外延生产工艺期间大量的变量,这些变量包括但不限于温度、载气类型、沉积气体类型、蚀刻气体类型、蚀刻气体、沉积气体和载气每一个的流率和处理室压力,因此对具有特定掺杂剂和掺杂剂浓度的具体外延的特定变量的选择和最优化是不可预见的。因而,高浓度掺杂剂向Si:C外延中的注入可能需要改变大量变量以获得高质量外延。这将期望提供用于形成重n掺杂的Si:C外延的工艺。这些方法对于晶体管器件的生产将是有用的。
发明内容
本发明的一实施例涉及形成和处理含硅外延层的方法。本发明的其他实施例涉及制造包括含有硅和碳的外延层的晶体管器件的生产方法。
根据本发明的一实施例,一种用于在衬底表面上外延形成碳硅膜的方法,包括在处理室中放置包括单晶表面和第二表面的衬底;在低于约600℃的温度和沉积压力下,暴露衬底于包含硅源、碳源和n型掺杂剂的沉积气体中;以及在超过约600℃的温度和至少约是暴露于沉积气体期间的压力的10倍的压力下,暴露衬底于包含氯化氢的蚀刻气体中,该方法导致在单晶表面上重掺杂n型外延的选择性沉积。
在一实施例中,掺杂剂包括磷源,例如,磷化氢。在其他实施例中,掺杂剂包括砷源,例如砷化氢。掺杂剂可以包括砷化氢和磷化氢的组合。在一实施例中,蚀刻气体只包含氯化氢。可以从氯化氢源气体将氯化氢输送给处理室。可选地,可以通过在处理室中混合氢源气体和氯源气体而在处理室中形成氯化氢。
在一实施例中,掺杂剂浓度是至少约2×1020原子/cm3。根据一实施例,沉积期间的温度是在约575℃到低于约600℃的范围中。在一实施例中,蚀刻期间的温度是在高于约600℃到低于约650℃的范围中。
另一实施例包括在沉积期间流入二氯硅烷。在另一实施例中,在沉积期间流入氯化氢。在本发明的实施例中,外延膜在晶体管生产工艺的制造步骤期间形成,并且该方法还包括:在衬底上形成栅电介质;在栅电介质上形成栅极电极;以及在电极的相对侧的衬底上形成源极区域/漏极区域,并在源极区域/漏极区域之间限定沟道区域。
上述内容已经很广义地概括了本发明的某些特征和技术优点。本领域的技术人员应当理解所公开的特定实施例可以容易地被用作在不偏离本发明的范围的条件下修改或设计其他结构或工艺的基础。本领域的技术人员还应当认识到这种等同解释不偏离如所附权利要求书中描述的本发明的精神和范围。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施例对以上简要所述的本发明进行更具体描述。然而,应该注意,附图中只示出本发明典型的实施例,因此不能认为是对本发明范围的限定,本发明可以允许其他等同的有效实施例。
图1是根据本发明一实施例的场效应晶体管对的剖面图;以及
图2是图1所示具有形成在器件上的附加层的NMOS场效应晶体管的剖面图。
具体实施方式
本发明的各个实施例一般提供用于形成和处理含硅外延层的方法和装置。特定的一些实施例涉及在晶体管生产期间用于形成和处理外延层的方法和装置。
如在此所使用的,外延沉积指在衬底上单晶层的沉积,从而沉积层的晶体结构与衬底的晶体结构匹配。因此,外延层或膜是具有与衬底的晶体结构匹配的晶体结构的单晶层或膜。外延层与块衬底和多晶硅层不相同。
在本申请中,术语“含硅”材料、化合物、膜或层应当被解释为包含至少含有硅的成分,以及可以含有锗、碳、硼、砷、磷化镓和/或铝。诸如金属、卤素或氢的其他元素可以通常以份量每百万(ppm)浓度在含硅材料、化合物、膜或层中被注入。含硅材料的化合物或合金可以用缩写表示,诸如Si表示硅,SiGe表示硅锗,Si:C表示硅碳以及SiGeC用于表示硅锗碳。所述缩写既不表示具有化学计量关系的化学方程式,也不表示含硅材料的任何具体的还原/氧化态。
本发明的一或多个实施例一般提供在电子器件制造期间在衬底的单晶表面上选择性并外延沉积含硅材料的工艺。包含单晶表面(例如硅或硅锗)和诸如非晶表面和/或多晶表面(例如,氧化物或氮化物)的至少第二表面的衬底被施加外延工艺以在单晶表面上形成外延层,同时在第二表面上形成有限的多晶层或不形成多晶层。外延工艺典型地包括重复沉积工艺和蚀刻工艺的循环,直到生长了所需厚度的外延层为止。在共同转让并共同待审的美国专利申请序列号No.11/001,774中公开了示例性交替沉积和蚀刻工艺,该美国专利申请被公布为美国专利申请公开号No.2006/0115934,发明名称为“Selective EpitaxyProcess With Alternating Gas Supply”,在此通过引用其全部内容作为参考。
在一或多个实施例中,沉积工艺包括暴露衬底表面于至少含有硅源和载气的沉积气体中。沉积气体还可以包含锗源和/或碳源,以及掺杂剂源。在具体的实施例中,沉积气体包含足量的n型掺杂剂前驱物,这导致在外延膜中含有至少约1×1020原子/cm3的n型掺杂剂。在特定的实施例中,最终的外延膜包含至少约2×1020原子/cm3的n型掺杂剂,更具体地说,至少约5×1020原子/cm3的n型掺杂剂。如在此使用的,掺杂剂浓度的这些级别将被称为使用n型掺杂剂的重掺杂。合适的n型掺杂剂的实例包括P、As和Sb。在沉积工艺期间,外延层形成在衬底的单晶表面上,而多晶/非晶层形成在诸如电介质、非晶和/或多晶表面的第二表面上,电介质、非晶和/或多晶表面被统称为“第二表面”。然后,衬底暴露于蚀刻气体中。典型地,蚀刻气体包含载气和诸如氯气或氯化氢的蚀刻剂。然而,根据一个或多个实施例,申请人确认了对重掺杂的n型膜的有效蚀刻可以仅使用氯化氢进行蚀刻,而不使用氯气。蚀刻气体除去在沉积工艺期间所沉积的含硅材料。在蚀刻工艺期间,多晶/非晶层以比外延层更快的速率被除去。因此,沉积和蚀刻工艺的净结果是在单晶表面上形成外延生长的含硅材料,同时将在第二表面上多晶/非晶含硅材料的生长减至最低程度,如果这种生长有的话。视需要可以重复沉积和蚀刻工艺的循环以获得含硅材料的所需厚度。能够被本发明的实施例沉积的含硅材料包括含有掺杂剂的硅、硅锗、硅碳、硅锗碳和其变体。
在工艺的一个实例中,对于重n掺杂外延,HCl气体用作蚀刻剂充分地除去在第二表面上的多晶/非晶含硅材料。一般地,由于蚀刻剂通常需要高温来激活,因此沉积工艺可以在比蚀刻反应低的温度下执行。根据一或多个实施例,已确认对于重掺杂n型外延,通过在沉积之后提高压力为沉积压力的至少约10倍,在特定的实施例中,为大于沉积压力的约20倍,在更特定的实施例中,为大于沉积压力的30倍,则有效蚀刻能够在高于约600℃且低于约650℃的温度下发生。
由于与利用氩和氦作为载气相关的成本考虑,因此氮通常是优选载气。尽管氮一般比氩廉价得多,但根据本发明的一或多个实施例,尤其在甲基硅烷是硅源气体的实施例中,氩是优选载气。使用氮气作为载气可能产生的一个缺点是衬底上的材料在沉积期间氮化。然而,需要以诸如高于800℃的高温的这种方式来激活氮气。因此,根据一或多个实施例,在低于氮气激活阈值的温度下执行的工艺中,氮气能够被用作惰性载气。在沉积工艺期间使用惰性载气具有几个作用。其中一个是,惰性载气可以提高含硅材料的沉积速率。虽然氢气可以用作载气,但在沉积期间,氢气具有吸附到衬底或与之反应以形成氢终止(hydrogen-terminated)表面的倾向。氢终止表面比裸硅表面对外延生长的反应更慢。因此,通过不妨碍实施沉积反应使用惰性载气提高了沉积速率。
根据本发明的第一实施例,与连续式沉积相比,交替沉积和净化步骤的全外延(blanket epitaxy)即非选择性外延导致使用较高次硅烷生长的外延膜的结晶度改善。如在此使用的,“较高次硅烷”指乙硅烷或更高次硅烷前驱物。在某些特定的实施例中,“较高次硅烷”指乙硅烷、新戊硅烷(NPS)或这些物质的混合物。示例性工艺包括在处理室中装载衬底,并调节处理室内的条件到所需温度和压力。然后,开始沉积工艺以在衬底的单晶表面上形成外延层。然后结束沉积工艺。然后,确定外延层的厚度。如果获得了外延层的预定厚度,则结束外延工艺。然而,如果没有获得预定厚度,则重复沉积和净化步骤的循环直到获得预定厚度。该示例性工艺的另外具体内容将在下面进行描述。
衬底可被无图案化或者图案化。图案化衬底是包含形成在衬底表面中或衬底表面上的电子特征图案的衬底。图案化衬底通常包含单晶表面和至少一个非单晶的第二表面,诸如电介质、多晶或非晶表面。单晶表面包含裸晶体衬底或沉积的单晶层,该单晶层通常由诸如硅、硅锗或硅碳的材料制成。多晶或非晶表面可以包含电介质材料和非晶硅表面,所述电介质材料是诸如氧化物或氮化物,具体地说是氧化硅或氮化硅。
在处理室中装载衬底之后,将处理室中的条件调节到预定温度和压力。使温度满足具体执行的工艺的要求。一般地,处理室在沉积期间维持在低于约600℃的温度,而在蚀刻期间维持在高于约600℃的温度。执行外延工艺的适当温度可以取决于被用来沉积含硅材料的具体前驱物。在一个实例中,已经发现尤其是当压力已经增加到在沉积期间使用的压力的至少10倍时,氯化氢(HCl)气体很好地起重n掺杂的含硅材料的蚀刻剂的作用。
处理室在沉积期间通常维持在从约0.1Torr(托)到50Torr的压力。在一个实施例中,沉积压力维持在约10Torr。压力在各工艺步骤期间和之间可以波动,但一般维持不变。在蚀刻期间,处理室中的压力上升至沉积期间使用的压力的至少约10倍。
在沉积工艺期间,衬底暴露于沉积气体中以形成外延层。衬底暴露于沉积气体中一段约0.5秒到约30秒的时间,例如,从约1秒到约20秒,更具体地,从约5秒到约10秒。在特定的实施例中,沉积步骤持续约10到11秒。沉积工艺的特定暴露时间视后续蚀刻工艺期间的暴露时间以及在工艺中使用的具体前驱物和温度而定。一般地,衬底暴露于沉积气体中足够长以形成最大厚度的外延层。
在一或多个实施例中,沉积气体至少包含硅源和载气,并可以包含至少一个第二元素源,诸如碳源和/或锗源。另外,沉积气体还可以包含掺杂剂化合物以提供诸如硼、砷、磷、镓和/或铝的掺杂剂源。在另一实施例中,沉积气体可以包含至少一种蚀刻剂,诸如氯化氢。氯化氢可以作为氯化氢气体输送或者作为单独的氢气和氯气输送,所述氢气和氯气在处理室中反应来形成HCl。
硅源通常以从约5sccm到约500sccm的范围的流率提供到处理室中,优选从约10sccm到约300sccm,更优选从约50sccm到约200scmm,例如,约100sccm。在特定的实施例中,硅烷以约60sccm流入。沉积气体中沉积含硅化合物有用的硅源包括硅烷、卤化硅烷和有机硅烷。硅烷包括硅烷(SiH4)和具有实验式SixH(2x+2)的更高次硅烷,诸如乙硅烷(Si2H4)、丙硅烷(Si3H8)、丁硅烷(Si4H10)和新戊硅烷,和其他。卤化硅烷包括具有实验式X’ySixH(2x+2-y)的化合物,其中X’=F、Cl、Br或I,诸如六氯乙硅烷(Si2Cl6)、四氯硅烷(SiCl4)、二氯硅烷(Cl2SiH2)和三氯硅烷(Cl3SiH)。有机硅烷包括具有实验式RySixH-(2x+2-y)的化合物,其中R=甲基、乙基、丙基或丁基,诸如甲基硅烷((CH3)SiH3)、二甲基硅烷((CH3)2SiH2)、乙基硅烷((CH3CH2)SiH3)、甲基乙硅烷((CH3)Si2H5)、二甲基乙硅烷((CH3)2Si2H4)和六甲基乙硅烷((CH3)6Si2)。已经发现有机硅烷化合物在将碳注入沉积的含硅化合物中的实施例中是有利的硅源和碳源。根据一或多个实施例,含氩载气中的甲基硅烷是优选的含硅源和载气组合。
硅源通常与载气一起提供到处理室内。载气具有从约1slm(标准升每分钟)到约100slm的流率,例如,从约5slm到约75slm,更具体地,从约10slm到约50slm,例如,约10slm。载气可以包含氮气(N2)、氢气(H2)、氩气、氦气和其组合。惰性载气是优选,其包含氮气、氩气、氦气和其组合。载气可以根据外延工艺期间所使用的前驱物和/或工艺温度来选择。通常在沉积和蚀刻步骤中的每一个的整个步骤期间载气是相同的。然而,一些实施例可以在具体步骤中使用不同载气。
典型地,在以低温(例如,<800℃)工艺为特征的实施例中,氮气被用作载气。部分由于在蚀刻工艺中使用氯气的缘故,因此可以采用低温工艺。氮气在低温沉积工艺期间保持惰性。因此,在低温工艺期间氮不会被注入到所沉积的含硅材料中。另外,氮载气不会如同氢载气那样形成氢终止表面。因氢载气在衬底表面上的吸附而形成的氢终止表面抑制含硅层的生长速度。最后,由于氮气比氢气、氩气和氦气廉价得多,因此低温工艺可以具有利用氮气作为载气的经济上的优势。尽管有经济上的优势,但根据某些实施例,氩气是优选载气。
所使用的沉积气体还包含至少一个第二元素源,诸如碳源和/或锗源。在沉积期间碳源可以添加到处理室中,与硅源和载气形成诸如硅碳材料的含硅化合物。碳源通常以从约0.1sccm到约40sccm范围的流率提供到处理室中,例如,从约3sccm到约25sccm,更具体地,从约5sccm到约25sccm,例如,约10sccm。碳源可以在氩或氮气中稀释为5%并以750sccm的流率流入。对沉积含硅化合物有用的碳源包括有机硅烷、烷基、烯烃和乙基、丙基和丁基的炔烃。这样的碳源包括甲基硅烷(CH3SiH3)、二甲基硅烷((CH3)2SiH2)、乙基硅烷(CH3CH2SiH3)、甲烷(CH4)和乙烯(C2H4)、乙炔(C2H2)、丙烷(C3H8)、丙烯(C3H6)、丁炔(C4H6),和其他。外延层的碳浓度是从约200ppm到约5原子%的范围,优选从约1原子%到约3原子%,例如1.5原子%。在一实施例中,碳浓度可以在外延层内梯度化,优选具有在外延层的开始部分的浓度低于在外延层的最后部分的浓度的梯度。可选地,锗源和碳源都可以在沉积期间添入到处理室内,与硅源和载气形成诸如硅碳或硅锗碳材料的含硅化合物。
可选地,锗源可以添加到处理室内,与硅源和载气形成诸如硅锗材料的含硅化合物。锗源通常以从约0.1sccm到约20sccm的范围的流率提供到处理室内,优选从约0.5sccm到约约10sccm,更优选从约1sccm到约5sccm,例如,约2sccm。对沉积含硅化合物有用的锗源包括锗烷(GeH4)、更高次锗烷和有机锗烷。更高次锗烷包括具有实验式GexH(2x+2)的化合物,诸如乙锗烷(Ge2H6)、丙锗烷(Ge3H8)和丁锗烷(Ge4H10)和其他。有机锗烷包括诸如甲基锗烷((CH3)GeH3)、二甲基锗烷((CH3)2GeH2)、乙基锗烷((CH3CH2)GeH3),甲基乙锗烷((CH3)Ge2H5),二甲基乙锗烷((CH3)2Ge2H4)和六甲基乙锗烷((CH3)6Ge2)的化合物。已经发现锗烷和有机锗烷化合物在向所沉积的含硅化合物、即SiGe和SiGeC化合物中注入锗和碳的实施例中是有利的锗源和碳源。外延层中的锗浓度是从约1原子%到约30原子%范围,例如约20原子%。锗浓度可以在外延层内梯度化,优选具有在外延层的下部的浓度高于外延层的上部的浓度的梯度。
沉积期间使用的沉积气体还可以包含至少一种掺杂剂化合物以提供诸如硼、砷、磷、镓或铝的元素掺杂剂源。掺杂剂给所沉积的含硅化合物提供各种导电特性,例如在电子器件所需的受控及预期路径中的定向电子流。含硅化合物的膜被掺杂特定的掺杂剂以获得所需的导电特性。在一个实例中,含硅化合物是掺杂n型,例如具有从约1020原子/cm3到约1021原子/cm3范围的浓度的磷、锑和/或砷。在特定实施例中,掺杂剂浓度超过约2×1020原子/cm3
掺杂剂源通常在沉积期间以从约0.1scmm到20sccm范围的流率提供到处理室中,例如,从约0.5sccm到约10sccm,更具体地说从约1sccm到约5sccm,例如约3sccm。掺杂剂还可以包含砷化氢(AsH3)、磷化氢(PH3)和烷基磷化氢,诸如具有实验式RxPH(3-x),其中R=甲基、乙基、丙基或丁基并且x=1,2或3。烷基磷化氢包括三甲基磷化氢((CH3).sub.3P)、二甲基磷化氢((CH3)2PH)、三乙基磷化氢((CH3CH2).sub.3P)和二乙基磷化氢((CH3CH2)2PH)。铝和镓掺杂剂源可以包括烷化和/或卤化衍生物,诸如用实验式RxMX(3-x)描述的,其中M=Al或Ga,R=甲基、乙基、丙基或丁基,X=Cl或F,并且x=0,1,2或3。铝和镓掺杂剂源的实例包括三甲基铝(Me3Al)、三乙基铝(Et3Al)、二甲基氯化铝(Me2AlCl)、氯化铝(AlCl3)、三甲基镓(Me3Ga)、三乙基镓(Et3Ga)、二甲基氯化镓(Me2GaCl)和氯化镓(GaCl3)。
根据一或多个实施例,在沉积工艺结束之后,处理室可以使用净化气体或载气吹扫,以及/或者利用真空泵对处理室排气。净化和/或排气工艺除去剩余沉积气体、反应副产物和其他污染物。在示例性实施例中,可以通过以约5slm流入载气约10秒来净化处理室。沉积和净化的循环可以重复多次。在一实施例中,沉积和净化循环重复约90次。
在本发明的另一个方面中,全沉积即非选择性沉积利用较高次硅烷(例如,乙硅烷和更高次硅烷)源在低温例如低于约600℃或更低的温度下执行。这有助于在沉积步骤(非选择性沉积)期间在诸如氧化物和氮化物的电介质表面上的非晶(而不是多晶)生长,这样就有利于利用后续蚀刻步骤去除电介质表面上的层,并将对生长在晶体衬底上的单晶层的损坏减小至最小程度。
在共同转让的美国专利申请序列号No.10/688,797、公布为美国专利申请公开号No.2004/0224089中描述了在外延膜的形成中使用新戊硅烷,该美国专利申请的发明名称为“Silicon-Containing Layer Deposition with SiliconCompounds”,在此引用其全部内容作为参考。新戊硅烷((SiH3)4Si)是含有与硅原子键合的四甲硅烷基(-SiH3)基团的叔硅烷。与使用单硅烷作为硅源气体相比,使用更高次硅烷能够在更低温度下获得更高的沉积速率,并且对于注入碳的含硅膜来说,能够实现更多的替代碳原子注入。在执行的全沉积实验中,比较在600℃的工艺温度下使用硅烷作为硅源气体,并使用氮气作为载气以及甲基硅烷(在氢气中稀释为1%)作为硅碳源,在沉积的膜中50%的碳是替代碳。然而,使用更高次的硅烷、乙硅烷形成的膜具有大于约90%的替代碳,以及新戊硅烷生成的膜具有接近100%的替代碳。
在一或多个实施例中,包括紧邻处理室、例如在离处理室小于约5英尺的范围内、更具体地在离处理室小于约2或3英尺的范围内安装的新戊硅烷安瓿的液体源柜能够获得硅源更高的输送速率,因此能够获得更高的沉积速率。
因此,本发明的实施例提供用于具有高替代碳浓度(>1%)的含硅膜、例如Si:C膜的选择性外延工艺,当外延膜生长在晶体管的凹进源极/漏极时,该选择性外延工艺能够用于形成N型MOSFET结构的拉伸应变沟道。一般地,由于高替代碳含量需要低温工艺,因此难以既获得在Si:C外延中的高替代碳浓度(>1%),又获得具有光滑形态的选择性生长。根据本发明的一或多个实施例,这两者都得以实现。
本发明的另一方面关于用于原位磷掺杂和Si:C膜的选择性外延沉积的方法:一般地,在硅沉积期间原位磷掺杂降低生长速率并且增加晶体膜的蚀刻速率,因此,这使得难以获得选择性。换句话说,难以获得在衬底的晶体表面上的晶体生长,而在电介质表面上没有任何生长。另外,原位磷掺杂会使外延膜的结晶度变差。
根据一或多个实施例,所述方法遵循相继的次序,然而,工艺不限于在此描述的严格步骤。只要保持工艺步骤的次序,可在各步骤之间插入例如其他工艺步骤。现在将根据一或多个实施例来描述外延沉积的各个步骤。
在实验中,发现在约600℃的温度下,Cl2蚀刻气体对n型掺杂硅具有很强侵蚀性,这使其在高n型掺杂衬底以及高n型掺杂硅碳膜上的使用受到限制。根据本发明的实施例,重P掺杂硅碳在小于约600℃的低温下沉积。在蚀刻期间,提高温度和压力并使用大于1slm的HCl气流以获得适宜的蚀刻速率和选择性。获得大于5×1020原子/cm3P的极高掺杂浓度,以及超过约1.5%的替代碳浓度。通常,这种高浓度掺杂需要离子注入。
在一个实例中,新戊硅烷、硅烷、甲基硅烷和PH3被混合并输送到处理室中,并且在575℃和10torr下非选择性沉积在衬底上。在蚀刻期间,温度上升到625℃并且压力升高到约为沉积压力30倍的300torr。在蚀刻期间HCl以18slm流入以蚀刻沉积在电介质表面上的非晶膜。在非晶膜到外延膜之间获得至少3:1蚀刻速率选择性。重复该过程直到在开口区域上获得具有约500—900埃的所需厚度的选择性高P掺杂硅碳。获得具有大于1.3%碳、95%替代率和超过3×1020原子/cm3的磷掺杂浓度的无缺陷硅碳外延。
在另一实例中,在非选择性沉积期间,将50sccm的乙硅烷、150sccm的硅烷和200sccm的氩气中5%的甲基硅烷、60sccm的二氯硅烷(DCS)和225sccm的H2中1%的PH3与5slmN2载气一起混合并分配到处理室。在10torr的压力下在衬底上执行非选择性沉积持续11秒。在蚀刻期间,温度上升到625℃并且压力上升到300托。在蚀刻期间,18slm HCI用于蚀刻沉积在电介质表面上的非晶膜。在约30秒的蚀刻后获得无缺陷硅碳外延。利用20个循环,在无图案衬底上获得550埃厚度的含有约1.4%碳的选择性硅碳,具有大于约90%替代率和大于约5.2×1020原子/cm3的P掺杂剂。在图案化的衬底上重复相同配方获得具有相似特征的850埃厚的无缺陷膜。
附加的实验表明在蚀刻期间,在超过600℃的温度和超过沉积压力约10倍或者100托的压力下,利用HCl足以有效蚀刻非晶材料。在现有技术中,由于乙硅烷需要低工艺温度,因此其被认为不适合P掺杂的硅碳应用。在这种低温下,一般认为乙硅烷不能够提供足够的沉积速率。然而,在我们的实验中,获得超过约600埃/分钟的沉积速率。在沉积期间二氯硅烷或HCl的添加被观察到有助于选择性,如在美国专利申请序列号No.11/227,874、被公布为美国专利申请公开号No.US2006/0115933中所描述的。另外,观察到乙硅烷的高流量和二氯硅烷/HCl的添加剂可以有助于在图案化衬底上的积极加载(positive loading),导致在衬底的晶体部分上形成较厚的外延层。
本发明的一或多个实施例提供在形成金属氧化物半导体(CMOS)集成电路器件中特别有用的方法,并将在下文中对其描述。其他器件和应用也在本发明的范围内。图1示出在典型CMOS器件中FET对的剖面图的一部分。器件100包括在形成阱后以提供NMOS器件和PMOS器件的源极/漏极区域、栅极电介质和栅极电极的半导体衬底。器件100可利用传统半导体工艺来形成,例如生长单晶硅,以及通过沟槽蚀刻并在沟槽开口中生长或沉积电介质来形成浅沟槽隔离结构。关于形成这些各种结构的详细过程在现有技术中公知,在此不再对其描述。
器件100包含例如掺杂有p型材料的硅衬底的半导体衬底155,在衬底155上的p型外延硅层165,限定在外延层165中的p型阱区域120和n型阱区域150,限定在p阱120中的n型晶体管(NMOS FET)110以及限定在n阱150中的p型晶体管(PMOS FET)140。第一隔离区域158使NMOS110和PMOS140晶体管电隔离,第二隔离区域160使一对晶体管110和140与衬底155上的其他半导体器件电隔离。
根据本发明的一或多个实施例,NMOS晶体管110包括栅极电极122、第一源极区域114和漏极区域116。NMOS栅极电极122的厚度大小是可调整的,并可以根据与器件性能相关的考虑来进行调节。NMOS栅极电极122具有与N型器件的功函数对应的功函数。源极区域和漏极区域是在栅极电极122的相对两侧的n型区域。沟道区域118插入在源极区域114和漏极区域116之间。栅极电介质层112使沟道区域118和栅极电极122分离。用于形成NMOS栅极电极122和电介质层的工艺在现有技术中公知,在此不再对其讨论。
根据一或多个实施例,PMOS晶体管140包括栅极电极152、源极区域144和漏极区域146。PMOS栅极电极152的厚度大小是可以调整的,并可以根据与器件性能相关的考虑来进行调节。PMOS栅极电极152具有与P型器件的功函数对应的功函数。源极区域和漏极区域是在栅极电极152的相对两侧的p型区域。沟道区域148插入在源极区域144和漏极区域146之间。栅极电介质层142使沟道区域148和栅极电极152分离。电介质层142使栅极电极152和沟道区域148电绝缘。应当理解图2所示和刚刚如上描述的晶体管110和140的结构仅是示例性的,材料、层等的各种变体在本发明的范围内。
参照图2,其示出了图1的NMOS器件在源极/漏极区域上形成间隔片、例如硅化物层的层以及形成蚀刻终止191之后更详细内容的视图。如将在下面进一步描述的,应当理解图1中所示的PMOS器件可以包含相似间隔片和层,可以调整它们的尺寸和/或组份以影响在PMOS器件的沟道中产生的应力。然而,出于说明的目的,仅示出NMOS器件并对其进行详细描述。
图2示出可以由合适的电介质材料形成的间隔片175,该间隔片175结合在包含栅极电极121的栅极119的周围。还可以提供围绕各间隔片175的偏移间隔片177。用于形成间隔片175和177的形状、尺寸和厚度的工艺在现有技术中公知,在此不再对其描述。金属硅化物层179可以在在源极区域114和漏极区域116上形成。硅化物层179可以通过诸如溅射或物理气相沉积(PVD)等的任何合适工艺由诸如镍、钛或钴等合适的金属形成。硅化物层179可以扩散到下层表面的部分中。漏极区域116的升高由箭头181示出,该升高被表示为从衬底表面180到硅化物层179的顶部的距离。源极区域的小面(facet)表示为倾斜表面。如本领域的技术人员将理解的,如上所述的示例性器件可以改进为包含具有Si:C外延层的源极/漏极或者源极/漏极延伸,Si:C外延层可以根据在此描述的方法进行进一步的改进。
整个说明书中,参照“一实施例”、“某些实施例”、“一或多个实施例”或“实施例”意指与该实施例相关描述的特定的特征、结构、材料或性质被包含在本发明的至少一个实施例中。因此,在整个说明书中的各处位置的诸如“在一或多个实施例中”、“在某些实施例中”、“在一实施例中”或“在实施例中”的短语形式不需要参照本发明的同一实施例。另外,在一或多个实施例中,可以以任何合适的方式对特定的特征、结构、材料或性质组合。不应当认为上述方法的描述次序是限制性的,并且方法可以在省略或添加的情况下,采用不按次序的所述操作。
将理解上述描述意在是例证性的,而不是限制性的。对于本领域的技术人员来说,通过回顾上述描述许多其他实施例将显而易见。因此,本发明的范围,将参照所附权利要求书,以及所述权利要求书所指定的等同物的全部范围来确定。

Claims (19)

1.一种用于在衬底表面上外延形成硅碳膜的方法,包括:
将包括单晶表面和第二表面的衬底放入处理室;
在低于600℃的沉积温度和沉积压力下,暴露所述衬底于包含硅源、碳源和n型掺杂剂的沉积气体中;以及
在超过600℃并低于650℃的蚀刻温度和超过100托的蚀刻压力下,暴露所述衬底于包含氯化氢的蚀刻气体中,所述方法导致在所述单晶表面上重掺杂n型外延的选择性沉积。
2.根据权利要求1所述的方法,其特征在于,所述掺杂剂包含磷源和砷源中的一个或多个。
3.根据权利要求2所述的方法,其特征在于,所述磷源包含磷化氢以及所述砷源包含砷化氢。
4.根据权利要求2所述的方法,其特征在于,所述蚀刻气体仅包含氯化氢。
5.根据权利要求4所述的方法,其特征在于,所述氯化氢从氯化氢源气体被分配到所述处理室。
6.根据权利要求4所述的方法,其特征在于,通过在所述处理室中混合氢源气体和氯源气体而在所述处理室中形成所述氯化氢。
7.根据权利要求4所述的方法,其特征在于,所述硅源从单硅烷和更高次硅烷的混合物中选择。
8.根据权利要求7所述的方法,其特征在于,所述更高次硅烷从乙硅烷和新戊硅烷中选择。
9.根据权利要求2所述的方法,其特征在于,所述掺杂剂以至少2×1020原子/cm3的浓度存在于所述n型外延中。
10.根据权利要求9所述的方法,其特征在于,所述掺杂剂浓度是至少5×1020原子/cm3
11.根据权利要求8所述的方法,其特征在于,所述沉积温度是在高于575℃到低于600℃的范围。
12.根据权利要求1所述的方法,其特征在于,在沉积期间流入二氯硅烷。
13.根据权利要求1所述的方法,其特征在于,所述碳源包含甲基硅烷。
14.根据权利要求1所述的方法,其特征在于,在沉积期间另外又流入氯化氢。
15.根据权利要求1所述的方法,其特征在于,在晶体管生成工艺的制造步骤期间形成所述外延膜,并且所述方法还包括:
在所述衬底上形成栅极电介质;
在所述栅极电介质上形成栅极电极;以及
在所述栅极电极的相对侧的衬底上形成源极区域/漏极区域,并在所述源极区域/漏极区域之间限定沟道区域。
16.根据权利要求11所述的方法,其特征在于,在晶体管生成工艺的制造步骤期间形成所述外延膜,并且所述方法还包括:
在所述衬底上形成栅极电介质;
在所述栅极电介质上形成栅极电极;以及
在所述栅极电极的相对侧的衬底上形成源极区域/漏极区域,并在所述源极区域/漏极区域之间限定沟道区域。
17.根据权利要求1所述的方法,其特征在于,所述蚀刻压力是所述沉积压力的至少30倍。
18.根据权利要求1所述的方法,其特征在于,所沉积的n型外延具有高于1%的替代碳浓度。
19.根据权利要求18所述的方法,其特征在于,所沉积的n型外延具有高于1.5%的替代碳浓度。
CN200810211475XA 2007-10-05 2008-09-26 硅碳外延层的选择性形成 Active CN101404250B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/867,933 2007-10-05
US11/867,933 US7776698B2 (en) 2007-10-05 2007-10-05 Selective formation of silicon carbon epitaxial layer

Publications (2)

Publication Number Publication Date
CN101404250A CN101404250A (zh) 2009-04-08
CN101404250B true CN101404250B (zh) 2010-10-27

Family

ID=40459170

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810211475XA Active CN101404250B (zh) 2007-10-05 2008-09-26 硅碳外延层的选择性形成

Country Status (6)

Country Link
US (1) US7776698B2 (zh)
JP (1) JP5147629B2 (zh)
KR (1) KR101098102B1 (zh)
CN (1) CN101404250B (zh)
DE (1) DE102008050511B4 (zh)
TW (1) TWI467639B (zh)

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101660131B (zh) * 2009-09-28 2011-03-09 西安交通大学 一种磁控溅射制备氢化硅薄膜的方法
DE102009053806A1 (de) * 2009-11-18 2011-05-19 Evonik Degussa Gmbh Verfahren zur Herstellung von Siliciumschichten
JP5533428B2 (ja) * 2010-08-20 2014-06-25 信越半導体株式会社 シリコンエピタキシャルウエーハの製造方法
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
FR2973566A1 (fr) * 2011-04-01 2012-10-05 St Microelectronics Crolles 2 Procédé de formation d'une couche epitaxiee, en particulier sur des régions de source et de drain de transistor a appauvrissement total
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR101371435B1 (ko) 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
US8759916B2 (en) * 2012-01-27 2014-06-24 International Business Machines Corporation Field effect transistor and a method of forming the transistor
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130299895A1 (en) * 2012-05-09 2013-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Iii-v compound semiconductor device having dopant layer and method of making the same
JP2013258188A (ja) * 2012-06-11 2013-12-26 Hitachi Kokusai Electric Inc 基板処理方法と半導体装置の製造方法、および基板処理装置
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP2015005534A (ja) * 2013-06-18 2015-01-08 学校法人立命館 縦型発光ダイオードおよび結晶成長方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR101677560B1 (ko) 2014-03-18 2016-11-18 주식회사 유진테크 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9892924B2 (en) 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
KR102534730B1 (ko) 2015-04-10 2023-05-26 어플라이드 머티어리얼스, 인코포레이티드 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6778139B2 (ja) 2017-03-22 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
WO2021068125A1 (en) 2019-10-09 2021-04-15 Dic Corporation Plate-like alumina particle and method for manufacturing plate-like alumina particle
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117904719A (zh) * 2024-03-15 2024-04-19 浙江求是半导体设备有限公司 N型SiC外延片及其制备方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
JP4010724B2 (ja) * 1999-12-28 2007-11-21 株式会社東芝 半導体装置の製造方法
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
ATE335872T1 (de) * 2003-04-24 2006-09-15 Norstel Ab Vorrichtung und verfahren zur herstellung von einkristallen durch dampfphasenabscheidung
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
JP2006351744A (ja) * 2005-06-15 2006-12-28 Fuji Electric Holdings Co Ltd 炭化珪素半導体装置の製造方法
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control

Also Published As

Publication number Publication date
TW200943389A (en) 2009-10-16
CN101404250A (zh) 2009-04-08
TWI467639B (zh) 2015-01-01
US20090093094A1 (en) 2009-04-09
JP5147629B2 (ja) 2013-02-20
US7776698B2 (en) 2010-08-17
KR101098102B1 (ko) 2011-12-26
JP2009111368A (ja) 2009-05-21
KR20090035430A (ko) 2009-04-09
DE102008050511A1 (de) 2009-04-23
DE102008050511B4 (de) 2017-02-16

Similar Documents

Publication Publication Date Title
CN101404250B (zh) 硅碳外延层的选择性形成
KR100938312B1 (ko) 교번식 가스 공급을 통한 선택적 에피택셜 프로세스
KR101037524B1 (ko) 선택적 증착
KR101181040B1 (ko) 실리콘 에피택셜막을 형성하는 동안 Cl2 및/또는HCl의 사용
JP5808522B2 (ja) シリコンを含有するエピタキシャル層の形成
JP5115970B2 (ja) 選択エピタキシープロセス制御
JP4969244B2 (ja) 大量にドープされたエピタキシャルSiGeを選択的に堆積させる方法
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US20080138964A1 (en) Formation of Epitaxial Layer Containing Silicon and Carbon
JP2007537601A (ja) 選択的堆積プロセスを使用したmosfetデバイスの作製方法
JP2013531899A (ja) Si−含有材料および置換的にドーピングされた結晶性si−含有材料の選択エピタキシー
US8394196B2 (en) Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
KR20070022046A (ko) 선택적인 증착 프로세스들을 이용하여 mosfet 소자를제조하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.