TWI467639B - 選擇性形成碳矽磊晶層 - Google Patents

選擇性形成碳矽磊晶層 Download PDF

Info

Publication number
TWI467639B
TWI467639B TW97138221A TW97138221A TWI467639B TW I467639 B TWI467639 B TW I467639B TW 97138221 A TW97138221 A TW 97138221A TW 97138221 A TW97138221 A TW 97138221A TW I467639 B TWI467639 B TW I467639B
Authority
TW
Taiwan
Prior art keywords
deposition
source
substrate
gas
etching
Prior art date
Application number
TW97138221A
Other languages
English (en)
Other versions
TW200943389A (en
Inventor
Zhiyuan Ye
Saurabh Chopra
Andrew Lam
Yihwan Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200943389A publication Critical patent/TW200943389A/zh
Application granted granted Critical
Publication of TWI467639B publication Critical patent/TWI467639B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)

Description

選擇性形成碳矽磊晶層
本發明的實施例大致有關於選擇性形成含矽和碳之磊晶層的方法和設備。特定實施例有關於選擇性形成半導體元件(例如,金屬氧化物半導體場效應電晶體(MOSFET)元件)中之n摻雜磊晶層的方法和設備。
流過MOS電晶體之通道的電流量直接與該通道中的載子遷移率成正比,而應用高遷移率MOS電晶體能使更多電流流動,並因此具有更快的電路性能。藉由在通道中產生機械應力能夠增加MOS電晶體通道中之載子遷移率。處於壓縮應變下的通道(例如,生長在矽上的矽鍺通道層)具有顯著增加的電洞遷移率以提供pMOS電晶體。處於拉伸應變下的通道(例如,生長在鬆弛矽鍺上的薄矽通道層)獲得顯著增加的電子遷移率以提供nMOS電晶體。
藉由形成一或多個碳摻雜的矽磊晶層,也能夠提供處於拉伸應變下的nMOS電晶體通道,該nMOS電晶體通道可以與pMOS電晶體中壓縮應變之SiGe通道互補。因而,碳摻雜的矽和矽鍺磊晶層能夠分別沉積在nMOS和pMOS的源極/汲極上。源極區域和汲極區域能夠是平坦的或者藉由選擇性Si乾蝕刻而凹陷。當適當製造時,覆蓋有碳摻雜之矽磊晶層的nMOS源極和汲極在通道中施加拉伸應力並增加nMOS驅動電流。
爲了利用碳摻雜的矽磊晶層在具有凹陷源極/汲極之nMOS電晶體的通道中獲得增加的電子遷移率,期望藉由選擇性沉積或藉由沉積後處理任一者在源極/汲極上選擇性形成碳摻雜的矽磊晶層。另外,期望碳摻雜的矽磊晶層包含替代C原子以在通道中導致拉伸應變。利用碳摻雜的矽源極和汲極中增大的替代碳含量能夠獲得較高的通道拉伸應變。
一般而言,低於100nm的CMOS(互補金屬氧化物半導體)元件要求接面深度小於30nm。選擇性磊晶沉積經常用來將含矽材料(例如,Si,SiGe和Si:C)的磊晶層(「磊晶層(epilayer)」)形成爲接面。選擇性磊晶沉積允許在介電質區域上沒有磊晶生長的情況下,在矽槽(silicon moat)上生長磊晶層。在半導體元件內,諸如升高的源極/汲極、源極/汲極延伸、接觸插塞(contact plug)或者雙極元件的底層沉積,可以使用選擇性磊晶。
典型選擇性磊晶製程包含沉積反應和蝕刻反應。在沉積製程期間,磊晶層形成在單晶表面上,而多晶材料層與/或非晶材料層沉積在至少第二層(諸如,存在的多晶層與/或非晶層)上。沉積和蝕刻反應以對於磊晶層和多晶層相對不同的反應速率同時發生。但是,一般以比磊晶層更快的速率蝕刻所沉積的多晶/非晶層。因此,藉由改變蝕刻氣體的濃度,淨選擇製程導致磊晶材料的沉積、多晶材料的有限沉積或者不沉積。例如,選擇性磊晶製程可以導致在單晶矽表面上形成含矽材料的磊晶層,而在間隔片(spacer)上不留下任何沉積。
在升高的源極/汲極和源極/汲極延伸特徵圖案的形成期間(例如,在含矽MOSFET(金屬氧化物半導體場效應電晶體)的形成期間),含矽材料的選擇性磊晶沉積已經成爲一種有用的技術。藉由蝕刻矽表面以形成凹陷的源極/汲極特徵圖案,然後用例如矽鍺(SiGe)材料的選擇性生長磊晶層填充經蝕刻的表面,來製造源極/汲極延伸特徵圖案。選擇性磊晶允許採用原位摻雜來使幾乎全部摻雜劑活化,從而省去了後退火製程。因此,藉由矽蝕刻和選擇性磊晶能夠精確地界定接面深度。另一方面,超淺源極/汲極接面不可避免地導致串聯電阻增加。此外,矽化物形成期間的接面耗損甚至增加更多的串聯電阻。爲了補償接面耗損,在接面上磊晶並選擇性生長升高的源極/汲極。一般而言,升高的源極/汲極是未摻雜矽。
然而,目前的選擇性磊晶製程具有一些缺點。爲保持當前磊晶製程期間的選擇性,在整個沉積製程中必須控制並調節前驅物的化學濃度以及反應溫度。如果矽前驅物供給不足,則蝕刻反應會占優勢,並且整個製程減慢。另外,會發生對基材特徵圖案的傷害性過度蝕刻。如果蝕刻劑前驅物供給不足,則沉積反應會占優勢,降低跨過基材表面形成單晶和多晶材料的選擇性。另外,目前的選擇性磊晶製程通常要求高反應溫度,諸如約800℃、1,000℃或較高的溫度。由於熱預算考慮和對於基材表面可能不受控制的氮化反應,在製造製程期間不期望這樣的高溫。此外,在較高製程溫度下藉由典型選擇性Si:C磊晶製程併入的大部分碳原子將佔據Si晶格的非替代(即,空隙)位置。藉由降低生長溫度,能夠獲得較高百分率(例如,在550℃的生長溫度下接近100%)的替代碳濃度,然而對於元件應用來說,不期望在這樣較低溫度下緩慢的生長速率,並且這種選擇性處理在較低的溫度下可能是不可行的。
矽碳磊晶的生產條件可以不相同於具有不同摻雜劑和摻雜濃度的磊晶。關注沉積期間將高濃度摻雜劑(例如,大於1020 原子/cm3 )併入Si:C磊晶中,因爲在沉積期間高濃度摻雜劑的併入減少了利用後續操作步驟(例如,離子摻雜)來增加摻雜劑濃度的需要。考慮到磊晶生產製程期間大量的變數,這些變數包括但不限於溫度、載氣類型、沉積氣體類型、蝕刻氣體類型、蝕刻氣體、沉積氣體和載氣每一個的流率和處理室壓力,因此對具有特定摻雜劑和摻雜劑濃度的具體磊晶的特定變數的選擇和最優化是不可預見的。因而,將高濃度摻雜劑併入Si:C磊晶中可能需要改變大量變數以獲得高質量磊晶。這將期望提供用於形成重度n摻雜之Si:C磊晶的製程。這些方法將有用於電晶體元件的生產。
本發明的一實施例關於形成和處理含矽磊晶層的方法。其他實施例關於製造包括含有矽和碳之磊晶層的電晶體元件之生產方法。
根據本發明的一實施例,一種在基材表面上磊晶形成碳矽膜的方法,包括在處理室中放置包括單晶表面和二次表面(secondary surface)的基材;在低於約600℃的溫度和沉積壓力下,將基材暴露於包含矽源、碳源和n型摻雜劑的沉積氣體;並在超過約600℃的溫度和至少約是暴露於沉積氣體期間之壓力的10倍壓力下,將基材暴露於包含氯化氫的蝕刻氣體,該方法造成在單晶表面上重度摻雜n型磊晶的選擇性沉積。
在一實施例中,摻雜劑包括磷源,例如磷化氫。在其他實施例中,摻雜劑包括砷源,例如砷化氫。摻雜劑可以包括砷化氫和砷化氫的組合。在一實施例中,蝕刻氣體只包含氯化氫。可以從氯化氫源氣體將氯化氫輸送給處理室。或者,可以藉由在處理室中混合氫源氣體和氯源氣體而在處理室中形成氯化氫。
在一實施例中,摻雜劑濃度至少約2×1020 原子/cm3 。根據一實施例,沉積期間的溫度是在約575℃到低於約600℃的範圍中。在一實施例中,蝕刻期間的溫度是在高於約600℃到低於約650℃的範圍中。
另一實施例包括在沉積期間流入二氯矽烷。在另一實施例中,在沉積期間流入氯化氫。在本發明的實施例中,在電晶體生產製程的製造步驟期間形成磊晶膜,且該方法還包括:在基材上形成閘極介電質;在閘極介電質上形成閘極電極;以及在電極相對側的基材上形成源極區域/汲極區域,並在源極區域/汲極區域之間界定通道區域。
上述內容已經很廣義地概括了本發明的某些特徵和技術優點。本領域的技術人員應當理解所公開的特定實施例可以容易地被用作在不偏離本發明範圍的條件下修改或設計其他結構或製程的基礎。本領域的技術人員還應當認識到這種等同解釋不偏離如所附申請專利範圍中描述的本發明精神和範圍。
本發明的實施例大致提供形成和處理含矽磊晶層的方法和設備。特定的實施例有關於在電晶體生產期間形成和處理磊晶層的方法和設備。
本文所用之磊晶沉積係指在基材上單晶層的沉積,從而沉積層的晶體結構與基材的晶體結構匹配。因此,磊晶層或膜是具有與基材的晶體結構匹配之晶體結構的單晶層或膜。磊晶層與塊基材和多晶矽層不相同。
在本申請中,術語「含矽」材料、化合物、膜或層應當被解釋爲包含至少含有矽的成分,並可以含有鍺、碳、硼、砷、磷化鎵與/或鋁。諸如金屬、鹵素或氫的其他元素通常以百萬分之(ppm)濃度併入含矽材料、化合物、膜或層中。含矽材料的化合物或合金可以用縮寫表示,諸如Si表示矽,SiGe表示矽鍺,Si:C表示矽碳而SiGeC用於表示矽鍺碳。所述縮寫既不表示具有化學計量關係的化學方程式,也不表示含矽材料的任何具體的還原/氧化態。
本發明的一或多個實施例大致提供在電子元件製造期間在基材的單晶表面上選擇性且磊晶沉積含矽材料的製程。將包含單晶表面(例如,矽或矽鍺)和至少二次表面(諸如,非晶表面與/或多晶表面(例如,氧化物或氮化物))的基材暴露於磊晶製程以在單晶表面上形成磊晶層,同時在二次表面上形成有限的多晶層或不形成多晶層。磊晶製程通常包括重復沉積製程和蝕刻製程的循環,直到生長了所欲厚度的磊晶層爲止。在共同轉讓並共同待審的美國專利申請序號No. 11/001,774中公開了示例性交替沉積和蝕刻製程,該美國專利申請被公佈爲美國專利申請公開號No.2006/0115934,發明名稱爲「Selective Epitaxy Process With Alternating Gas Supply」,在此藉由引用其全部內容作爲參考。
在一或多個實施例中,沉積製程包括暴露基材表面於至少含有矽源和載氣的沉積氣體中。沉積氣體還可以包含鍺源與/或碳源以及摻雜劑源。在具體的實施例中,沉積氣體包含足量的n型摻雜劑前驅物,這導致在磊晶膜中含有至少約1×1020 原子/cm3 的n型摻雜劑。在特定的實施例中,最終的磊晶膜包含至少約2×1020 原子/cm3 的n型摻雜劑,更具體地說,至少約5×1020 原子/cm3 的n型摻雜劑。本文所用之摻雜劑濃度的這些級別將被稱爲n型摻雜劑的重度摻雜。合適的n型摻雜劑的實例包括P、As和Sb。在沉積製程期間,磊晶層形成在基材的單晶表面上,而多晶/非晶層形成在諸如介電質、非晶與/或多晶表面的二次表面上,介電質、非晶與/或多晶表面被統稱爲「二次表面」。然後,基材暴露於蝕刻氣體中。一般而言,蝕刻氣體包含載氣和諸如氯氣或氯化氫的蝕刻劑。然而,根據一個或多個實施例,申請人確認了對重度摻雜的n型膜的有效蝕刻僅可以使用氯化氫進行蝕刻,而不是氯氣。蝕刻氣體除去在沉積製程期間所沉積的含矽材料。在蝕刻製程期間,多晶/非晶層以比磊晶層更快的速率被除去。因此,沉積和蝕刻製程的淨結果是在單晶表面上形成磊晶生長的含矽材料,同時將在二次表面上多晶/非晶含矽材料的生長減至最低程度,如果這種生長有的話。視需要可以重復沉積和蝕刻製程的循環以獲得含矽材料的所欲厚度。能夠被本發明的實施例沉積的含矽材料包括含有摻雜劑的矽、矽鍺、矽碳、矽鍺碳和上述之變體。
在製程的一個實例中,為了重度n摻雜磊晶,HCl氣體用作蝕刻劑充分地除去在二次表面上的多晶/非晶含矽材料。一般而言,由於蝕刻劑通常需要高溫來啟動,因此沉積製程可以在比蝕刻反應低的溫度下執行。根據一或多個實施例,已確認為了重摻雜n型磊晶,藉由在沉積之後提高壓力爲沉積壓力的至少約10倍,在特定的實施例中,爲大於沉積壓力的約20倍,在更特定的實施例中,爲大於沉積壓力的30倍,則有效蝕刻能夠在高於約600℃且低於約650℃的溫度下發生。
由於利用氬和氦作爲或氣的相關成本考慮,因此較佳載氣通常是氮。儘管通常氮比氬廉價得多,但根據本發明的一或多個實施例,尤其在甲基矽烷是矽源氣體的實施例中,較佳載氣是氬。使用氮氣作爲載氣可能產生的一個缺點是基材上的材料在沉積期間氮化。然而,需要例如高於800℃高溫的這種方式來活化氮氣。因此,根據一或多個實施例,在低於氮氣活化閾值的溫度下執行的製程中,能夠將氮氣用作惰性載氣。在沉積製程期間使用惰性載氣具有幾個作用。其中一個是,惰性載氣可以提高含矽材料的沉積速率。雖然氫氣可以當作載氣,但在沉積期間,氫氣具有吸附到基材或與之反應以形成氫終止(hydrogen-terminated)表面的傾向。氫終止表面比裸矽表面對磊晶生長的反應更慢。因此,惰性載氣的使用藉由不妨礙實施沉積反應而提高了沉積速率。
根據本發明的第一實施例,與連續式沉積相比,交替沉積和淨化步驟的全磊晶(blanket epitaxy)或非選擇性磊晶導致使用較高級矽烷生長之磊晶膜的結晶度改善。如在此使用的,「較高級矽烷」指二矽烷或較高級矽烷前驅物。在某些特定的實施例中,「較高級矽烷」指二矽烷、新五矽烷(NPS)或這些物質的混合物。示例性製程包括在處理室中裝載基材,並調節處理室內的條件到所需溫度和壓力。然後,開始沉積製程以在基材的單晶表面上形成磊晶層。然後結束沉積製程。然後,確定磊晶層的厚度。如果獲得了磊晶層的預定厚度,則結束磊晶製程。然而,如果沒有獲得預定厚度,則重復沉積和淨化步驟的循環直到獲得預定厚度。該示例性製程的進一步具體內容將在下面進行描述。
基材可被無圖案化或者圖案化。圖案化基材是包含形成在基材表面中或基材表面上之電子特徵圖案的基材。圖案化基材通常包含單晶表面和至少一個非單晶的二次表面,諸如介電質、多晶或非晶表面。單晶表面包含裸晶體基材或沉積的單晶層,該單晶層通常由諸如矽、矽鍺或矽碳的材料製成。多晶或非晶表面可以包含介電質材料和非晶矽表面,所述介電質材料是諸如氧化物或氮化物,具體地說是氧化矽或氮化矽。
在處理室中裝載基材之後,將處理室中的條件調節到預定溫度和壓力。使溫度滿足具體執行之製程的要求。一般而言,處理室在沉積期間維持在低於約600℃的溫度,而在蝕刻期間維持在高於約600℃的溫度。執行磊晶製程的適當溫度可以取決於用來沉積含矽材料的具體前驅物。在一個實例中,已經發現尤其是當壓力已經增加到沉積期間使用之壓力的至少10倍時,氯化氫(HCl)氣體很好地作為重度n摻雜之含矽材料的蝕刻劑。
處理室在沉積期間通常維持在約0.1Torr(托)到50Torr的壓力。在一個實施例中,沉積壓力維持在約10Torr。壓力在各製程步驟期中與之間可以波動,但一般維持不變。在蝕刻期間,處理室中的壓力上升至沉積期間使用之壓力的至少約10倍。
在沉積製程期間,基材暴露於沉積氣體中以形成磊晶層。基材暴露於沉積氣體中一段約0.5秒到約30秒的時間,例如,從約1秒到約20秒,更具體地,從約5秒到約10秒。在特定的實施例中,沉積步驟持續約10到11秒。沉積製程的特定暴露時間視後續蝕刻製程期間的暴露時間以及在製程中使用的具體前驅物和溫度而定。一般而言,基材暴露於沉積氣體中長到足以形成最大厚度的磊晶層。
在一或多個實施例中,沉積氣體至少包含矽源和載氣,並可以包含至少一個第二元素源,諸如碳源與/或鍺源。另外,沉積氣體還可以包含摻雜劑化合物以提供諸如硼、砷、磷、鎵與/或鋁的摻雜劑源。在另一實施例中,沉積氣體可以包含至少一種蝕刻劑,諸如氯化氫。氯化氫可以作爲氯化氫氣體輸送或者作爲單獨的氫氣和氯氣輸送,而氫氣和氯氣在處理室中反應來形成HCl。
矽源通常以從約5sccm到約500sccm的範圍的流率提供到處理室中,較佳約10sccm到約300sccm,更佳約50sccm到約200scmm,例如,約100sccm。在特定的實施例中,矽烷以約60sccm流入。沉積氣體中沉積含矽化合物有用的矽源包括矽烷、鹵化矽烷和有機矽烷。矽烷包括矽烷(SiH4 )和具有實驗式Six H(2x+2) 的較高級矽烷,諸如二矽烷(Si2 H6 )、三矽烷(Si3 H8 )、四矽烷(Si4 H10 )和新五矽烷等。鹵化矽烷包括具有實驗式X’y Six H(2x+2-y) 的化合物,其中X’=F、Cl、Br或I,諸如六氯二矽烷(Si2 Cl6 )、四氯矽烷(SiCl4 )、二氯矽烷(Cl2 SiH2 )和三氯矽烷(Cl3 SiH)。有機矽烷包括具有實驗式Ry Six H(2x+2-y) 的化合物,其中R=甲基、乙基、丙基或丁基,諸如甲基矽烷((CH3 )SiH3 )、二甲基矽烷((CH3 )2 SiH2 )、乙基矽烷((CH3 CH2 )SiH3 )、甲基二矽烷((CH3 )Si2 H5 )、二甲基二矽烷((CH3)2 Si2 H4 )和六甲基二矽烷((CH3 )6 Si2 )。已經發現有機矽烷化合物在將碳併入沉積之含矽化合物中的實施例中是有利的矽源和碳源。根據一或多個實施例,含氬載氣中的甲基矽烷是較佳的含矽源和載氣組合。
矽源通常與載氣一起提供到處理室內。載氣具有從約1slm(標準升每分鐘)到約100slm的流率,例如,從約5slm到約75slm,更具體地,從約10slm到約50slm,例如,約10slm。載氣可以包含氮氣(N2 )、氫氣(H2 )、氬氣、氦氣和上述之組合。較佳係惰性載氣,其包含氮氣、氬氣、氦氣和上述之組合。可以根據磊晶製程期間所使用的前驅物與/或製程溫度來選擇載氣。在整個沉積和蝕刻各個步驟期間,載氣通常是相同的。然而,一些實施例可以在具體步驟中使用不同載氣。
一般而言,在以低溫(例如,<800℃)製程爲特徵的實施例中,氮氣被用作載氣。部分由於在蝕刻製程中使用氯氣的緣故,因此可以採用低溫製程。氮氣在低溫沉積製程期間保持惰性。因此,在低溫製程期間氮不會被併入所沉積的含矽材料中。另外,氮載氣不會如同氫載氣那樣形成氫終止表面。因氫載氣在基材表面上的吸附而形成的氫終止表面抑制含矽層的生長速度。最後,由於氮氣比氫氣、氬氣和氦氣廉價得多,因此低溫製程可以具有利用氮氣作爲載氣的經濟上優勢。儘管有經濟上優勢,但根據某些實施例,較佳載氣是氬氣。
所使用的沉積氣體還包含至少一個第二元素源,諸如碳源與/或鍺源。在沉積期間碳源可以添加到處理室中,與矽源和載氣形成例如矽碳材料的含矽化合物。碳源通常以從約0.1sccm到約40sccm範圍的流率提供到處理室中,例如,約3sccm到約25sccm,更具體地,約5sccm到約25sccm,例如,約10sccm。碳源可以在氬或氮氣中稀釋爲5%並以750sccm的流率流入。用於沉積含矽化合物的碳源包括有機矽烷、乙基、丙基和丁基的烷烴、烯烴和炔烴。這樣的碳源包括甲基矽烷(CH3 SiH3 )、二甲基矽烷((CH3 )2 SiH2 )、乙基矽烷(CH3 CH2 SiH3 )、甲烷(CH4 )和乙烯(C2 H4 )、乙炔(C2 H2 )、丙烷(C3 H8 )、丙烯(C3 H6 )、丁炔(C4 H6 )等。磊晶層的碳濃度是從約200ppm到約5原子%的範圍,優選從約1原子%到約3原子%,例如1.5原子%。在一實施例中,碳濃度可以在磊晶層內梯度化,較佳係具有在磊晶層開始部分的濃度低於磊晶層最後部分的濃度之梯度。或者,鍺源和碳源都可以在沉積期間添入到處理室內,與矽源和載氣形成諸如矽碳或矽鍺碳材料的含矽化合物。
或者,鍺源可以添加到處理室內,與矽源和載氣形成例如矽鍺材料的含矽化合物。鍺源通常以從約0.1sccm到約20sccm的範圍的流率提供到處理室內,較佳約0.5sccm到約約10sccm,更佳約1sccm到約5sccm,例如,約2sccm。用於沉積含矽化合物的鍺源包括鍺烷(GeH4 )、較高級鍺烷和有機鍺烷。較高級鍺烷包括具有實驗式Gex H(2x+2 )的化合物,諸如二鍺烷(Ge2 H6 )、三鍺烷(Ge3 H8 )和四鍺烷(Ge4 H10 )等。有機鍺烷包括諸如甲基鍺烷((CH3 )GeH3 )、二甲基鍺烷((CH3 )2 GeH2 )、乙基鍺烷((CH3 CH2 )GeH3 ),甲基二鍺烷((CH3 )Ge2 H5 ),二甲基二鍺烷((CH3 )2 Ge2 H4 )和六甲基二鍺烷((CH3 )6 Ge2 )的化合物。已經發現鍺烷和有機鍺烷化合物在向所沉積的含矽化合物(即SiGe和SiGeC化合物)中注入鍺和碳的實施例中是有利的鍺源和碳源。磊晶層中的鍺濃度是從約1原子%到約30原子%範圍,例如約20原子%。鍺濃度可以在磊晶層內梯度化,較佳係具有在磊晶層下部的濃度高於磊晶層上部的濃度之梯度。
沉積期間使用的沉積氣體還可以包含至少一種摻雜劑化合物以提供諸如硼、砷、磷、鎵或鋁的元素摻雜劑源。摻雜劑給所沉積的含矽化合物提供各種導電特性,例如電子元件所需之受控及預期路徑中的定向電子流。含矽化合物的膜被摻雜特定的摻雜劑以獲得所需的導電特性。在一個實例中,含矽化合物是摻雜n型,例如具有從約1020 原子/cm3 到約1021 原子/cm3 範圍的濃度的磷、銻與/或砷。在特定實施例中,摻雜劑濃度超過約2×1020 原子/cm3
摻雜劑源通常在沉積期間以從約0.1scmm到20sccm範圍的流率提供到處理室中,例如,約0.5sccm到約10sccm,更具體地說約1sccm到約5sccm,例如約3sccm。摻雜劑還可以包含砷化氫(AsH3 )、磷化氫(PH3 )和烷基磷化氫,諸如具有實驗式Rx PH(3-x) ,其中R=甲基、乙基、丙基或丁基並且x=1,2或3。烷基磷化氫包括三甲基磷化氫((CH3 )3 P)、二甲基磷化氫((CH3 )2 PH)、三乙基磷化氫((CH3 CH2 )3 P)和二乙基磷化氫((CH3 CH2 )2 PH)。鋁和鎵摻雜劑源可以包括烷化與/或鹵化衍生物,諸如用實驗式RxMX(3-x)描述的,其中M=Al或Ga,R=甲基、乙基、丙基或丁基,X=Cl或F,並且x=0、1、2或3。鋁和鎵摻雜劑源的實例包括三甲基鋁(Me3 Al)、三乙基鋁(Et3 Al)、二甲基氯化鋁(Me2 AlCl)、氯化鋁(AlCl3 )、三甲基鎵(Me3 Ga)、三乙基鎵(Et3 Ga)、二甲基氯化鎵(Me2 GaCl)和氯化鎵(GaCl3 )。
根據一或多個實施例,在沉積製程結束之後,可以使用淨化氣體或載氣吹掃處理室,以及/或者利用真空泵排空處理室。淨化與/或排空製程除去剩餘沉積氣體、反應副產物和其他污染物。在示例性實施例中,可以通過以約5slm流入載氣約10秒來淨化處理室。可以重復多次沉積和淨化的循環。在一實施例中,重復沉積和淨化循環約90次。
在本發明的另一個態樣中,全沉積或非選擇性沉積利用較高級矽烷(例如,二矽烷和較高級矽烷)源在低溫(例如,低於約600℃或更低的溫度)下執行。這有助於在沉積步驟(非選擇性沉積)期間在介電質表面(諸如,氧化物和氮化物)上的非晶(而不是多晶)生長,這樣就有利於利用後續蝕刻步驟去除介電質表面上的層,並將對生長在晶體基材上的單晶層的損壞減小至最小程度。
在共同轉讓的美國專利申請序列號No. 10/688,797、公佈爲美國專利申請公開號No. 2004/0224089中描述了在磊晶膜的形成中使用新五矽烷,該美國專利申請的發明名稱爲「Silicon-Containing Layer Deposition with Silicon Compounds」,在此引用其全部內容作爲參考。新五矽烷((SiH3 )4 Si)是含有與矽原子鍵合的四個甲矽烷基(-SiH3 )基團的三級矽烷。與使用單矽烷作爲矽源氣體相比,使用較高級矽烷能夠在更低溫度下獲得較高的沉積速率,並且對於併入碳的含矽膜來說,能夠實現更多的替代碳原子併入。在執行的全沉積實驗中,比較在600℃的製程溫度下使用矽烷作爲矽源氣體,並使用氮氣作爲載氣以及甲基矽烷(在氫氣中稀釋爲1%)作爲矽碳源,在沉積的膜中50%的碳是替代碳。然而,使用較高級的矽烷,二矽烷形成的膜具有大於約90%的替代碳,而新五矽烷生成的膜具有接近100%的替代碳。
在一或多個實施例中,包括緊鄰處理室(例如,在離處理室小於約5英尺的範圍內,更具體地在離處理室小於約2或3英尺的範圍內)安裝之的新五矽烷安瓿的液體源櫃能夠獲得矽源較高的輸送速率,因此能夠獲得較高的沉積速率。
因此,本發明的實施例提供用於具有高替代碳濃度(>1%)的含矽膜(例如,Si:C膜)的選擇性磊晶製程,當磊晶膜生長在電晶體的凹陷源極/汲極時,該選擇性磊晶製程能夠用於形成N型MOSFET結構的拉伸應變通道。一般而言,由於高替代碳含量需要低溫製程,因此難以既獲得在Si:C磊晶中的高替代碳濃度(>1%),又獲得具有光滑形態的選擇性生長。根據本發明的一或多個實施例,這兩者都得以實現。
本發明的另一態樣關於原位磷摻雜和Si:C膜的選擇性磊晶沉積方法:一般而言,在矽沉積期間原位磷摻雜降低生長速率並且增加晶體膜的蝕刻速率,因此,這使得難以獲得選擇性。換句話說,難以獲得在基材的晶體表面上的晶體生長,而在介電質表面上沒有任何生長。另外,原位磷摻雜會使磊晶膜的結晶度變差。
根據一或多個實施例,所述方法遵循相繼的順序,然而,製程不限於在此描述的確切步驟。只要保持製程步驟的順序,可在各步驟之間插入例如其他製程步驟。現在將根據一或多個實施例來描述磊晶沉積的各個步驟。
在實驗中,發現在約600℃的溫度下,Cl2 蝕刻氣體對n型摻雜矽具有很強侵蝕性,這使其在高度n型摻雜基材以及高度n型摻雜矽碳膜上的使用受到限制。根據本發明的實施例,在小於約600℃的低溫下沉積重度P摻雜矽碳。在蝕刻期間,提高溫度和壓力並使用大於1slm的HCl氣流以獲得適宜的蝕刻速率和選擇性。獲得大於5×1020 原子/cm3 P的極高摻雜濃度,以及超過約1.5%的替代碳濃度。通常,這種高濃度摻雜需要離子佈植法。
在一個實例中,混合新五矽烷、矽烷、甲基矽烷和PH3 並輸送到處理室中,並且在575℃和10torr下非選擇性沉積在基材上。在蝕刻期間,溫度上升到625℃並且壓力升高到約爲沉積壓力30倍的300 torr。在蝕刻期間,HCl以18 slm流入以蝕刻沉積在介電質表面上的非晶膜。在非晶膜到磊晶膜之間獲得至少3:1蝕刻速率選擇性。重復該過程直到在公開矽區域上獲得具有約500-900埃所需厚度的選擇性高度P摻雜矽碳。獲得具有大於1.3%碳、95%替代率和超過3×1020 原子/cm3 的磷摻雜濃度的無缺陷矽碳磊晶。
在另一實例中,在非選擇性沉積期間,將50 sccm的二矽烷、150 sccm的矽烷和200 sccm的氬氣中5%的甲基矽烷、60 sccm的二氯矽烷(DCS)和225 sccm 的H2 中1%的PH3 混合並與5slm N2 載氣一起分配到處理室。在10torr的壓力下在基材上執行非選擇性沉積11秒。在蝕刻期間,溫度上升到625℃並且壓力上升到300托。在蝕刻期間,18slm HCl用於蝕刻沉積在介電質表面上的非晶膜。在約30秒的蝕刻後獲得無缺陷的矽碳磊晶。利用20個循環,在無圖案基材上獲得550埃厚度含有約1.4%碳的選擇性矽碳,具有大於約90%替代率和大於約5.2×1020 原子/cm3 的P摻雜劑。在圖案化的基材上重復相同配方獲得具有相似特徵850埃厚的無缺陷膜。
附加的實驗表明在蝕刻期間,在超過600℃的溫度和超過沉積壓力約10倍或者100托的壓力下,利用HCl足以有效蝕刻非晶材料。在現有技術中,由於需要低製程溫度,因此二矽烷被認爲不適合P摻雜的矽碳應用。在這種低溫下,一般認爲二矽烷不能夠提供足夠的沉積速率。然而,在我們的實驗中,獲得超過約600埃/分鐘的沉積速率。在沉積期間二氯矽烷或HCl的添加被觀察到有助於選擇性,如在美國專利申請序列號No.11/227,874、被公佈爲美國專利申請公開號No. US2006/0115933中所描述的。另外,觀察到二矽烷的高流量和二氯矽烷/HCl的添加劑可以有助於在圖案化基材上的積極載入(positive loading),導致在基材的晶體部分上形成較厚的磊晶層。
本發明的一或多個實施例提供在形成互補金屬氧化物半導體(CMOS)積體電路元件中特別有用的方法,並將在下文中對其描述。其他元件和應用也在本發明的範圍內。第1圖示出在典型CMOS元件中FET對之剖面圖的一部分。元件100包括在形成阱後以提供NMOS元件和PMOS元件的源極/汲極區域、閘極介電質和閘極電極的半導體基材。元件100可利用傳統半導體製程來形成,例如生長單晶矽,以及藉由溝槽蝕刻並在溝槽開口中生長或沉積介電質來形成淺溝槽隔離結構。關於形成這些各種結構的詳細過程在現有技術中公知,在此不再對其描述。
元件100包含半導體基材155(例如,摻雜有p型材料的矽基材),在基材155上的p型磊晶矽層165,界定在磊晶層165中的p型阱區域120和n型阱區域150,界定在p阱120中的n型電晶體(NMOS FET)110以及界定在n阱150中的p型電晶體(PMOS FET)140。第一隔離區域158使NMOS 110和PMOS 140電晶體電隔離,第二隔離區域160使一對電晶體110和140與基材155上的其他半導體元件電隔離。
根據本發明的一或多個實施例,NMOS電晶體110包括閘極電極122、第一源極區域114和汲極區域116。NMOS閘極電極122的厚度大小是可調整的,並可以根據與元件性能相關的考慮來進行調節。NMOS閘極電極122具有與N型元件的功函數對應的功函數。源極區域和汲極區域是在閘極電極122的相對兩側的n型區域。通道區域118插入在源極區域114和汲極區域116之間。閘極介電層112使通道區域118和閘極電極122分離。用於形成NMOS閘極電極122和介電層的製程在現有技術中公知,在此不再對其討論。
根據一或多個實施例,PMOS電晶體140包括閘極電極152、源極區域144和汲極區域146。PMOS閘極電極152的厚度大小是可以調整的,並可以根據與元件性能相關的考慮來進行調節。PMOS閘極電極152具有與P型元件的功函數對應的功函數。源極區域和汲極區域是在閘極電極152的相對兩側的p型區域。通道區域148插入在源極區域144和汲極區域146之間。閘極介電層142使通道區域148和閘極電極152分離。介電層142使閘極電極152和通道區域148電絕緣。應當理解第2圖所示和剛剛如上描述的電晶體110和140的結構僅是示例性的,材料、層等的各種變體在本發明的範圍內。
參照第2圖,其示出了第1圖的NMOS元件在源極/汲極區域上形成間隔片、例如矽化物層的層以及形成蝕刻終止層191之後更詳細內容的視圖。如將在下面進一步描述的,應當理解第1圖中所示的PMOS元件可以包含相似間隔片和層,可以調整它們的尺寸與/或組份以影響在PMOS元件的通道中產生的應力。然而,出於說明的目的,僅示出NMOS元件並對其進行詳細描述。
第2圖示出可以由合適的介電質材料形成的間隔片175,該間隔片175結合在包含閘極電極121的閘極119的周圍。還可以提供圍繞各間隔片175的偏移間隔片177。用於形成間隔片175和177的形狀、尺寸和厚度的製程在現有技術中公知,在此不再對其描述。金屬矽化物層179可以在在源極區域114和汲極區域116上形成。可以藉由諸如濺射或物理氣相沉積(PVD)等任何合適製程由諸如鎳、鈦或鈷等合適的金屬形成矽化物層179。矽化物層179可以擴散到下層表面的部分中。汲極區域116的升高由箭頭181示出,該升高表示從基材表面180到矽化物層179的頂部的距離。源極區域的小面(facet)表示爲傾斜表面。如本領域的技術人員將理解的,如上所述的示例性元件可以改進爲包含具有Si:C磊晶層的源極/汲極或者源極/汲極延伸,Si:C磊晶層可以根據在此描述的方法進行進一步的改進。
整個說明書中,參照「一實施例」、「某些實施例」、「一或多個實施例」或「實施例」意指與該實施例相關描述的特定特徵、結構、材料或性質被包含在本發明的至少一個實施例中。因此,在整個說明書中的各處位置的諸如「在一或多個實施例中」、「在某些實施例中」、「在一實施例中」或「在實施例中」的詞組形式不需要參照本發明的同一實施例。另外,在一或多個實施例中,可以用任何合適的方式對特定的特徵、結構、材料或性質組合。不應當認爲上述方法的描述次序是限制性的,並且方法可以在省略或添加的情況下,採用不按次序的所述操作。
將理解上述描述意在是例證性的,而不是限制性的。對於本領域的技術人員來說,通過回顧上述描述許多其他實施例將顯而易見。因此,本發明的範圍,將參照所附申請專利範圍,以及所述申請專利範圍所指定的等同物的全部範圍來確定。
100...元件
110...n型電晶體
112...介電層
114、144...源極區域
116、146...汲極區域
118、148...通道區域
119...閘極
120...p型阱區域
121、122、152...閘極電極
140...p型電晶體
142...閘極介電層
150...n型阱區域
155...半導體基材
158、160...隔離區域
165...磊晶矽層
175...間隔片
177...偏移間隔片
179...矽化物層
180...基材表面
181...箭頭
183...小面
191...蝕刻終止層
因此爲了更詳細地理解本發明的以上所述特徵,將參照附圖中示出的實施例對以上簡要所述的本發明進行更具體描述。然而,應該注意,附圖中只示出本發明典型的實施例,因此不能認爲是對本發明範圍的限定,本發明可以允許其他等同的有效實施例。
第1圖是根據本發明一實施例的場效電晶體對的剖面圖;以及
第2圖是第1圖所示之具有附加層形成在元件上的NMOS場效電晶體之剖面圖。
110...n型電晶體
112...介電層
114...源極區域
116...汲極區域
118...通道區域
119...閘極
120...p型阱區域
121...閘極電極
155...半導體基材
165...磊晶矽層
175...間隔片
177...偏移間隔片
179...矽化物層
180...基材表面
181...箭頭
183...小面
191...蝕刻終止層

Claims (18)

  1. 一種在一基材上之一單晶表面上磊晶形成一矽碳膜的方法,其至少包括:將包括該單晶表面和二次表面(secondary surface)的該基材放入一處理室中;在一低於約600℃的沉積溫度和一沉積壓力下,暴露該基材於包含一矽源、一碳源和一n型摻雜劑的沉積氣體中;及在一超過約600℃與低於約650℃的蝕刻溫度和一至少約為該沉積壓力10倍且超過約100Torr的蝕刻壓力下,暴露該基材於一包含氯化氫的蝕刻氣體中,該方法造成選擇性沉積重度摻雜n型磊晶之該矽碳膜於該單晶表面上。
  2. 如申請專利範圍第1項所述之方法,其中該摻雜劑包含磷源和砷源之一或多者。
  3. 如申請專利範圍第2項所述之方法,其中該磷源包含磷化氫而該砷源包含砷化氫。
  4. 如申請專利範圍第2項所述之方法,其中該蝕刻氣體僅包含氯化氫。
  5. 如申請專利範圍第4項所述之方法,其中該氯化氫係從一氯化氫源氣體傳送到該處理室。
  6. 如申請專利範圍第4項所述之方法,其中該氯化氫係在該處理室中混合氫源氣體和氯源氣體後而在該處理室中形成。
  7. 如申請專利範圍第4項所述之方法,其中該矽源係選自單矽烷與一較高級(higher order)矽烷的一混合中。
  8. 如申請專利範圍第7項所述之方法,其中該較高級矽烷係選自二矽烷和新五矽烷(neo-pentasilane)。
  9. 如申請專利範圍第2項所述之方法,其中該摻雜劑水平是至少約2×1020 原子/cm3
  10. 如申請專利範圍第9項所述之方法,其中該摻雜劑水平是至少約5×1020 原子/cm3
  11. 如申請專利範圍第8項所述之方法,其中該沉積期間的溫度是在約575℃到低於約600℃的範圍中。
  12. 如申請專利範圍第11項所述之方法,其中該蝕刻期間的溫度是在高於約600℃到低於約650℃的範圍中。
  13. 如申請專利範圍第12項所述之方法,其中在沉積期間流入二氯矽烷。
  14. 如申請專利範圍第12項所述之方法,其中該碳源包含甲基矽烷。
  15. 如申請專利範圍第12項所述之方法,其中在沉積期間流入氯化氫。
  16. 如申請專利範圍第1項所述之方法,其中該磊晶膜係在電晶體生成製程之一製造步驟期間形成,且該方法更包括:在一基材上形成一柵極介電質;在該柵極介電質上形成一柵極電極;及在該電極相對側的基材上形成源極區域/汲極區域,並在該源極區域/汲極區域之間界定一通道區域。
  17. 如申請專利範圍第12項所述之方法,其中該磊晶膜係在電晶體生成製程之一製造步驟期間形成,且該方法更包括:在一基材上形成一柵極介電質;在該柵極介電質上形成一柵極電極;及在該電極相對側的基材上形成源極區域/汲極區域, 並在該源極區域/汲極區域之間界定一通道區域。
  18. 如申請專利範圍第1項所述之方法,其中該暴露於蝕刻氣體期間的壓力是該暴露於沉積氣體期間的壓力的至少約30倍。
TW97138221A 2007-10-05 2008-10-03 選擇性形成碳矽磊晶層 TWI467639B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/867,933 US7776698B2 (en) 2007-10-05 2007-10-05 Selective formation of silicon carbon epitaxial layer

Publications (2)

Publication Number Publication Date
TW200943389A TW200943389A (en) 2009-10-16
TWI467639B true TWI467639B (zh) 2015-01-01

Family

ID=40459170

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97138221A TWI467639B (zh) 2007-10-05 2008-10-03 選擇性形成碳矽磊晶層

Country Status (6)

Country Link
US (1) US7776698B2 (zh)
JP (1) JP5147629B2 (zh)
KR (1) KR101098102B1 (zh)
CN (1) CN101404250B (zh)
DE (1) DE102008050511B4 (zh)
TW (1) TWI467639B (zh)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101660131B (zh) * 2009-09-28 2011-03-09 西安交通大学 一种磁控溅射制备氢化硅薄膜的方法
DE102009053806A1 (de) * 2009-11-18 2011-05-19 Evonik Degussa Gmbh Verfahren zur Herstellung von Siliciumschichten
JP5533428B2 (ja) * 2010-08-20 2014-06-25 信越半導体株式会社 シリコンエピタキシャルウエーハの製造方法
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
FR2973566A1 (fr) * 2011-04-01 2012-10-05 St Microelectronics Crolles 2 Procédé de formation d'une couche epitaxiee, en particulier sur des régions de source et de drain de transistor a appauvrissement total
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR101371435B1 (ko) 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
US8759916B2 (en) * 2012-01-27 2014-06-24 International Business Machines Corporation Field effect transistor and a method of forming the transistor
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130299895A1 (en) 2012-05-09 2013-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Iii-v compound semiconductor device having dopant layer and method of making the same
JP2013258188A (ja) * 2012-06-11 2013-12-26 Hitachi Kokusai Electric Inc 基板処理方法と半導体装置の製造方法、および基板処理装置
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP2015005534A (ja) * 2013-06-18 2015-01-08 学校法人立命館 縦型発光ダイオードおよび結晶成長方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
KR101677560B1 (ko) 2014-03-18 2016-11-18 주식회사 유진테크 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9892924B2 (en) 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
KR102534730B1 (ko) 2015-04-10 2023-05-26 어플라이드 머티어리얼스, 인코포레이티드 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6778139B2 (ja) 2017-03-22 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) * 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
WO2021068125A1 (en) 2019-10-09 2021-04-15 Dic Corporation Plate-like alumina particle and method for manufacturing plate-like alumina particle
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117904719A (zh) * 2024-03-15 2024-04-19 浙江求是半导体设备有限公司 N型SiC外延片及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition
TW200629377A (en) * 2004-12-01 2006-08-16 Applied Materials Inc Use of Cl2 and/or HCl during silicon epitaxial film formation
TW200633021A (en) * 2005-02-04 2006-09-16 Asm Inc Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition
TW200636826A (en) * 2004-12-01 2006-10-16 Applied Materials Inc Selective epitaxy process with alternating gas supply

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
JP4010724B2 (ja) * 1999-12-28 2007-11-21 株式会社東芝 半導体装置の製造方法
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
EP1471168B2 (en) * 2003-04-24 2011-08-10 Norstel AB Device and method for producing single crystals by vapour deposition
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
JP2006351744A (ja) * 2005-06-15 2006-12-28 Fuji Electric Holdings Co Ltd 炭化珪素半導体装置の製造方法
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166414A1 (en) * 2004-12-01 2006-07-27 Carlson David K Selective deposition
TW200629377A (en) * 2004-12-01 2006-08-16 Applied Materials Inc Use of Cl2 and/or HCl during silicon epitaxial film formation
TW200636826A (en) * 2004-12-01 2006-10-16 Applied Materials Inc Selective epitaxy process with alternating gas supply
TW200633021A (en) * 2005-02-04 2006-09-16 Asm Inc Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition

Also Published As

Publication number Publication date
US7776698B2 (en) 2010-08-17
JP5147629B2 (ja) 2013-02-20
US20090093094A1 (en) 2009-04-09
CN101404250B (zh) 2010-10-27
JP2009111368A (ja) 2009-05-21
CN101404250A (zh) 2009-04-08
TW200943389A (en) 2009-10-16
KR20090035430A (ko) 2009-04-09
DE102008050511B4 (de) 2017-02-16
DE102008050511A1 (de) 2009-04-23
KR101098102B1 (ko) 2011-12-26

Similar Documents

Publication Publication Date Title
TWI467639B (zh) 選擇性形成碳矽磊晶層
TWI400744B (zh) 含矽與碳磊晶層之形成
TWI383435B (zh) 含矽磊晶層之形成
US7960236B2 (en) Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7572715B2 (en) Selective epitaxy process with alternating gas supply
US8759200B2 (en) Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US9064960B2 (en) Selective epitaxy process control
US20140120678A1 (en) Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
US20060115933A1 (en) Use of CL2 and/or HCL during silicon epitaxial film formation
KR20130044312A (ko) 시클로헥사실란을 이용한 박막 및 이의 제조방법
JP5551745B2 (ja) シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成