JP5551745B2 - シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成 - Google Patents

シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成 Download PDF

Info

Publication number
JP5551745B2
JP5551745B2 JP2012207133A JP2012207133A JP5551745B2 JP 5551745 B2 JP5551745 B2 JP 5551745B2 JP 2012207133 A JP2012207133 A JP 2012207133A JP 2012207133 A JP2012207133 A JP 2012207133A JP 5551745 B2 JP5551745 B2 JP 5551745B2
Authority
JP
Japan
Prior art keywords
substrate
source
carbon
process chamber
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012207133A
Other languages
English (en)
Other versions
JP2013070055A (ja
Inventor
イーワン キム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013070055A publication Critical patent/JP2013070055A/ja
Application granted granted Critical
Publication of JP5551745B2 publication Critical patent/JP5551745B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Description

[0001]本出願は、2006年12月11日に出願した米国特許出願第11/609,826号の利益を主張し、その開示内容は本明細書に全体で援用されている。
[0002]本発明の実施形態は、一般的には、シリコンと炭素を含有するインサイチュ(in-situ)リンドープエピタキシャル層の形成に関する。特定の実施形態は、半導体デバイス、例えば、金属酸化物半導体電界効果トランジスタ(MOSFET)デバイスにおけるこのようなエピタキシャル層の形成に関する。
[0003]MOSトランジスタのチャネルを通って流れる電流量は、チャネル内のキャリアの移動度に正比例し、高移動度MOSトランジスタの使用はより多くの電流が流れることを可能にし、その結果より高速の回路性能を可能にする。MOSトランジスタのチャネル内のキャリヤの移動度は、チャネル内に機械的応力を生じることによって増加させることができる。圧縮歪みを受けているチャネル、例えば、シリコン上に成長させたシリコン-ゲルマニウムチャネル層は、ホール移動度を著しく高めて、pMOSトランジスタを与える。引っ張り歪みを受けているチャネル、例えば、弛緩したシリコン-ゲルマニウム上に成長させたシリコンチャネル薄層は、電子移動度を著しく高めて、nMOSトランジスタを与える。
[0004]引っ張り歪みを受けているnMOSトランジスタチャネルは、一つ以上の炭素ドープシリコンエピタキシャル層を形成することによって与えることができ、pMOSトランジスタにおける圧縮歪みのSiGeチャネルに相補的でも可能である。従って、炭素ドープシリコン層とシリコン-ゲルマニウムエピタキシャル層を、それぞれnMOSトランジスタとpMOSトランジスタのソース/ドレイン上に堆積させることができる。ソース領域とドレイン領域は、選択的Siドライエッチングによって平らであるか或いは凹部でもよい。適切に製造された場合、炭素ドープSiエピタキシーで覆われたnMOSのソースとドレインは、チャネル内に引っ張り歪みを与え、nMOS駆動電流を増加させる。
[0005]炭素ドープSiエピタキシーを用いた陥凹ソース/ドレインを持つnMOSトランジスタのチャネル内の電子移動度を高めるために、選択的堆積或いは堆積後処理によってソース/ドレイン上に炭素ドープシリコンエピタキシャル層を選択的に形成することが望ましい。更に、炭素ドープSiエピタキシャル層が置換型C原子を含有してチャネル内に引っ張り歪みを生じさせることも望ましい。より大きいチャネル引っ張り歪みは、炭素ドープシリコンのソースとドレイン内の置換型C含量の増加によって達成させることができる。
[0006]一般に、100nm未満のCMOS(相補型金属酸化物半導体)デバイスは、接合深さが30nm未満であることを必要とする。選択的エピタキシャル堆積は、接合にシリコン含有物質(例えば、Si、SiGe、SiC)のエピタキシャル層(“エピ層”)を形成するためにしばしば用いられる。選択的エピタキシャル堆積は、シリコンモート上にエピ層の成長を可能にし、誘電体領域上に成長しない。選択的エピタキシーは、積み上げソース/ドレイン、ソース/ドレインエクステンション、コンタクトプラグ又はバイポーラデバイスのベース層堆積のような半導体デバイスの中に使用し得る。
[0007]典型的な選択的エピタキシャルプロセスは、堆積反応とエッチング反応を含んでいる。堆積プロセス中、エピタキシャル層は、単結晶表面上に形成され、多結晶層は、既存の多結晶層及び/又はアモルファス層のような少なくとも第二層上に堆積される。堆積反応とエッチング反応は、エピタキシャル層と多結晶層に対して相対的に異なる反応速度で同時に行われる。しかしながら、堆積された多結晶層は、通常はエピタキシャル層より速い速度でエッチングされる。それ故、エッチングガスの濃度を変化させることによって、正味の選択的プロセスは、エピタキシー物質を堆積することになり、多結晶物質の限られた堆積か或いは全く堆積されない。例えば、選択的エピタキシープロセスは、単結晶シリコン表面上にシリコン含有物質のエピ層を形成することになるが、堆積はスペーサ上に全く残らない。
[0008]シリコン含有物質の選択的エピタキシー堆積は、積み上げソース/ドレインやソース/ドレインエクステンションの特徴部の形成で、例えば、シリコン含有MOSFET(金属酸化物半導体電界効果トランジスタ)デバイスの形成で、有効な技術になっている。ソース/ドレインエクステンション特徴部は、シリコン表面をエッチングして、陥凹ソース/ドレイン特徴部を作り、その後エッチングされた表面をシリコンゲルマニウム(SiGe)物質のような選択的に成長したエピ層で充填することにより製造される。選択的エピタキシーは、インサイチュドープしつつほぼ完全にドーパント活性化を可能にするので、ポストアニールプロセスが省略される。それ故、接合の深さは、シリコンエッチングと選択的エピタキシーによって正確に画成され得る。もう一方で、極浅いソース/ドレイン接合は、必然的に直列抵抗を増加させることになる。また、シリサイド形成中の接合の消耗は、更に直列抵抗を増加させる。接合の消耗を補償するために、積み上げソース/ドレインは接合上でエピタキシャル的且つ選択的に成長する。典型的には、積み上げソース/ドレイン層は非ドープシリコンである。
[0009]シリコン含有層の堆積中に、ホウ素、ヒ素、リン、ガリウム又はアルミニウムのような元素ドーパントの供給源は、堆積ガス中に含まれ、エピタキシャル層のインサイチュドープを生じさせてもよい。ドーパントは、電子デバイスに必要とされる制御された且つ望ましい通路内に方向性のある電子の流れのような様々な導電特性を持つ堆積されたシリコン含有化合物を与える。
[0010]現状の選択的エピタキシー処理は、通常、約800℃、1000℃以上の高い反応温度を必要とする。このような高い温度は、熱量の考慮により、製造プロセス中は望ましくなく、また、基板表面に制御不能な窒化物反応を可能にしてしまう。更に、より高いプロセス温度における典型的な選択的Si:Cエピタキシープロセスを通じて取り込まれるC原子のほとんどがシリコン格子の非置換型(即ち、格子間)位置を占める。成長温度を下げることによって、置換型炭素レベルのより高いもの(例えば、550℃の成長温度でほぼ100%)を達成し得るが、しかし、これらのより低い温度での遅い成長速度はデバイスの応用に対して望ましくなく、このような選択的処理は低温では不可能である。更に、リンでドープされたSi:C膜はなおより低い成長速度を示す。
[0011]それ故、リンのようなドーパントによってシリコンとシリコン含有化合物をエピタキシャル的に堆積させる方法を持つことが求められている。更に、前記方法は、速い堆積速度を示し、約800℃以下、好ましくは約700℃以下のようなプロセス温度を維持し、置換型炭素濃度が高くなければならない。このような方法は、トランジスタデバイスの製造において有効である。
[0012]本発明の一実施形態は、シリコンと炭素とリンを含有するエピタキシャル層を形成し処理する方法に関する。他の実施形態は、シリコンと炭素とリンを含有するエピタキシャル層を含むトランジスタデバイスの製造方法に関する。本発明の実施形態によれば、置換型炭素含量はSi:C膜中で増加する。一つ以上の実施形態において、エピタキシャル成長速度とエッチャント活性もまた、堆積中の圧力が少なくとも約100トールに増加される場合に増加する。
[0013]本発明の一実施形態によれば、基板上にSiとCを含有するエピタキシャル層を形成する方法であって、プロセスチャンバ内に基板を配置するステップと;プロセスチャンバ内の圧力を少なくとも約100トールに保持しつつ、基板をシリコン源と炭素源とリン源にさらして、基板の少なくとも一部にリンでドープされたSi:Cエピタキシャル膜を形成するステップとを含む、前記方法が提供される。ある実施形態において、プロセスチャンバ内の圧力は、少なくとも約200トールに維持される。特定の実施形態において、圧力は少なくとも約300トールに維持される。一実施形態において、プロセスチャンバの温度は、約700℃以下である。一つ以上の実施形態によれば、得られたエピタキシャル膜は、膜中に含有される全炭素の少なくとも約40%、例えば、50%である置換型炭素を含有する。ある実施形態において、リン源は、ホスフィンを含み、エピタキシャル膜中のリン濃度は、少なくとも約1E20原子/cmである。
[0014]ある実施形態によれば、基板は、単結晶表面と、アモルファス表面、多結晶表面及びこれらの組み合わせより選ばれる少なくとも第二表面とを含み、ここで、エピタキシャル層は、単結晶表面上に形成され、アモルファス或いは多結晶層は、第二表面上に形成される。一つ以上の実施形態において、基板は、続いて基板をエッチングガスにさらすことによって更に処理されてもよい。エッチングガスは、一つ以上の実施形態によればHClを含んでもよく、約700℃以下の温度でエッチングガスにさらされる。
[0015]一つ以上の実施形態において、基板上にSiとCを含有するエピタキシャル層を形成する方法であって、プロセスチャンバ内に、単結晶表面と、アモルファス表面、多結晶表面及びこれらの組み合わせより選ばれる少なくとも第二表面とを含む基板を配置するステップと;プロセスチャンバ内の圧力を少なくとも約100、例えば、約200トールを超える圧力に維持しつつ基板をシリコン源と、炭素源と、リン源と、エッチング源にさらして、第二表面上に成長させずに、単結晶表面上にリンでドープされたSi:Cエピタキシャル膜を形成するステップとを含む、前記方法を提供する。一つ以上の実施形態において、第二表面は誘電体表面を含む。
[0016]本発明のプロセスは、トランジスタ製造プロセスの製造ステップとして用いることができる。従って、本発明の実施形態は、トランジスタの製造方法であって、プロセスチャンバ内で基板上にゲート誘電体を形成するステップと;ゲート誘電体上にゲート電極を形成するステップと;電極の反対側に第二導電性を持つ基板上にソース/ドレイン領域を形成し且つソース/ドレイン領域の間にチャネル領域を画成するステップと、プロセスチャンバ内の圧力を少なくとも約100トールに維持しつつ、ソース/ドレイン領域上に直接リンでドープされたシリコンと炭素を含有するエピタキシャル層を堆積させるステップとを含む、前記方法に関する。プロセス条件は、上記のように調整されてもよい。
[0017]上記は、本発明のある種の特徴と技術的利点をかなり広範囲に示している。開示された特定の実施形態が本発明の範囲内の他の構造又はプロセスを変更又は設計するための基準として容易に用いることができることは当業者に理解されるべきである。このような等価な構成が添付の特許請求の範囲に示される本発明の精神と範囲から逸脱しないことも当業者に理解されるべきである。
[0018]本発明の上記特徴がより理解され得るように、上で簡単にまとめられた本発明のより詳しい説明は実施形態によって参照することができ、その一部は添付の図面に示されている。しかしながら、添付の図面が本発明の典型的な実施形態のみを示しているので、本発明の範囲を制限するものとみなされるべきでなく、本発明は他の等しく有効な実施形態を許容してもよいことは留意されるべきである。
図1は、高圧下で製造されるシリコンと炭素を含有するエピタキシャル層の高分解能X線回折計(HR−XRD)スペクトルである。 図2は、本発明の実施形態の電界効果トランジスタ対の断面図である。 図3は、追加の層がデバイス上に形成された図1で示したNMOS電界効果トランジスタの断面図である。
[0022]本発明の実施形態は、一般的には、リンでドープされたシリコンと炭素を含有するエピタキシャル層を形成する方法を提供する。他の実施形態は、トランジスタを製造する方法に関する。一つ以上の実施形態によれば、エピタキシャル堆積中、プロセスチャンバの圧力は、少なくとも約100トールである。特定の実施形態において、圧力は、約200トール或いは少なくとも約300トールである。
[0023]本明細書に用いられるエピタキシャル堆積は、基板上に単結晶層を堆積させることを意味するので、堆積された層の結晶構造は基板の結晶構造に適合する。従って、エピタキシャル層或いは膜は、基板の結晶構造に適合する結晶構造を持つ単結晶層或いは膜である。エピタキシャル層は、バルク基板と多結晶シリコン層とは区別される。
[0024]本発明の実施形態によれば、エピタキシャル膜が堆積される基板は、典型的には、シリコン基板であり、それはパターン形成基板である。パターン形成基板は、基板表面の中に或いは上に形成される電子特徴部を含む基板である。パターン形成基板は、単結晶表面と、多結晶或いはアモルファス表面のような単結晶でない少なくとも一つの第二表面を含んでもよい。単結晶表面は、むき出しの結晶基板或いはシリコン、シリコンゲルマニウム又はシリコン炭素のような材料から通常作られる堆積された単結晶層を含む。多結晶或いはアモルファス表面は、酸化物或いは窒化物、詳しくは酸化シリコン或いは窒化シリコンのような誘電材料だけでなく、アモルファスシリコン表面を含んでもよい。
[0025]シリコン炭素層は、Epi RP或いはCentura、いずれもカリフォルニア州サンタクララのAppliedMaterialsから入手可能である適切な処理チャンバ内でエピタキシャルプロセスを用いて堆積させることができる。一般に、プロセスチャンバは、エピタキシャルプロセスを通じて一定の温度に維持される。しかしながら、いくつかのプロセスは、種々の温度で行われてもよい。プロセスチャンバは、約250℃〜約1,000℃、例えば、約500℃〜約900℃の範囲の温度に保たれる。エピタキシャルプロセスを行うのに適当な温度は、シリコンと炭素を含有する物質を堆積し更に/又はエッチングするのに用いられる具体的な前駆物質に左右され、当業者によって決定される。プロセスチャンバは、通常は約0.1トール〜600トールの圧力に維持される。圧力は、この堆積ステップ中やこの堆積ステップ間で変動するが、一般的には一定である。
[0026]エピタキシャル堆積プロセス中、基板は堆積ガスにさらされて、第二表面上に多結晶層を形成しつつ単結晶表面上にエピタキシャル層を形成する。堆積プロセスの特定の曝露時間は、エッチングプロセス中の曝露時間だけでなく、プロセスに用いられる具体的な前駆物質と温度に関連して決定される。一般に、基板は、堆積中に容易にエッチングされてもよい多結晶の最小限の厚さを形成しつつ、エピタキシャル層の最大の厚さを形成するのに充分な長さで堆積ガスにさらされる。
[0027]堆積ガスは、少なくともシリコン源と、キャリアガスと、炭素源を含有する。別の実施形態において、堆積ガスは、塩化水素又は塩素のような少なくとも一つのエッチャントを含んでもよい。
[0028]シリコン源は、通常は約5sccm〜約500sccm、例えば、約10sccm〜約300sccm、詳しくは約50sccm〜約200sccmの範囲にある、より詳しくは100sccmの流量でプロセスチャンバに供給される。シリコンと炭素を含有する化合物を堆積させるために堆積ガスに有効なシリコン源には、シラン、ハロゲン化シラン、オルガノシランが含まれる。シランには、シラン(SiH)や実験式Si(2x+2)を有する高級シラン、例えば、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)等が含まれるが、これらに限定されない。ハロゲン化シランには、実験式X’Si(2x+2-y)、ここで、X’=F、Cl、Br、又はIを有する化合物、例えば、ヘキサクロロジシラン(SiCl)、テトラクロロシラン(SiCl)、ジクロロシラン(ClSiH)、トリクロロシラン(ClSiH)が含まれる。有機シランには、実験式RSi(2x+2-y)、ここで、R=メチル、エチル、プロピル又はブチルを有する化合物、例えば、メチルシラン((CH)SiH)、ジメチルシラン((CH)SiH)、エチルシラン((CHCH)SiH)、メチルジシラン((CH)Si)、ジメチルジシラン((CH)Si)、ヘキサメチルジシラン((CH)Si)が含まれる。
[0029]シリコン源は、通常はキャリヤガスと共にプロセスチャンバ内に供給される。キャリヤガスの流量は、約1slm(標準リットル毎分)〜約100slm、例えば、約5slm〜約75slm、より詳しくは約10slm〜50slm、例えば、25slmである。キャリヤガスには、窒素(N)、水素(H)、アルゴン、ヘリウム、これらの組み合わせが含まれてもよい。不活性キャリヤガスが好ましく、窒素、アルゴン、ヘリウム又はこれらの組み合わせが含まれる。キャリヤガスは、エピタキシャルプロセス120中に用いられる前駆物質及び/又はプロセス温度に基づいて選ばれることになる。通常、キャリヤガスは、それぞれのステップを通して同じである。しかしながら、一部の実施形態は、具体的なステップにおいて異なるキャリヤガスを用いてもよい。
[0030]シリコン炭素物質のようなシリコンと炭素を含有する化合物を形成するシリコン源とキャリヤガスと共にステップ120でプロセスチャンバに供給される炭素源は、通常は約0.1sccm〜約20sccm、例えば、約0.5sccm〜約10sccm、より詳しくは約1sccm〜約5scmの範囲にある、例えば、約2sccmの流量でプロセスチャンバへ供給される。シリコンと炭素を含有する化合物を堆積させるのに有用な炭素源には、有機シラン、エチレン、プロピル、ブチルのアルキル、アルケン及びアルキンが含まれるが、これらに限定されない。このような炭素源には、メチルシラン(CHSiH)、ジメチルシラン((CH)SiH)、トリメチルシラン((CH)SiH)、エチルシラン(CHCHSiH)、メタン(CH)、エチレン(C)、エチレン(C)、プロパン(C)、プロペン(C)、ブチン(C)等が含まれる。エピタキシャル層の炭素濃度は、約200ppm〜約5原子%、例えば、約1原子%〜約3原子%の範囲にあり、より詳しくは少なくとも約2原子%或いは1.5原子%である。一実施形態において、炭素濃度は、エピタキシャル層の中で段階的でもよく、好ましくは、エピタキシャル層の上の部分よりエピタキシャル層の下の部分で炭素濃度がより高く段階的になってもよい。或いは、ゲルマニウム源と炭素源は、いずれも、シリコン源とキャリヤガスと共にプロセスチャンバ内に添加されて、シリコンゲルマニウム炭素物質のようなシリコンと炭素を含有する化合物を形成してもよい。
[0031]堆積処理を終了させる。一例において、プロセスチャンバはパージガス或いはキャリアガスでフラッシュされ更に/又はプロセスチャンバは真空ポンプで排気される。パージ及び/又は排気のプロセスは、余分な堆積ガス、反応副生成物、他の汚染物を除去する。他の例において、堆積プロセスが終了すると、エッチングプロセスがプロセスチャンバをパージ及び/又は排気することなく直ちに開始される。
エッチング
[0032]選択的エッチングプロセスが行われてもよい。エッチングプロセスは、基板表面上のエピタキシャル層の一部を除去する。エッチングプロセスは、エピタキシャル或いは単結晶物質とアモルファス或いは多結晶物質のいずれも除去する。基板表面上に堆積される多結晶層は、もしあればエピタキシャル層より速い速度で除去される。エッチングプロセスの時間間隔は、基板の所要の領域に選択的に形成されるエピタキシャル層の正味の堆積となる堆積処理の時間間隔とバランスがとられる。それ故、堆積プロセスとエッチングプロセスの正味の結果は、多結晶物質の成長をもしあっても最小化しつつ選択的且つエピタキシャル的に成長したシリコンと炭素を含有する物質を形成する。
[0033]エッチングプロセス中、基板は、約10秒〜約90秒、例えば、約20秒〜約60秒、より詳しくは約30秒〜約45秒間の範囲の時間エッチングガスにさらされる。エッチングガスは、少なくとも一つのエッチャントとキャリアガスを含む。エッチャントは、通常は約10sccm〜約700sccm、例えば、約50sccm〜約500sccmの範囲の流量でプロセスチャンバに供給される。エッチングガスに用いられるエッチャントには、塩素(Cl)、塩化水素(HCl)、三塩化ホウ素(BCl)、塩化メチル(CHCl)、四塩化炭素(CCl)、三フッ化塩素(ClF)、これらの組み合わせが含まれてもよい。好ましくは、塩素或いは塩化水素がエッチャントとして用いられる。
[0034]エッチャントは、通常はキャリアガスと共にプロセスチャンバに供給される。キャリアガスの流量は、約1slm〜約100slm、例えば、約5slm〜約75slm、より詳しくは約10slm〜約50slmの範囲にあり、例えば、約25slmである。キャリアガスには、窒素(N)、水素(H)、アルゴン、ヘリウム、これらの組み合わせが含まれてもよい。一部の実施形態において、不活性キャリアガスが好ましく、窒素、アルゴン、ヘリウム、これらの組み合わせが含まれる。キャリアガスは、エピタキシャルプロセス中に用いられる特定の前駆物質及び/又は温度に基づいて選択されてもよい。
[0035]エッチングプロセスを終了させる。一例において、プロセスチャンバはパージガス或いはキャリアガスでフラッシュされてもよく更に/又はプロセスチャンバは真空ポンプで排気されてもよい。パージ及び/又は排気のプロセスは、余分なエッチングガス、反応副生成物、他の汚染物を除去する。他の例において、エッチングプロセスが終了すると、エピタキシャル層の厚さは、プロセスチャンバをパージ及び/又は排気することなく直ちに開始される。
[0036]エピタキシャル層と多結晶層の厚さを決定することができる。所定の厚さが達成される場合には、エピタキシャルプロセスを終了させる。しかしながら、所定の厚さが達成されない場合には、堆積プロセスを望ましい厚さが達成されるまでサイクルとして繰り返す。エピタキシャル層の厚さは、通常は約10オングストローム〜2000オングストロームの、例えば、約100オングストローム〜約1500オングストローム、より詳しくは約400オングストローム〜約1200オングストロームの範囲にあり、例えば、約800オングストロームであるように成長される。多結晶層は、もしあれば通常は原子層〜約500オングストロームの範囲の厚さに堆積される。エピタキシャルのシリコンと炭素を含有する層或いは多結晶のシリコンと炭素を含有する層の望ましい或いは所定の厚さは具体的な製造プロセスに特有である。一例において、エピタキシャル層は、所定の厚さに達し得るが、多結晶層は厚くなりすぎる。
ドーパント曝露
[0037]エピタキシャル堆積中、エピタキシャル層はドーパントにさらされる。典型的なドーパントには、ホウ素、ヒ素、リン、ガリウム又はアルミニウムのような元素ドーパント源を与える少なくとも一つのドーパント化合物が含まれてもよい。本発明の具体的な実施形態において、シリコンと炭素を含有する化合物は、約1015原子/cm〜約1021原子/cmの範囲の濃度までリン及び/又はヒ素のようなものでn形にドープされる。
[0038]ドーパント源は、通常はプロセスチャンバに供給される。ドーパント源には、実験式RPH(3−x)、ここで、R=メチル、エチル、プロピル又はブチル、X=1、2又は3のようなアルシン(AsH)、ホスフィン(PH)、アルキルホスフィンが含まれる。アルキルホスフィンには、トリメチルホスフィン((CH)P)、ジメチルホスフィン((CH)PH)、トリエチルホスフィン((CHCH)P)、ジエチルホスフィン((CHCH)PHが含まれる。
[0039]本発明の特定の実施形態は、100トールを超える高圧でインサイチュリンドープされた選択的Si:Cエピタキシャル層を形成することに関する。100トールを超える高圧は、成長速度の増加と図1に示される置換型炭素濃度の増大を生じさせる。実験は、シリコンと炭素の前駆物質の温度と流量が一定に保たれる中で行った。圧力は次に別々の実験において6トール、100トール、300トールに変え、結果を図1に示す。図1に見られるように、より高い圧力はより高い置換型炭素濃度を生じさせた。詳しくは、その他のプロセス条件が一定で、例えば6トールで製造される試料については、置換型炭素は0.8%であり、100トールで製造される試料については、置換型炭素は1.1%であり、300トールで製造される試料については、置換型炭素は1.4%であった。
[0040]選択的Si:Cエピタキシーにおける本発明の実施形態に従った適切なプロセスの一例は、以下の約2E20原子/cmより大きいリンレベルと、約1.3原子%の炭素レベルと、約0.6原子%の置換型レベルを生じさせた。プロセスチャンバは、約700℃の温度、約300トールの圧力、10slmの水素キャリアガス流量、200sccmのジクロロシラン源ガス流量、30sccmのHCl流量に維持可能である。メチルシラン(1%、水素に希釈した)が240sccmで流され、ホスフィン(1%、水素に希釈した)が240sccmで流される。すべてのプロセスガスは、プロセスチャンバに同時に流され、リンでドープされた炭素を含有シリコン層が基板上に形成される。より高い圧力で製造される試料は、高HClエッチング活性を示すことが観察された。こうして、本発明の実施形態によれば、より高い圧力プロセスはHCl活性が約700℃未満のような弱い温度範囲でエッチングするのに用いることができる。リンドーピングで製造される例において、二次イオン質量分析(SIMS)データは、100トールを超える圧力が2E20原子/scmを超えるリン含量となることを示した。
[0041]上記の種類のプロセスは、堆積ガスとエッチガスがチャンバに同時に流され、誘電体表面上に成長せず、基板の単結晶表面上にリンでドープされたSi:Cエピタキシャル膜を生じさせる選択的堆積プロセスに使用可能である。より高い圧力でのHClのより高い活性は、エッチングをより低い温度、例えば、約700℃未満で進行させることを可能にする。
[0042]本発明の実施形態に従うエピタキシャル膜は、更に、アニール、例えば、急速熱アニール、急速熱処理、レーザアニール、ミリセカンドアニール、及び/又はスパイクアニール又はフラッシュアニール又はこれらの組み合わせのような急速熱プロセスによって処理される。アニール温度は、用いられるプロセスに左右されることになる。
[0043]本発明の一つ以上の実施形態は、相補型金属酸化物半導体(CMOS)集積回路デバイスを形成するのに特に有効な方法を提供し、これに関連して記載する。他のデバイスと用途も本発明の範囲内である。図2は、典型的なCMOSデバイスにおけるFET対を示す断面図の部分である。デバイス100は、ウェルを形成して、NMOSデバイスとPMOSデバイスのソース/ドレイン領域、ゲート誘電体、ゲート電極を得た後の半導体基板を備える。デバイス100は、従来の半導体プロセス、例えば、単結晶シリコンを成長させるプロセスやトレンチ開口内に誘電体を成長或いは堆積させることにより浅いトレンチ絶縁構造を形成させるプロセスを用いて形成することができる。これらの様々な構造を形成するための詳細な手順は当該技術において既知であり、本明細書に記載しない。
[0044]デバイス100は、半導体基板155、例えば、p形物質でドープされたシリコン基板と、基板155上にp形エピタキシャルシリコン層165と、エピタキシャル層165内に画成されたp形ウェル領域120とn形ウェル領域150と、p-ウェル120内に画成されたn形トランジスタ(NMOSFET)110と、n-ウェル150内に画成されたp形トランジスタ(PMOS FET)140を備える。第一絶縁領域158は、NMOS110とPMOS140のトランジスタを電気的に絶縁し、第二絶縁領域160は、基板155上の他の半導体デバイスからトランジスタ110と140の対を電気的に絶縁する。
[0045]本発明の一つ以上の実施形態によれば、NMOSトランジスタ110は、ゲート電極122と、第一ソース領域114と、ドレイン領域116を備える。NMOSゲート電極122の厚さは、拡張可能であり、デバイス性能に関係する問題に基づいて調整することができる。NMOSゲート電極122は、n形デバイスの仕事関数に対応する仕事関数を持つ。ソース・ドレイン領域は、ゲート電極112の反対側のn形領域である。チャネル領域118は、ソース領域114とドレイン領域116の間に挟まれている。ゲート誘電体層112は、チャネル領域118とゲート電極122を分けている。NMOSゲート電極122と誘電体層の形成するためのプロセスは、当該技術において既知であり、本明細書に記載しない。
[0046]一つ以上の実施形態によれば、PMOSトランジスタ140は、ゲート電極152と、ソース領域144と、ドレイン領域146を備える。PMOSゲート電極152の厚さは、拡張可能であり、デバイス性能に関係する問題に基づいて調整することができる。PMOSゲート電極152は、n形デバイスの仕事関数に対応する仕事関数を持つ。ソース・ドレイン領域は、ゲート電極152の反対側のp形領域である。チャネル領域148は、ソース領域144とドレイン領域146の間に挟まれている。ゲート誘電体層142は、チャネル領域148とゲート電極152を分けている。誘電体142は、チャネル領域148からゲート電極152を電気的に絶縁する。図2に示されすぐ上に記載されるトランジスタ110と140の構造は単に例示であり、物質、層等の様々な変形例が本発明の範囲内であることは理解されるであろう。
[0047]ここで図3を参照すると、図3は、スペーサ、ソース/ドレイン領域の上の層、例えば、シリサイド層の形成と、エッチング停止の形成後の図2のNMOSデバイス110の詳細を更に示す図である。図3に示されるPMOSデバイスが、以下に記載されるように、寸法及び/又は組成を調整してNMOSデバイスのチャネル内に生じる応力に影響を及ぼすことができる同様のスペーサと層を含有してもよいことは理解されるであろう。しかしながら、図示のために、NMOSデバイスのみが示され、詳細に記載されている。
[0048]図3は、ゲート119の周りに組み込まれる適切な誘電物質から形成することができるスペーサ175を示す図である。スペーサ175の各々を囲んでいるオフセットスペーサ177が設けられてもよい。スペーサ175と177の形状、サイズ、厚さの形成のためのプロセスは当該技術において既知であり、本明細書に記載しない。ソース領域114とドレイン領域116の上に金属シリサイド層179が形成されてもよい。シリサイド層179は、スパッタリング或いはPVD(物理的気相成長)のようないかなる適切なプロセスによってもニッケル、チタン、又はコバルトのような適切な物質から形成することができる。シリサイド層179は、下に横たわる表面の部分に拡散してもよい。ドレイン領域116の上昇は矢印181によって示され、基板表面180からシリサイド層179の最上部までの距離として示されている。ソースドレイン領域のファセット183は、傾斜面として示されている。当業者が理解するように、上記例示的デバイスは、本明細書記載される方法によって更に変更することができるSi:Cエピタキシャル層を持つソース/ドレイン又はソース/ドレインエクステンションを含むように変更されてもよい。
[0049]本明細書全体に“一実施形態”、“ある実施形態”、“一つ以上の実施形態”又は“実施形態”についての言及は、実施形態と共に記載される具体的な特徴、構造、物質、又は特性が本発明の少なくとも一つの実施形態に含まれることを意味する。従って、本明細書全体に様々な場所で“一実施形態において”、“ある実施形態において”、“一つ以上の実施形態において”又は“実施形態において”のような語句が出てくることは、必ずしも本発明の同一実施形態を意味しない。更に、具体的な特徴、構造、物質、又は特性は、一つ以上の実施形態において適切ないかなる方法においても組み合わせることができる。上記方法の説明の順序は限定するものと考えるべきでなく、方法は記載された操作を順序でなく又は省略もしくは追加して用いることができる。
[0050]上記説明は例示のためであり限定するものではないことは理解すべきである。他の多くの実施形態は、上記説明を見る際に当業者に明らかになるであろう。それ故、本発明の範囲は、添付の特許請求の範囲によって、このような特許請求の範囲が権利を与える等価物の全範囲と共に決定される。
100…デバイス、110…n形トランジスタ、112…ゲート誘電体層、114…第一ソース領域、116…ドレイン領域、118…チャネル領域、119…ゲート、120…p形ウェル領域、122…ゲート電極、140…n形トランジスタ、142…ゲート誘電体、144…ソース領域、146…ドレイン領域、148…チャネル領域、150…n形ウェル領域、152…ゲート電極、155…基板、158…第一絶縁領域、160…第二絶縁領域、165…p形エピタキシャルシリコン層、175…スペーサ、177…オフセットスペーサ、179…金属シリサイド層、181…矢印、183…ファセット。

Claims (8)

  1. 基板上にSiとCを含有するエピタキシャル層を形成する方法であって、
    単結晶表面と、アモルファス表面、多結晶表面及びこれらの組み合わせより選ばれる少なくとも一つの第二表面とを含む基板をプロセスチャンバ内に配置するステップと、
    250℃以上700℃以下のプロセスチャンバ内の温度の下で、プロセスチャンバ内の圧力を少なくとも300トール〜600トールに維持しつつ、該基板をシリコン源と、炭素源と、リン源にさらして、該基板の少なくとも一部にリンがドープされたSi:Cエピタキシャル膜を形成するステップと、
    250℃以上700℃以下のプロセスチャンバ内の温度の下で、プロセスチャンバ内の圧力を少なくとも300トール〜600トールに維持しつつ、HClを含むエッチングガスに該基板をさらすことにより該基板を更に処理するステップと、
    を含む、方法。
  2. 得られた該エピタキシャル膜に含有される全炭素のうち、少なくとも50%が置換型炭素である、請求項1に記載の方法。
  3. 該リン源が、PHを含み、該エピタキシャル膜のリン濃度が、少なくとも1E20原子/cmである、請求項1に記載の方法。
  4. 基板上にSiとCを含有するエピタキシャル層を形成する方法であって、
    単結晶表面と、アモルファス表面、多結晶表面及びこれらの組み合わせより選ばれる少なくとも一つの第二表面とを含む基板をプロセスチャンバ内に配置するステップと、
    該プロセスチャンバ内の圧力を少なくとも300トール〜600トール、温度を250℃以上700℃以下に維持しつつ、該基板をシリコン源と、炭素源と、リン源と、HClを含むエッチング源とにさらして、該第二表面上に成長させることなく、該単結晶表面上にリンがドープされたSi:Cエピタキシャル膜を形成させるステップと、
    を含む、方法。
  5. 該第二表面が、誘電体表面を含む、請求項4に記載の方法。
  6. 得られた該Si:Cエピタキシャル膜のリン濃度が、1E20原子/cmを超える、請求項4に記載の方法。
  7. 該Si:Cエピタキシャル膜が、トランジスタ製造プロセスの製造ステップ中に堆積され、
    プロセスチャンバ内で基板上にゲート誘電体を形成するステップと;
    該ゲート誘電体上にゲート電極を形成するステップと;
    該電極の反対側の基板上にソース/ドレイン領域を形成し、更に、ソース/ドレイン領域の間にチャンネル領域を画成するステップと;
    該ソース/ドレイン領域上に直接リンがドープされたシリコンと炭素を含有する該エピタキシャル層を堆積させるステップと;
    を含む、請求項1に記載の方法。
  8. 該プロセスチャンバ内において、温度が700℃以下に維持され、得られた該エピタキシャル膜に含有される全炭素のうち、少なくとも50%が置換型炭素である、請求項7に記載の方法。
JP2012207133A 2006-12-12 2012-09-20 シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成 Active JP5551745B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/609,826 2006-12-12
US11/609,826 US8394196B2 (en) 2006-12-12 2006-12-12 Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009541506A Division JP2010512668A (ja) 2006-12-12 2007-12-10 シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成

Publications (2)

Publication Number Publication Date
JP2013070055A JP2013070055A (ja) 2013-04-18
JP5551745B2 true JP5551745B2 (ja) 2014-07-16

Family

ID=39498574

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009541506A Pending JP2010512668A (ja) 2006-12-12 2007-12-10 シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成
JP2012207133A Active JP5551745B2 (ja) 2006-12-12 2012-09-20 シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009541506A Pending JP2010512668A (ja) 2006-12-12 2007-12-10 シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成

Country Status (4)

Country Link
US (1) US8394196B2 (ja)
JP (2) JP2010512668A (ja)
TW (1) TWI414006B (ja)
WO (1) WO2008073894A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
DE102008030854B4 (de) * 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
US7994015B2 (en) * 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
US8652945B2 (en) * 2011-02-08 2014-02-18 Applied Materials, Inc. Epitaxy of high tensile silicon alloy for tensile strain applications
CN107430994B (zh) 2015-04-10 2022-02-18 应用材料公司 提高选择性外延生长的生长速率的方法
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5177677A (en) * 1989-03-08 1993-01-05 Hitachi, Ltd. Power conversion system
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
EP1772534A3 (en) * 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
KR100713904B1 (ko) 2001-06-29 2007-05-07 주식회사 하이닉스반도체 반도체소자의 제조방법
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100473476B1 (ko) 2002-07-04 2005-03-10 삼성전자주식회사 반도체 장치 및 그 제조방법
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
JP4139306B2 (ja) * 2003-10-02 2008-08-27 東洋炭素株式会社 縦型ホットウォールCVDエピタキシャル装置及びSiCエピタキシャル成長方法
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP2007535147A (ja) 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド インサイチュドープトエピタキシャルフィルム
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US7196005B2 (en) * 2004-09-03 2007-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process with dummy features
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
JP2006253617A (ja) * 2005-02-14 2006-09-21 Toshiba Ceramics Co Ltd SiC半導体およびその製造方法
EP2047514A4 (en) * 2006-07-31 2010-12-01 Vishay Siliconix MOLYBDENUM BARRIER METAL FOR SIC SCHOTTKY DIODE AND METHOD FOR MANUFACTURING THE SAME
US8124473B2 (en) * 2007-04-12 2012-02-28 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
US20080283926A1 (en) * 2007-05-18 2008-11-20 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow

Also Published As

Publication number Publication date
JP2013070055A (ja) 2013-04-18
WO2008073894A1 (en) 2008-06-19
TWI414006B (zh) 2013-11-01
TW200832529A (en) 2008-08-01
US20080138939A1 (en) 2008-06-12
JP2010512668A (ja) 2010-04-22
US8394196B2 (en) 2013-03-12

Similar Documents

Publication Publication Date Title
JP5551745B2 (ja) シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成
US7960236B2 (en) Phosphorus containing Si epitaxial layers in N-type source/drain junctions
JP5808522B2 (ja) シリコンを含有するエピタキシャル層の形成
KR101098102B1 (ko) 실리콘 탄소 에피택셜 층의 선택적 형성
US7897495B2 (en) Formation of epitaxial layer containing silicon and carbon
KR101385635B1 (ko) 실리콘과 탄소를 함유하는 에피택셜 층의 형성과 처리
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
KR101181040B1 (ko) 실리콘 에피택셜막을 형성하는 동안 Cl2 및/또는HCl의 사용
KR100938312B1 (ko) 교번식 가스 공급을 통한 선택적 에피택셜 프로세스
KR101037524B1 (ko) 선택적 증착
JP5115970B2 (ja) 選択エピタキシープロセス制御
US20080132039A1 (en) Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) Formation and treatment of epitaxial layer containing silicon and carbon
KR20070022046A (ko) 선택적인 증착 프로세스들을 이용하여 mosfet 소자를제조하는 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140401

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140522

R150 Certificate of patent or registration of utility model

Ref document number: 5551745

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250