JP2009111368A - シリコン炭素エピタキシャル層の選択形成 - Google Patents

シリコン炭素エピタキシャル層の選択形成 Download PDF

Info

Publication number
JP2009111368A
JP2009111368A JP2008258743A JP2008258743A JP2009111368A JP 2009111368 A JP2009111368 A JP 2009111368A JP 2008258743 A JP2008258743 A JP 2008258743A JP 2008258743 A JP2008258743 A JP 2008258743A JP 2009111368 A JP2009111368 A JP 2009111368A
Authority
JP
Japan
Prior art keywords
source
deposition
silicon
substrate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008258743A
Other languages
English (en)
Other versions
JP2009111368A5 (ja
JP5147629B2 (ja
Inventor
Zhiyuan Ye
イェ ジーイェン
Saurabh Chopra
コプラ サウラブ
Andrew Lam
ラム アンドリュー
Yihwan Kim
キム イーワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009111368A publication Critical patent/JP2009111368A/ja
Publication of JP2009111368A5 publication Critical patent/JP2009111368A5/ja
Application granted granted Critical
Publication of JP5147629B2 publication Critical patent/JP5147629B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Abstract

【課題】nドープシリコンを含有するエピタキシャル層を形成する方法を提供する。
【解決手段】半導体デバイス、例えば金属酸化膜半導体電界効果トランジスタ(MOSFET)デバイスにおけるnドープエピタキシャル層の形成は、プロセスチャンバにおいて第1の温度および圧力で、シリコン源、炭素源およびnドーパントソースを含む堆積ガスに基板を曝すステップと、堆積中よりも高い第2の温度および高い圧力でエッチャントに該基板を曝すステップと、を伴う。
【選択図】なし

Description

背景
[0001]本発明の実施形態は概して、シリコンおよび炭素を含有するエピタキシャル層を選択的に形成する方法および装置に関する。具体的実施形態は、半導体デバイス、例えば金属酸化膜半導体電界効果トランジスタ(MOSFET)デバイスにnドープエピタキシャル層を選択的に形成する方法および装置に関する。
[0002]MOSトランジスタのチャネルを流れる電流量はチャネルにおけるキャリアの移動性に正比例し、高移動性MOSトランジスタの使用によってより多くの電流が流れるようになり、結果としてより高速な回路性能をもたらす。MOSトランジスタのチャネルにおけるキャリアの移動性は、チャネルに機械的応力を生成することによって増大されることが可能である。圧縮歪み下のチャネル、例えばシリコン上に成長されているシリコンゲルマニウムチャネル層が、pMOSトランジスタを提供するのに十分高い正孔移動性を有している。引張り歪み下のチャネル、例えば緩和シリコンゲルマニウム上に成長されている薄いシリコンチャネル層は、nMOSトランジスタを提供するのに十分高い電子移動性を達成する。
[0003]引張り歪み下のnMOSトランジスタチャネルもまた、1つ以上の炭素ドープシリコンエピタキシャル層を形成することによって提供可能であり、これは、pMOSトランジスタにおける圧縮歪みSiGeチャネルに対して相補的であってもよい。したがって、炭素ドープシリコンおよびシリコンゲルマニウムエピタキシャル層が、それぞれnMOSおよびpMOSトランジスタのソース/ドレイン上に堆積可能である。ソースおよびドレインエリアは、選択Siドライエッチングによって平らまたは凹状のいずれかであってもよい。適切に製作される場合、炭素ドープシリコンエピタキシによって被覆されているnMOSソースおよびドレインは引張り応力をチャネルに課し、nMOS駆動電流を増大させる。
[0004]炭素ドープシリコンエピタキシを使用して凹状ソース/ドレインを有するnMOSトランジスタのチャネルにおいて高い電子移動性を達成するためには、選択堆積または事後堆積処理のいずれかによってソース/ドレイン上に炭素ドープシリコンエピタキシャル層を選択的に形成することが望ましい。さらに、炭素ドープシリコンエピタキシャル層が置換C原子を含有して引張り歪みをチャネルに誘導することが望ましい。より大きなチャネル引張り歪みは、炭素ドープシリコン源およびドレインにおける多量の置換C含有量によって達成可能である。
[0005]概して、サブ100nmCOMS(相補的金属酸化膜半導体)デバイスは、接合深度が30nm未満であることを必要としている。選択エピタキシャル堆積はしばしば、シリコン含有材料(例えば、Si、SiGeおよびSi:C)のエピタキシャル層(「エピ層」)をこの接合に形成するために利用される。選択エピタキシャル堆積によって、誘電エリア上のエピタキシャル成長なしで、シリコン堀でのエピ層の成長が可能になる。選択エピタキシは、バイポーラデバイスの隆起ソース/ドレイン、ソース/ドレイン延長部、コンタクトプラグまたはベース層堆積などの半導体デバイス内で使用可能である。
[0006]通常の選択エピタキシプロセスは堆積反応およびエッチング反応を伴う。堆積プロセス中、エピタキシャル層は単結晶表面上に形成されるのに対して、1層の多結晶および/またはアモルファス材料が、既存の多結晶層および/またはアモルファス層などの少なくとも1つの第2の層上に堆積される。堆積およびエッチング反応は、エピタキシャル層および多結晶層に対して相対的に異なる反応速度で同時に生じる。しかしながら、堆積された多結晶/アモルファス層は概してエピタキシャル層より高速のレートでエッチングされる。したがって、エッチャントガスの濃度を変更することによって、正味選択プロセスは、エピタキシ材料の堆積、および多結晶材料の堆積の制限または堆積しないことをもたらす。例えば、選択エピタキシプロセスは、単結晶シリコン表面上にシリコン含有材料のエピ層の形成をもたらすことがあるが、スペーサーには堆積されない。
[0007]シリコン含有材料の選択エピタキシ堆積は、隆起ソース/ドレインおよびソース/ドレイン延長特徴部の形成中、例えばシリコン含有MOSFET(金属酸化膜半導体電界効果トランジスタ)デバイスの形成中に有用な技術となった。ソース/ドレイン延長特徴部は、シリコン表面をエッチングして凹状ソース/ドレイン特徴部を作り、引き続きエッチング表面を、シリコンゲルマニウム(SiGe)材料などの選択成長エピ層で充填することによって製造される。選択エピタキシによってイン・シトゥードーピングによるほぼ完全なドーパント活性が可能になり、事後アニーリングプロセスが省略される。したがって、接合深度は、シリコンエッチングおよび選択エピタキシによって正確に画成可能である。他方、超浅型ソース/ドレイン接合は不可避的に直列抵抗の増大をもたらす。また、シリサイド形成中の接合消費は直列抵抗をさらに増大させる。接合消費を補償するために、隆起ソース/ドレインはエピタキシャルかつ選択的に接合に成長される。通常、隆起ソース/ドレイン層は無ドープシリコンである。
[0008]しかしながら、現在の選択エピタキシプロセスはいくつかの欠点を有している。現在のエピタキシプロセス中の選択率を維持するために、前駆体の化学濃度ならびに反応温度は堆積プロセスを通して調節および調整されなければならない。十分なシリコン前駆体が投与されない場合、エッチング反応が優勢になる場合もあり、またプロセス全体が低速になる。また、基板部材の有害な過剰エッチングが生じることもある。不十分なエッチャント前駆体が投与される場合、堆積反応が優勢になる場合もあり、選択率を低下させて単結晶および多結晶材料を基板表面全体に形成する。また、現在の選択エピタキシプロセスは普通、約800℃、1,000℃またはこれ以上の高反応温度を必要とする。このような高温は、熱収支の観点、および基板表面への考えられる無制御窒化反応ゆえに製作プロセス中は望ましくない。加えて、より高いプロセス温度で通常の選択Si:Cエピタキシプロセスによって組み込まれたC原子の多くはSi格子の非置換(つまり、間質)部位を占める。成長温度を低下させることによって、より高い割合の置換炭素レベルが(例えば、成長温度550℃のほぼ100%で)達成可能であるが、これらの低温での低成長レートはデバイス用途に望ましくなく、またこのような選択処理は低温では可能でない場合もある。
[0009]シリコン炭素エピタキシの製造条件は、異なるドーパントおよびドーパント濃度を有するエピタキシごとに異なる場合もある。堆積中にSi:Cエピタキシに高レベルのドーパント(例えば、1020原子/cmより高い)を組み込むことが重要であるが、これは、堆積中に高レベルのドーパントを組み込むことは、イオン注入などの後続の手順を使用してドーパントレベルを増大させる必要性を低下させるからである。温度、キャリアガスタイプ、堆積ガスタイプ、エッチングガスタイプ、各エッチングの流量、堆積およびキャリアガス、およびチャンバ圧力を含むがこれらに制限されないエピタキシャル製造プロセスにおける広範な変数について考慮すると、具体的なドーパントおよびドーパント濃度を有する特定のエピタキシの具体的な変数の選択および最適化は予測不可能な場合もありうる。したがって、Si:Cエピタキシに高レベルのドーパントを組み込むことは、高品質エピタキシを達成するために多数の変数を変更する必要がある場合がある。重nドープSi:Cエピタキシを形成するプロセスを提供することが望ましい。このような方法はトランジスタデバイスの製造において有用である。
概要
[0010]本発明の一実施形態は、シリコンを含有するエピタキシャル層を形成および処理する方法に関する。他の実施形態は、シリコンおよび炭素を含有するエピタキシャル層を含むトランジスタデバイスを製造する方法に関する。
[0011]本発明の一実施形態によると、基板表面上にシリコン炭素膜をエピタキシャル形成する方法は、単結晶表面および二次表面を含む基板をプロセスチャンバに配置するステップと、約600℃未満の温度およびある堆積圧力で、シリコン源、炭素源およびnタイプドーパントを備える堆積ガスに該基板を曝すステップと、約600℃を超える温度、および該堆積ガスに暴露中の該圧力の少なくとも約10倍の圧力で、塩化水素を備えるエッチングガスに該基板を曝すステップとを備えており、該方法は、該単結晶表面に重ドープnタイプエピタキシの選択堆積をもたらす。
[0012]一実施形態では、該ドーパントはリン源、例えばホスフィンを備えている。他の実施形態では、該ドーパントはヒ素源、例えばアルシンを備えている。該ドーパントは、アルシンおよびホスフィンの組み合わせを備えることもある。一実施形態では、該エッチングガスは塩化水素のみを備えている。該塩化水素は塩化水素源ガスから該チャンバに送出されてもよい。代替的に、該塩化水素は、該チャンバで水素源ガスおよび塩素源ガスを混合する際に、該チャンバに形成されてもよい。
[0013]一実施形態では、該ドーパントレベルは少なくとも約2×1020原子/cmである。一実施形態によると、堆積中の該温度は約575℃〜約600℃の範囲である。一実施形態では、エッチング中の該温度は約600℃〜約650℃の範囲である。
[0014]別の実施形態は、堆積中にジクロロシランを流すステップを含んでいる。別の実施形態では、塩化水素が堆積中に流される。本発明のある実施形態では、該エピタキシャル膜がトランジスタ製造プロセスの製作ステップ中に形成され、該方法はさらに、基板上にゲート誘電体を形成するステップと、該ゲート誘電体上にゲート電極を形成するステップと、該電極の反対側で該基板上にソース/ドレイン領域を形成して、該ソース/ドレイン領域間にチャネル領域を画成するステップとを備えている。
[0015]上記は、本発明のかなり幅広い特徴部および技術的利点について概説してきた。開示されている具体的な実施形態は、本発明の範囲内の他の構造やプロセスを修正または設計するための基礎として容易に利用可能であることが当業者によって認識されるはずである。このような等価構造は、添付の請求項に説明されている本発明の主旨および範囲から逸脱していない点も当業者によって理解されるはずである。
[0016]本発明の上記引用された特徴部が詳細に理解可能になるように、上記簡潔に要約された本発明のより特定的な説明が実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を許容可能であるため、この範囲を制限するものとみなされるべきではない点に注目すべきである。
詳細な説明
[0019]本発明の実施形態は概して、シリコン含有エピタキシャル層を形成および処置するための方法および装置を提供する。具体的な実施形態は、トランジスタの製造中にエピタキシャル層を形成および処置する方法および装置に関係する。
[0020]本明細書で使用されているように、エピタキシャル堆積とは基板上への単結晶層の堆積のことであり、堆積層の結晶構造は基板の結晶構造に一致する。したがって、エピタキシャル層または膜は、基板の結晶構造に一致する結晶構造を有する単結晶層または膜である。エピタキシャル層はバルク基板および多結晶層とは区別される。
[0021]本出願を通して、用語「シリコン含有」材料、化合物、膜または層は、少なくともシリコンを含有する組成を含むものとして解釈されるべきであり、ゲルマニウム、炭素、ホウ素、ヒ素、リン酸ガリウムおよび/またはアルミニウムを含有してもよい。金属、ハロゲンまたは水素などの他の元素が、普通は100万分の1(ppm)濃度のシリコン含有材料、化合物、膜または層に組み込まれてもよい。シリコン含有材料の化合物や合金は、シリコンをSi、シリコンゲルマニウムをSiGe、シリコン炭素をSi:C、シリコンゲルマニウム炭素をSiGeCなどと省略して表されてもよい。この省略は、化学両論関係による化学式も表しておらず、またシリコン含有材料の特定の還元/酸化状態も表していない。
[0022]本発明の1つ以上の実施形態は概して、電子デバイスの製作中に基板の単結晶表面上にシリコン含有材料を選択的かつエピタキシャルに堆積するプロセスを提供する。単結晶表面(例えば、シリコンやシリコンゲルマニウム)、および、アモルファス表面および/または多結晶表面(例えば、酸化膜または窒化膜)などの少なくとも1つの二次表面を含有する基板がエピタキシャルプロセスに曝されて単結晶表面上にエピタキシャル層を形成するが、二次表面上には多結晶層を制限的に形成するか全く形成しない。エピタキシャルプロセスは通常、所望の厚さのエピタキシャル層が成長されるまで、堆積プロセスおよびエッチングプロセスのサイクルを反復するステップを含んでいる。例示的な交互の堆積およびエッチングプロセスは、Selective Epitaxy Process With Alternating Gas Supplyと題された米国特許出願公開第2006/0115934号として公開された、共に譲渡された同時係属米国特許出願第11/001,774号に開示されており、この内容全体は参照して本明細書に組み込まれている。
[0023]1つ以上の実施形態では、堆積プロセスは、少なくとも1つのシリコン源およびキャリアガスを含有する堆積ガスに基板表面を曝すステップを含んでいる。堆積ガスはまた、ゲルマニウムソースおよび/または炭素源ならびにドーパントソースを含んでもよい。特定の実施形態では、堆積ガスは、少なくとも約1×1020原子/cmのnタイプドーパントを含有するエピタキシャル膜をもたらす十分な量のnタイプドーパント前駆体を含有している。具体的な実施形態では、最終的なエピタキシャル膜は少なくとも約2×1020原子/cmのnタイプドーパント、より具体的には少なくとも約5×1020原子/cmのnタイプドーパントを含有している。本明細書で使用されているように、これらのレベルのドーパント濃度はnタイプドーパントによる重ドープと称される。適切なnタイプドーパントの例はP、AsおよびSbを含んでいる。堆積プロセス中、エピタキシャル層が基板の単結晶表面に形成されるのに対して、多結晶/アモルファス層が、誘電体、アモルファスおよび/または多結晶表面などの二次表面に形成され、これは総称して「二次表面」と称される。引き続き、この基板はエッチングガスに曝される。通常、エッチングガスは、塩素ガスや塩化水素などのキャリアガスおよびエッチャントを含んでいる。しかしながら、1つ以上の実施形態によると、出願人は、重ドープnタイプ膜の効果的なエッチングは塩素ガスによるのではなく塩化水素によってのみエッチング可能であると判断した。エッチングガスは堆積プロセス中に堆積されたシリコン含有材料を除去する。エッチングプロセス中、多結晶/アモルファス層はエピタキシャル層より高速なレートで除去される。したがって、堆積およびエッチングプロセスの正味結果は単結晶表面上にエピタキシャル成長シリコン含有材料を形成するが、もしあれば、二次表面上の多結晶/アモルファスシリコン含有材料の成長を最小化する。堆積およびエッチングプロセスのサイクルは、所望の厚さのシリコン含有材料を取得するために、必要ならば反復されてもよい。本発明の実施形態によって堆積可能なシリコン含有材料は、シリコン、シリコンゲルマニウム、シリコン炭素、シリコンゲルマニウム炭素、および、ドーパントを含むこれらの変形例を含んでいる。
[0024]このプロセスの一例では、HClガスをエッチャントとして使用することは、重nドープエピタキシに対して、二次表面上の多結晶/アモルファスシリコン含有材料の十分な除去をもたらす。一般的に、エッチャントはしばしば高温の活性化を必要とするため、堆積プロセスはエッチング反応より低い温度で行われることがある。1つ以上の実施形態によると、堆積後の圧力を堆積圧力より少なくとも約10倍、具体的な実施形態では堆積圧力の約20倍以上、より具体的な実施形態では堆積圧力の約30倍以上に高くすることによって、重ドープnタイプエピタキシに対して、約600℃〜約650℃の温度で効果的なエッチングが生じうると判断されている。
[0025]窒素は通常、キャリアガスとしてのアルゴンおよびヘリウムの使用と関連したコストの観点から、好ましいキャリアガスである。窒素は概してアルゴンよりもかなり安価であるにもかかわらず、本発明の1つ以上の実施形態によると、特にメチルシランがシリコン源ガスである実施形態において、アルゴンは好ましいキャリアガスである。窒素をキャリアガスとして使用することによって生じうる欠点は、堆積プロセス中の基板上の材料の窒化である。しかしながら、例えば800℃を超える高温が、このように窒素を活性化させるために必要とされる。したがって、1つ以上の実施形態によると、窒素活性閾値未満の温度で行われるプロセスにおいて、窒素は不活性キャリアガスとして使用可能である。不活性キャリアガスの使用は堆積プロセス中に複数の属性を有している。その1つとして、不活性キャリアガスはシリコン含有材料の堆積レートを増大させることがある。堆積プロセス中に水素はキャリアガスとして使用可能であるが、水素は、水素終端表面を形成するために基板に吸収または反応する傾向を有する。水素終端表面は、むき出しのシリコン表面よりかなりゆっくりとエピタキシャル成長に反応する。したがって、不活性キャリアガスの使用は、堆積反応に悪影響を与えずに堆積レートを増大させる。
[0026]本発明の第1の実施形態によると、堆積およびパージの交互ステップによるブランケットまたは非選択エピタキシは、連続堆積と比較して高次のシランを使用して成長されたエピタキシャル膜の結晶性の向上をもたらす。本明細書で使用されているように、「高次シラン」とは、シランまたはより高次のシラン前駆体のことである。特定の具体的実施形態では、「高次シラン」とは、ジシラン、ネオペンタシラン(NPS)またはこれらの混合物のことである。例示的プロセスは、基板をプロセスチャンバにロードするステップと、プロセスチャンバ内の条件を所望の温度および圧力に調整するステップとを含んでいる。そして、堆積プロセスは、基板の単結晶表面上にエピタキシャル層を形成するために開始される。次いで堆積プロセスは終了される。次いでエピタキシャル層の厚さが判断される。所定の厚さのエピタキシャル層が達成されると、エピタキシャルプロセスは終了される。しかしながら、所定の厚さが達成されないと、堆積およびパージステップが、所定の厚さが達成されるまで、1サイクルとして反復される。この例示的プロセスに関するさらなる詳細について後述する。
[0027]基板は非パターニングまたはパターニングされてもよい。パターン化基板は、基板表面中に、またはこの上に電子部材を含む基板である。パターン化基板は普通、単結晶表面と、誘電体、多結晶またはアモルファス表面などの非単結晶の少なくとも1つの二次表面とを含有している。単結晶表面は、むき出しの結晶基板、あるいは、シリコン、シリコンゲルマニウムまたはシリコン炭素などの材料から普通形成される堆積単結晶層を含む。多結晶またはアモルファス表面は、酸化物や窒化物などの誘電材料、特に酸化シリコンや窒化シリコンならびにアモルファスシリコン表面を含むことがある。
[0028]基板をプロセスチャンバにロードした後、プロセスチャンバの条件は所定の温度および圧力に調整される。温度は特定の実行プロセスに調整される。概して、プロセスチャンバは、堆積中は約600℃未満、エッチング中は約600℃以上の温度に維持される。エピタキシャルプロセスを行う適切な温度は、シリコン含有物を堆積するために使用される特定の前駆体に左右されることがある。一例では、とりわけ圧力が、堆積中に使用される圧力の少なくとも約10倍増大されている場合、塩化水素(HCl)ガスは重nドープシリコン含有材料のエッチャントとしてうまく機能することが分かっている。
[0029]プロセスチャンバは普通、堆積中約0.1トール〜50トールの圧力に維持される。一実施形態では、堆積圧力は約10トールに維持される。この圧力は、プロセスステップ中またはこの間に変動することもあるが、概して一定に維持される。エッチング中、チャンバの圧力は、堆積中に使用される圧力の少なくとも約10倍まで高くされる。
[0030]堆積プロセス中、基板は堆積ガスに曝されてエピタキシャル層を形成する。基板は、約0.5秒〜約30秒、例えば約1秒〜約20秒、より具体的には約5秒〜約10秒間堆積ガスに曝される。具体的な実施形態では、堆積ステップは約10〜11秒間続く。堆積プロセスの具体的な暴露時間は、後続のエッチングプロセス中の暴露時間、ならびにこのプロセスで使用される特定の前駆体および温度に関連して判断される。概して、基板は、最大厚のエピタキシャル層を形成するのに十分長い時間堆積ガスに曝される。
[0031]1つ以上の実施形態では、堆積ガスは少なくとも1つのシリコン源およびキャリアガスを含有しており、また炭素源および/またはゲルマニウムソースなどの少なくとも1つの二次元素ソースを含有してもよい。また、堆積ガスはさらに、ホウ素、ヒ素、リン、ガリウムおよび/またはアルミニウムなどのドーパント源を提供するためのドーパント化合物を含むことがある。代替実施形態では、堆積ガスは、塩化水素などの少なくとも1つのエッチャントを含むことがある。塩化水素は、塩化水素ガスとして、あるいは、HClを形成するためにチャンバで反応される個別の水素ガスおよび塩素ガスとして送出されてもよい。
[0032]シリコン源は普通、約5sccm〜約500sccm、好ましくは約10sccm〜約300sccm、より好ましくは約50sccm〜約200sccmの範囲のレート、例えば約100sccmで圧力チャンバに提供される。具体的な実施形態では、シランが約60sccmで流される。シリコン含有化合物を堆積するための堆積ガスで有用なシリコン源はシラン、ハロゲン化シランおよび有機シランを含んでいる。シラン類は、シラン(SiH)と、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)およびネオペタンシランなどの、実験式Si(2x+2)の高次シランを含んでいる。ハロゲン化シランは、ヘキサクロロジシラン(SiCl)、テトラクロロシラン(SiCl)、ジクロロシラン(ClSiH)およびトリクロロシラン(ClSiH)などの、実験式X’Si(2x+2−y)(式中X’=F、Cl、BrまたはIである)の化合物を含んでいる。有機シランは、メチルシラン((CH)SiH)、ジメチルシラン((CHSiH)、エチルシラン((CHCH)SiH)、メチルジシラン((CH)Si)、ジメチルジシラン((CHSi)およびヘキサメチルジシラン((CHSi)などの、実験式RSi(2x+2−y)(式中、R=メチル、エチル、プロピルまたはブチルである)の化合物を含んでいる。有機シラン化合物は、堆積されたシリコン含有化合物に炭素を組み込んでいる実施形態では好都合なシリコン源ならびに炭素源であることが分かっている。1つ以上の実施形態によると、アルゴン含有キャリアガスにおけるメチルシランは、シリコン含有ソースおよびキャリアガスの好ましい組み合わせである。
[0033]シリコン源は普通、キャリアガスとともにプロセスチャンバに提供される。キャリアガスは、約1slm(標準リットル毎分)〜約100slm、例えば約5slm〜約75slm、より具体的には約10slm〜約50slmの流量、例えば約10slmを有している。キャリアガスは、窒素(N)、水素(H)、アルゴン、ヘリウムおよびこれらの組み合わせを含んでもよい。不活性キャリアガスが好ましく、これは窒素、アルゴン、ヘリウムおよびこれらの組み合わせを含んでいる。キャリアガスは、エピタキシャルプロセス中に使用される(複数の)前駆体および/またはプロセス温度に基づいて選択されてもよい。普通キャリアガスは、堆積およびエッチングステップの各々について同じである。しかしながら、一部の実施形態は、特定のステップにおいて異なるキャリアガスを使用することがある。
[0034]通常、窒素は、低温(例えば、800℃未満)プロセスを特徴とする実施形態ではキャリアガスとして利用される。低温プロセスは、部分的にはエッチングプロセスにおける塩素ガスの使用によって到達可能である。窒素は、低温堆積プロセス中不活性のままである。したがって、窒素は、低温プロセス中、堆積されたシリコン含有材料には組み込まれない。また、窒素キャリアガスは、水素キャリアガスとは異なり、水素終端表面を形成しない。基板表面への水素キャリアガスの吸収によって形成された水素終端表面はシリコン含有層の成長速度を阻害する。最後に、窒素は水素やアルゴン、ヘリウムよりもかなり安価であるため、低温プロセスは、キャリアガスとしての窒素に経済的利点を有する。この経済的利点にもかかわらず、特定の実施形態によると、アルゴンが好ましいキャリアガスである。
[0035]使用されている堆積ガスもまた、炭素源および/またはゲルマニウムソースなどの少なくとも1つの二次元素ソースを含有している。炭素源は、シリコン炭素材料などのシリコン含有化合物を形成するために、シリコン源およびキャリアガスを具備するプロセスチャンバに堆積中に添加されてもよい。炭素源は普通、約0.1sccm〜約40sccm、例えば約3sccm〜約25sccm、より具体的には約5sccm〜約25sccmの範囲のレート、例えば約10sccmでプロセスチャンバに提供される。炭素源はアルゴンガスまたは窒素ガスに5%希釈され、750sccmのレートで流されてもよい。シリコン含有化合物を堆積するのに有用な炭素源は、エチル、プロピルおよびブチルの有機シラン類、アルキル類、アルケン類およびアルキン類を含んでいる。このような炭素源は、メチルシラン(CHSiH)、ジメチルシラン((CHSiH)、エチルシラン(CHCHSiH)、メタン(CH)、エチレン(C)、エチン(C)、プロパン(C)、プロペン(C)、ブチン(C)などを含んでいる。エピタキシャル層の炭素濃度は、約200ppm〜約5atomic%、好ましくは約1atomic%〜約3atomic%の範囲、例えば約1.5atomic%である。一実施形態では、炭素濃度はエピタキシャル層内でグレーディングされ、好ましくは、エピタキシャル層の最終部分よりもエピタキシャル層の初期部分において低い炭素濃度でグレーディングされてもよい。代替的に、ゲルマニウムソースおよび炭素源は共に、シリコン炭素やシリコンゲルマニウム炭素材料などのシリコン含有化合物を形成するために、シリコン源およびキャリアガスを具備するプロセスチャンバに堆積中に添加されてもよい。
[0036]代替的に、ゲルマニウムソースは、シリコンゲルマニウム材料などのシリコン含有化合物を形成するために、シリコン源およびキャリアガスを具備するプロセスチャンバに添加されてもよい。ゲルマニウムソースは普通、約0.1sccm〜約20sccm、好ましくは約0.5sccm〜約10sccm、より好ましくは約1sccm〜約5sccmの範囲のレート、例えば約2sccmでプロセスチャンバに提供される。シリコン含有化合物を堆積するのに有用なゲルマニウムソースは、ゲルマン(GeH)、高次ゲルマンおよび有機ゲルマンを含んでいる。高次ゲルマンは、ジゲルマン(Ge)、トリゲルマン(Ge)およびテトラゲルマン(Ge10)など、実験式Ge(2x+2)の化合物を含んでいる。有機ゲルマンは、メチルゲルマン((CH)GeH)、ジメチルゲルマン((CHGeH)、エチルゲルマン((CHCH)GeH)、メチルジゲルマン((CH)Ge)、ジメチルジゲルマン((CHGe)およびヘキサメチルジゲルマン((CHGe)などの化合物を含んでいる。ゲルマンおよび有機ゲルマン化合物は、堆積されたシリコン含有化合物、つまりSiGeおよびSiGeC化合物にゲルマニウムおよび炭素を組み込む実施形態において好都合なゲルマニウムソースおよび炭素源であることが分かっている。エピタキシャル層のゲルマニウム濃度は約1atomic%〜約30atomic%の範囲、例えば約20atomic%である。ゲルマニウム濃度はエピタキシャル層内でグレーディングされてもよく、好ましくは、エピタキシャル層の上部よりもエピタキシャル層の下部において高いゲルマニウム濃度でグレーディングされてもよい。
[0037]堆積中に使用される堆積ガスはさらに、ホウ素、ヒ素、リン、ガリウムまたはアルミニウムなどの元素ドーパントのソースを提供するために少なくとも1つのドーパント化合物を含むことがある。ドーパントは堆積されたシリコン含有化合物に、電子デバイスに必要とされるコントロールされた所望の経路の指向性電子流などの種々の導電特徴を提供する。シリコン含有化合物の膜は、所望の導電特徴を達成するために特定のドーパントでドープされる。一例では、シリコン含有化合物は、約1020原子/cm〜約1021原子/cmの範囲の濃度に、例えばリン、アンチモンおよび/またはヒ素によってドープされたnタイプである。具体的な実施形態では、ドーパントレベルは約2×1020原子/cmを超える。
[0038]ドーパントソースは普通、約0.1sccm〜約20sccm、例えば約0.5sccm〜約10sccm、より具体的には約1sccm〜約5sccmの範囲のレート、例えば約3sccmで堆積中にプロセスチャンバに提供される。ドーパントはまた、例えば実験式RPH(3−x)(式中、R=メチル、エチル、プロピルまたはブチルであり、x=1、2または3である)のアルシン(AsH)、ホスフィン(PH)およびアルキルホスフィンを含んでもよい。アルキルホスフィンは、トリメチルホスフィン((CH)置換3P)、ジメチルホスフィン((CHPH)、トリエチルホスフィン((CHCH)置換3P)およびジエチルホスフィン((CHCHPH)を含んでいる。アルミニウムおよびガリウムのドーパントソースは、実験式RMX(3−x)(式中、M=AlまたはGa、R=メチル、エチル、プロピルまたはブチル、X=ClまたはF、およびx=0、1、2または3である)で記述されるようなアルキル化および/またはハロゲン化誘導体を含むことがある。アルミニウムおよびガリウムのドーパントソースの例は、トリメチルアルミニウム(MeAl)、トリエチルアルミニウム(EtAl)、塩化ジメチルアルミニウム(MeAlCl)、塩化アルミニウム(AlCl)、トリメチルガリウム(MeGa)、トリエチルガリウム(EtGa)、塩化ジメチルガリウム(MeGaCl)および塩化ガリウム(GaCl)を含んでいる。
[0039]1つ以上の実施形態によると、堆積プロセスが終了された後、プロセスチャンバはパージガスやキャリアガスで洗い流されてもよく、かつ/またはプロセスチャンバは真空ポンプで真空にされてもよい。パージおよび/または真空プロセスは過剰堆積ガス、反応副生成物および他の汚染物を除去する。例示的実施形態では、プロセスチャンバは、キャリアガスを約5slmで流すことによって約10秒間パージされてもよい。1サイクルの堆積およびパージが多数のサイクルで反復されてもよい。一実施形態では、堆積およびパージサイクルは約90回反復される。
[0040]本発明の別の態様では、ブランケットまたは非選択堆積が、高次シラン(例えば、ジシラン以上)ソースを使用して、例えば約600℃以下の低温で実行される。これは、堆積ステップ(非選択堆積)中に酸化膜および窒化膜などの誘電表面での(多結晶ではなく)アモルファス成長を支援し、これは、後続のエッチングステップによる誘電表面上の層の除去を容易にし、かつ結晶基板上に成長された単結晶層へのダメージを最小化する。
[0041]基板上にエピタキシャル膜を形成する際のネオペンタシランの使用については、Silicon−Containing Layer Deposition with Silicon Compoundと題され、米国特許出願公開第2004/0224089号として公開された、共同出願人による米国特許出願第10/688,797号に説明されており、この内容全体は参照して本明細書に組み込まれている。ネオペンタシラン((SiHSi)は、シリコン原子に結合されている4つのシリル(−SiH)基を含有する第3級シランである。高次シランの使用は、低温でのより高い堆積レートを可能にし、また炭素を組み込んでいるシリコン含有膜については、シリコン源ガスとしてモノシランを使用するよりも多くの置換炭素原子の組み込みを可能にする。プロセス温度600℃でシリコン源ガスとしてシランを比較し、および、窒素をキャリアガスとして、かつメチルシラン(水素に1%希釈)をシリコン炭素源として使用して行われたブランケット堆積実験において、炭素の50%が堆積膜における置換炭素であった。しかしながら、高次シランによると、ジシランは約90%以上の置換炭素を有する膜を生成し、ネオペンタシランはほぼ100%の置換炭素を有する膜を生成した。
[0042]1つ以上の実施形態では、プロセスチャンバに近接して、例えば約5フィート以内、より具体的にはプロセスチャンバの約2〜3フィート以内に設置されているネオペンタシランアンプルを含む液体ソースキャビネットは、シランソースのより高い送出レート、ひいてはより高い堆積レートを可能にする。
[0043]したがって、本発明の実施形態は、エピタキシャル膜がトランジスタの凹状ソース/ドレインに成長される場合、NタイプMOSFET構造の引張り歪みチャネルを形成するために使用可能な、シリコン含有膜、例えば置換炭素濃度の高い(>1%)Si:C膜に選択エピタキシプロセスを提供する。一般的に、高置換炭素濃度に必要な低温プロセスゆえに、Si:Cエピタキシにおける高置換炭素濃度(>1%)と平滑形態による選択成長の両方を達成することは困難である。本発明の1つ以上の実施形態によると、両方とも達成される
[0044]本発明の別の態様は、Si:C膜のイン・シトゥーリンドーピングおよび選択エピタキシャル堆積の方法に関する。一般的に、シリコン堆積中のイン・シトゥーリンドーピングは結晶膜の成長レートを低下させ、かつエッチングレートを増大させるため、選択率の達成が困難である。言い換えると、誘電表面への成長なしに基板の結晶表面への結晶成長を達成することは困難である。また、イン・シトゥーリンドーピングはエピタキシャル膜の結晶性を劣化させる傾向がある。
[0045]1つ以上の実施形態によると、この方法は順序通りに従うが、このプロセスは、本明細書に説明されているステップに制限されない。例えば、プロセスシーケンスの順序が維持される限り、他のプロセスステップがステップ間に挿入可能である。エピタキシャル堆積の個別ステップについて、1つ以上の実施形態に従って次に説明する。
[0046]実験中、Clエッチャントガスは、約600℃の温度でnタイプドープシリコンに対して極めて攻撃的であることがわかっており、このことは、ガスの能力を高nタイプドープ基板および高nタイプドープシリコン炭素膜での使用に制限する。本発明の実施形態によると、重Pドープシリコン炭素が約600℃未満の低温で堆積された。エッチング中、温度および圧力は、合理的なエッチングレートおよび選択率を取得するために、>1slmのHCl流を使用して高められた。置換炭素レベルが約1.5%を超えている、5×1020原子/cm以上のPの例示的高ドーピングレベルが達成された。普通、このような高レベルのドーピングはイオン注入を必要とする。
[0047]一例では、ネオペンタシラン、シラン、メチルシランおよびPHが混合され、チャンバに送出され、575℃かつ10トールで基板上に非選択的に堆積された。エッチング中、温度は625℃まで高められ、圧力は、堆積圧力のおよそ30倍の300トールに高められた。HClは、誘電表面に堆積されているアモルファス膜をエッチングするために、エッチング中18slmで流された。少なくとも3:1エッチングレート選択率が、エピタキシャル膜とアモルファス膜間で達成された。この手順は、約500〜900オングストロームの所望の厚さの選択的な高Pドープシリコン炭素がオープンシリコンエリアで取得されるまで反復された。>1.3%炭素、95%置換および3×1020原子/cmを超えるリンドーピングレベルの欠陥のないシリコン炭素エピタキシが取得された。
[0048]別の例では、50sccmのジシラン、150sccmのシランおよび200sccmのアルゴン中5%のメチルシラン、60sccmのジクロロシラン(DCS)および225sccmのH中1%のPHが混合されて、非選択堆積中5slmのNキャリアガスを具備するチャンバに送出された。非選択堆積は10トールの圧力で11秒間基板に実行された。エッチング中、温度は625℃まで高められ、圧力は300トールに高められた。エッチング中、18slmのHClが、誘電表面に堆積されているアモルファス膜をエッチングするために使用された。欠陥のないシリコン炭素エピタキシが約30秒のエッチング後に取得された。20回のサイクルによって、置換が約90%以上であり、かつPドーパントが約5.2×1020原子/cm以上である、約1.4%の炭素を含有する厚さ550オングストロームの選択シリコン炭素が非パターン化基板上で取得された。パターン化基板上への同一レシピの反復は、類似の特性および厚さ850オングストロームを有する欠陥のない膜をもたらす。
[0049]さらなる実験は、600℃を超える温度、および堆積圧力の約10倍を超える圧力、つまり100トールは、エッチング中HClによってアモルファス材料を効果的にエッチングするのに十分であることを明らかにした。従来技術において、ジシランは、低いプロセス温度が必要とされるため、Pドープシリコン炭素用途に適しているとは考えられていなかった。このような低温で、ジシランは、十分な堆積レートを提供できないと考えられていた。しかしながら、我々の実験においては、約600オングストローム/分を超える堆積レートが達成された。堆積中のジクロロシランやHClの添加は、米国特許出願公開第US2006/0115933号として公開された米国特許出願第11/227,874号に開示されているように、選択率を促進することが観察された。加えて、高流量のジシランおよびジクロロシラン/HClの添加はパターン化基板への積極的ローディングの助けとなり、基板の結晶部分へのより厚いエピタキシャル層をもたらすことが観察された。
[0050]本発明の1つ以上の実施形態は、相補的金属酸化膜半導体(CMOS)集積回路デバイスを形成する際に特に有用な方法を提供しており、この点について説明される。他のデバイスおよび用途もまた本発明の範囲内である。図1は、通常のCMOSデバイスのFET対の断面図の一部を図示している。デバイス100は、NMOSデバイスおよびPMOSデバイスのソース/ドレイン領域、ゲート誘電体およびゲート電極を提供するための、ウェル形成後の半導体基板を備えている。デバイス100は、単結晶シリコンの成長、およびトレンチエッチングによるシャロートレンチ分離構造の形成、およびトレンチ開口における誘電体の成長または堆積などの従来の半導体プロセスを使用して形成可能である。これら種々の構造を形成するための詳細な手順は当分野では公知であり、本明細書でさらに説明することはしない。
[0051]デバイス100は、半導体基板155、例えばpタイプ材料でドープされているシリコン基板と、基板155上のpタイプエピタキシャルシリコン層165と、エピタキシャル層165に画成されているpタイプウェル領域120およびnタイプウェル領域150と、pウェル120に画成されているnタイプトランジスタ(NMOSFET)110と、nウェル150に画成されているpタイプトランジスタ(PMOSFET)140とを備えている。第1の分離領域158はNMOS110およびPMOS140のトランジスタを電気的に分離し、第2の分離領域160は、トランジスタ110および140の対を、基板155上の他の半導体デバイスから電気的に分離する。
[0052]本発明の1つ以上の実施形態によると、NMOSトランジスタ110はゲート電極122と、第1のソース領域114とドレイン領域116とを備えている。NMOSゲート電極122の厚さは伸縮可能であり、デバイス性能に関する事項に基づいて調整されてもよい。NMOSゲート電極122は、Nタイプデバイスの仕事関数に対応する仕事関数を有している。ソースおよびドレイン領域は、ゲート電極122の反対側のnタイプ領域である。チャネル領域118はソース領域114とドレイン領域116間に介在されている。ゲート誘電層112はチャネル領域118およびゲート電極122を分離する。NMOSゲート電極122および誘電層を形成するプロセスは当分野では公知であるため、本明細書でさらに説明することはしない。
[0053]1つ以上の実施形態によると、PMOSトランジスタ140はゲート電極152と、ソース領域144とドレイン領域146とを備えている。PMOSゲート電極152の厚さは伸縮可能であり、デバイス性能に関する事項に基づいて調整されてもよい。PMOSゲート電極152は、Nタイプデバイスの仕事関数に対応する仕事関数を有している。ソースおよびドレイン領域は、ゲート電極152の反対側のpタイプ領域である。チャネル領域148がソース領域144とドレイン領域146間に介在されている。ゲート誘電体142はチャネル領域148およびゲート電極152を分離する。誘電体142はゲート電極152をチャネル領域148から絶縁する。図2に示され、かつ上記説明されているトランジスタ110および140の構造は単に例示的であり、材料や層の種々の変形例は本発明の範囲内であることが認識される。
[0054]次に図2を参照すると、これは、ソース/ドレイン領域、例えばシリサイド層へのスペーサーや層の形成、およびエッチングストップ191の形成後の、図5のNMOSデバイス110のさらなる詳細についての図を示している。図6に示されているPMOSデバイスは、後述されるように、NMOSデバイスのチャネルに誘導される応力に影響を与えるために寸法および/または組成が調整可能な類似のスペーサーおよび層を含有可能である点が認識される。しかしながら、図示目的で、NMOSデバイスのみが示され、かつ詳細に説明されている。
[0055]図2は、ゲート電極121を含むゲート119周辺に組み込まれている適切な誘電材料から形成可能なスペーサー175を示している。オフセットスペーサー177もまた提供可能であり、これはスペーサー175の各々を囲んでいる。スペーサー175および177の形状、サイズおよび厚さを形成するプロセスは当分野では公知であり、本明細書でさらに説明することはしない。金属シリサイド層179はソース領域114およびドレイン領域116に形成されてもよい。シリサイド層179は、スパッタリングやPVD(物理気相成長法)などの任意の適切なプロセスによって、ニッケル、チタンまたはコバルトなどの適切な金属から形成されてもよい。シリサイド層179は下地表面の一部に拡散することがある。ドレイン領域116の上昇が矢印181で示されており、これは、基板表面180からシリサイド層179の上部までの距離として示されている。ソース/ドレイン領域のファセット183は角度付き表面として示されている。当業者によって理解されるように、上記例示的デバイスは、本明細書に説明されている方法に従ってさらに修正可能なSi:Cエピタキシャル層を有するソース/ドレインまたはソース/ドレイン延長部を含むように修正されてもよい。
[0056]本明細書全体の「一実施形態」、「特定の実施形態」、「1つ以上の実施形態」または「ある実施形態」の参照は、実施形態と関連して説明されている特定の特徴部、構造、材料または特徴が本発明の少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書全体にわたる種々の場所での「1つ以上の実施形態では」、「特定の実施形態では」、「一実施形態では」または「ある実施形態では」などのフレーズの登場は、必ずしも本発明の同一実施形態を参照しているわけではない。さらに、特定の特徴部、構造、材料または特徴は1つ以上の実施形態で適切に組み合わせられてもよい。上記方法の説明順序は制限的であるとみなされるべきではなく、また方法は、異なる順序で、あるいは省略や追加を伴って、説明されている動作を使用してもよい。
[0057]上記説明は事例的であり、制限的ではないことが理解されるべきである。他の多数の実施形態は、上記説明を読めば、当業者には明らかになる。したがって、本発明の範囲は、このような請求項が付与されている等化物の全範囲に伴って、添付の請求項を参照して判断されるべきである。
図1は、本発明の実施形態に従った電界効果トランジスタ対の断面図である。 図2は、追加層をデバイス上に形成している、図1に示されているNMOS電界効果トランジスタの断面図である。
符号の説明
100…デバイス、110…NMOS、112…ゲート誘電層、114…第1のソース領域、116…ドレイン領域、118…チャネル領域、119…ゲート、120…pタイプウェル領域、122…NMOSゲート電極、140…PMOS、142…誘電体、144…ソース領域、146…ドレイン領域、148…チャネル領域、150…nタイプウェル領域、152…ゲート電極、155…半導体基板、160…第2の分離領域、165…pタイプエピタキシャルシリコン層、175、177…スペーサー、179…金属シリサイド層、180…基板表面、183…ファセット、191…エッチングストップ

Claims (18)

  1. 基板表面上にシリコン炭素膜をエピタキシャル形成するための方法であって、
    単結晶表面および二次表面を含む基板をプロセスチャンバに配置するステップと、
    約600℃未満の温度かつある堆積圧力で、シリコン源、炭素源およびnタイプドーパントを備える堆積ガスに前記基板を曝すステップと、
    約600℃を超える温度、および前記堆積ガスへの暴露中の前記圧力の少なくとも約10倍の圧力で、塩化水素を備えるエッチングガスに前記基板を曝すステップと、
    を備える方法であって、
    前記単結晶表面に重ドープnタイプエピタキシの選択堆積をもたらす方法。
  2. 前記ドーパントがリン源およびヒ素源のうちの1つ以上を備える、請求項1に記載の方法。
  3. 前記リン源がホスフィンを備え、前記ヒ素源がアルシンを備える、請求項2に記載の方法。
  4. 前記エッチングガスが塩化水素のみを備える、請求項2に記載の方法。
  5. 前記塩化水素が塩化水素源ガスから前記チャンバに送出される、請求項4に記載の方法。
  6. 前記塩化水素が、前記チャンバにおいて水素源ガスおよび塩素源ガスを混合する際に、前記チャンバに形成される、請求項4に記載の方法。
  7. 前記シリコン源がモノシラン混合物および高次シランから選択される、請求項4に記載の方法。
  8. 前記高次シランがジシランおよびネオペンタシランから選択される、請求項7に記載の方法。
  9. 前記ドーパントレベルが少なくとも約2×1020原子/cmである、請求項2に記載の方法。
  10. 前記ドーパントレベルが少なくとも約5×1020原子/cmである、請求項9に記載の方法。
  11. 堆積中の前記温度が約575℃〜約600℃の範囲である、請求項8に記載の方法。
  12. エッチング中の前記温度が約600℃〜約650℃の範囲である、請求項11に記載の方法。
  13. ジクロロシランが堆積中に流される、請求項12に記載の方法。
  14. 前記炭素源がメチルシランを備える、請求項12に記載の方法。
  15. 塩化水素が堆積中に流される、請求項12に記載の方法。
  16. 前記エピタキシャル膜がトランジスタ製造プロセスの製作ステップ中に形成される方法であって、
    基板上にゲート誘電体を形成するステップと、
    前記ゲート誘電体上にゲート電極を形成するステップと、
    前記電極の反対側で前記基板上にソース/ドレイン領域を形成し、かつ前記ソース/ドレイン領域間にチャネル領域を画成するステップと、
    をさらに備える、請求項1に記載の方法。
  17. 前記エピタキシャル膜がトランジスタ製造プロセスの製作ステップ中に形成される方法であって、
    基板上にゲート誘電体を形成するステップと、
    前記ゲート誘電体上にゲート電極を形成するステップと、
    前記電極の反対側で前記基板上にソース/ドレイン領域を形成し、かつ前記ソース/ドレイン領域間にチャネル領域を画成するステップと、
    をさらに備える、請求項12に記載の方法。
  18. 前記エッチングガスへの暴露中の前記圧力が、前記堆積ガスへの暴露中の前記圧力の少なくとも約30倍である、請求項1に記載の方法。
JP2008258743A 2007-10-05 2008-10-03 シリコン炭素エピタキシャル層の選択形成 Active JP5147629B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/867,933 US7776698B2 (en) 2007-10-05 2007-10-05 Selective formation of silicon carbon epitaxial layer
US11/867,933 2007-10-05

Publications (3)

Publication Number Publication Date
JP2009111368A true JP2009111368A (ja) 2009-05-21
JP2009111368A5 JP2009111368A5 (ja) 2011-11-17
JP5147629B2 JP5147629B2 (ja) 2013-02-20

Family

ID=40459170

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008258743A Active JP5147629B2 (ja) 2007-10-05 2008-10-03 シリコン炭素エピタキシャル層の選択形成

Country Status (6)

Country Link
US (1) US7776698B2 (ja)
JP (1) JP5147629B2 (ja)
KR (1) KR101098102B1 (ja)
CN (1) CN101404250B (ja)
DE (1) DE102008050511B4 (ja)
TW (1) TWI467639B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012041614A (ja) * 2010-08-20 2012-03-01 Shin Etsu Handotai Co Ltd シリコンエピタキシャルウエーハの製造方法
JP2013258188A (ja) * 2012-06-11 2013-12-26 Hitachi Kokusai Electric Inc 基板処理方法と半導体装置の製造方法、および基板処理装置
JP2015005534A (ja) * 2013-06-18 2015-01-08 学校法人立命館 縦型発光ダイオードおよび結晶成長方法
JP2019537840A (ja) * 2016-11-03 2019-12-26 ユ−ジーン テクノロジー カンパニー.リミテッド 低温エピタキシャル層の形成方法

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101660131B (zh) * 2009-09-28 2011-03-09 西安交通大学 一种磁控溅射制备氢化硅薄膜的方法
DE102009053806A1 (de) * 2009-11-18 2011-05-19 Evonik Degussa Gmbh Verfahren zur Herstellung von Siliciumschichten
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
FR2973566A1 (fr) * 2011-04-01 2012-10-05 St Microelectronics Crolles 2 Procédé de formation d'une couche epitaxiee, en particulier sur des régions de source et de drain de transistor a appauvrissement total
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR101371435B1 (ko) 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
US8759916B2 (en) * 2012-01-27 2014-06-24 International Business Machines Corporation Field effect transistor and a method of forming the transistor
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130299895A1 (en) * 2012-05-09 2013-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Iii-v compound semiconductor device having dopant layer and method of making the same
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR101677560B1 (ko) 2014-03-18 2016-11-18 주식회사 유진테크 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9892924B2 (en) 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
WO2016164152A1 (en) 2015-04-10 2016-10-13 Applied Materials, Inc. Method to enhance growth rate for selective epitaxial growth
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6778139B2 (ja) 2017-03-22 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10392725B2 (en) * 2017-09-19 2019-08-27 Frank Asbeck Method for depositing silicon feedstock material, silicon wafer, solar cell and PV module
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
CN114514290B (zh) 2019-10-09 2023-09-29 Dic株式会社 片状氧化铝颗粒和片状氧化铝颗粒的制造方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001189451A (ja) * 1999-12-28 2001-07-10 Toshiba Corp 半導体装置の製造方法
WO2006083909A2 (en) * 2005-02-04 2006-08-10 Asm America, Inc. Method of making substitutionally carbon-highly doped crystalline si-layers by cvd
WO2006104529A2 (en) * 2005-01-04 2006-10-05 Intel Corporation Cmos transistor junction regions formed by a cvd etching and deposition sequence
WO2007109491A2 (en) * 2006-03-17 2007-09-27 Applied Materials, Inc. Selective deposition

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
EP1471168B2 (en) * 2003-04-24 2011-08-10 Norstel AB Device and method for producing single crystals by vapour deposition
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
JP2006351744A (ja) * 2005-06-15 2006-12-28 Fuji Electric Holdings Co Ltd 炭化珪素半導体装置の製造方法
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001189451A (ja) * 1999-12-28 2001-07-10 Toshiba Corp 半導体装置の製造方法
WO2006104529A2 (en) * 2005-01-04 2006-10-05 Intel Corporation Cmos transistor junction regions formed by a cvd etching and deposition sequence
WO2006083909A2 (en) * 2005-02-04 2006-08-10 Asm America, Inc. Method of making substitutionally carbon-highly doped crystalline si-layers by cvd
WO2007109491A2 (en) * 2006-03-17 2007-09-27 Applied Materials, Inc. Selective deposition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012041614A (ja) * 2010-08-20 2012-03-01 Shin Etsu Handotai Co Ltd シリコンエピタキシャルウエーハの製造方法
JP2013258188A (ja) * 2012-06-11 2013-12-26 Hitachi Kokusai Electric Inc 基板処理方法と半導体装置の製造方法、および基板処理装置
JP2015005534A (ja) * 2013-06-18 2015-01-08 学校法人立命館 縦型発光ダイオードおよび結晶成長方法
JP2019537840A (ja) * 2016-11-03 2019-12-26 ユ−ジーン テクノロジー カンパニー.リミテッド 低温エピタキシャル層の形成方法

Also Published As

Publication number Publication date
DE102008050511B4 (de) 2017-02-16
CN101404250B (zh) 2010-10-27
KR101098102B1 (ko) 2011-12-26
US20090093094A1 (en) 2009-04-09
DE102008050511A1 (de) 2009-04-23
TWI467639B (zh) 2015-01-01
US7776698B2 (en) 2010-08-17
KR20090035430A (ko) 2009-04-09
TW200943389A (en) 2009-10-16
CN101404250A (zh) 2009-04-08
JP5147629B2 (ja) 2013-02-20

Similar Documents

Publication Publication Date Title
JP5147629B2 (ja) シリコン炭素エピタキシャル層の選択形成
JP5808522B2 (ja) シリコンを含有するエピタキシャル層の形成
US7960236B2 (en) Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) Formation of epitaxial layer containing silicon and carbon
US7517775B2 (en) Methods of selective deposition of heavily doped epitaxial SiGe
US7312128B2 (en) Selective epitaxy process with alternating gas supply
US8759200B2 (en) Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
US20050079692A1 (en) Methods to fabricate MOSFET devices using selective deposition process
US20060166414A1 (en) Selective deposition
US20080044932A1 (en) Carbon precursors for use during silicon epitaxial film formation
JP5551745B2 (ja) シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成
JP2008205454A (ja) 選択エピタキシープロセス制御

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110930

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110930

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120710

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121004

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121030

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121127

R150 Certificate of patent or registration of utility model

Ref document number: 5147629

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151207

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250