JP2008205454A - 選択エピタキシープロセス制御 - Google Patents

選択エピタキシープロセス制御 Download PDF

Info

Publication number
JP2008205454A
JP2008205454A JP2008019367A JP2008019367A JP2008205454A JP 2008205454 A JP2008205454 A JP 2008205454A JP 2008019367 A JP2008019367 A JP 2008019367A JP 2008019367 A JP2008019367 A JP 2008019367A JP 2008205454 A JP2008205454 A JP 2008205454A
Authority
JP
Japan
Prior art keywords
zone
gas
process chamber
deposition
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008019367A
Other languages
English (en)
Other versions
JP5115970B2 (ja
Inventor
Andrew Lam
ラム アンドリュー
Yihwan Kim
キム イーワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008205454A publication Critical patent/JP2008205454A/ja
Application granted granted Critical
Publication of JP5115970B2 publication Critical patent/JP5115970B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Abstract

【課題】 プロセスチャンバ内に含む基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法が提供される。
【解決手段】 一つ以上の実施形態において、プロセスチャンバの圧力を、基板上に物質を堆積させる間では下げ、基板から物質をエッチングする間では上げる。実施形態によれば、第1ゾーンに流されるガス量と第2ゾーンに流されるガス量の比を得るようにプロセスガスが第1ゾーンと第2ゾーンを通ってチャンバへ流される。一つ以上の実施形態において、第1ゾーンは内部半径方向ゾーンであり、第2ゾーンは外部半径方向ゾーンであり、内部ゾーンガス流と外部ゾーンガス流との比はエッチングの間より堆積の間の方が小さい。一つ以上の実施形態によれば、選択的エピタキシャルプロセスは、エピタキシャル層の所望の厚さが成長するまで、堆積と、その後のエッチングプロセスと、所望によるパージのサイクルを繰り返すステップを含む。

Description

関連出願
[0001]本出願は、2007年1月31日出願の米国特許出願第11/669,550号の恩典を主張し、この開示内容は本明細書に全体で援用されている。
[0002]本発明の実施形態は、一般的には、電子製造プロセスとデバイスの分野に関し、特に、電子デバイスを形成しつつシリコン含有膜を堆積させる方法に関する。
背景
[0003]より小さいトランジスタが製造されるにつれて、極浅ソース/ドレイン接合部が製造の課題になっている。一般に、100nm未満のCMOS(相補型金属酸化物半導体)デバイスは、30nm未満の接合部の深さを必要とする。選択エピタキシャル堆積は、接合部へシリコン含有物質(例えば、Si、SiGe、SiC)のエピ層を形成するためにしばしば用いられる。一般に、選択エピタキシャル堆積は、誘電体領域で成長しないシリコンモウトでエピタキシャル層(“エピ層”)の成長を可能にする。選択エピタキシーは、高ソース/ドレイン、ソース/ドレインエクステンション、コンタクトプラグ又はバイポーラデバイスのベース層堆積のような半導体デバイス内に特徴部を製造するために用いることができる。
[0004]一般に、選択エピタキシープロセスは、堆積反応とエッチング反応を必要とする。堆積反応とエッチング反応は、エピタキシャル層と多結晶層に対して相対的に異なる反応速度で同時に行われる。堆積プロセス中、エピタキシャル層は単結晶表面上に形成され、多結晶層は既存の多結晶層及び/又はアモルファス層のような少なくとも第2層上に堆積される。しかしながら、堆積された多結晶層は、一般に、エピタキシャル層より速い速度でエッチングされる。それ故、エッチングガスの濃度を変えることによって、正味の選択プロセスにより、エピタキシャル物質が堆積され、多結晶物質の堆積が制限されるか又は堆積されない。例えば、選択エピタキシャルプロセスにより、単結晶シリコン表面上にシリコン含有物質のエピ層を形成することができ、スペーサ上には堆積されない。
[0005]シリコン含有物質の選択エピタキシャル堆積は、高ソース/ドレイン特徴部とソース/ドレインエクステンション特徴部の形成の間で、例えば、シリコン含有MOSFET(金属酸化物半導体電界効果トランジスタ)デバイスの形成の間で有用な技術になってきた。ソース/ドレインエクステンション特徴部は、シリコン表面をエッチングして凹部ソース/ドレイン特徴部を作り、続いてエッチングされた表面をシリコンゲルマニウム(SiGe)物質のような、選択的に成長したエピ層で充填することによって製造される。選択エピタキシーは、インサイチュドーピングでほぼ完全なドーパント活性化を可能にするのでポストアニールプロセスが省略される。それ故、接合部の深さは、シリコンエッチングと選択エピタキシーによって正確に画成することができる。一方、極浅ソース/ドレイン接合部は、必然的に、直列抵抗の増加を生じる。また、シリサイド形成の間の接合部消費は、更に直列抵抗を増加させる。接合部消費を補償するために、高ソース/ドレインは、接合部上にエピタキシャル的に且つ選択的に成長する。典型的には、高ソース/ドレイン層はドープされていないシリコンである。
[0006]しかしながら、現在の選択エピタキシープロセスは、幾つかの欠点を持つ。現在のエピタキシャルプロセス中の選択性を維持するために、前駆物質の化学的濃度だけでなく反応温度も、堆積プロセス全体に調整及び調節されなければならない。充分なシリコン前駆物質が加えられない場合には、エッチング反応が優勢になり、プロセス全体の速度が落ちる。また、基板特徴部の有害な過剰エッチングが起こってしまう。充分なエッチング前駆物質が加えられない場合には、堆積反応は、基板表面全体に単結晶物質と多結晶物質を形成する選択性を低下させることが優勢になる。また、現在の選択エピタキシープロセスは、通常、800℃を超え、1000℃以上のような高反応温度を必要とする。このような高温は、熱量の問題と基板表面に対する制御されない可能な窒化反応のために製造プロセス中は望ましくない。更に、約800℃より低い温度で同時に堆積しエッチングする従来の方法におけるプロセスは、許容し得ない低成長速度が生じる。
[0007]それ故、シリコン化合物又はシリコン含有化合物を選択的に且つエピタキシャル的に堆積させる方法が求められている。所望のドーパントとともにこのような化合物を堆積させる方法を与えることが望ましい。更に、高速堆積速度を持ち且つ約800℃以下のようなプロセス温度を維持しつつ、種々の元素濃度を有するシリコン含有化合物を形成する方法は、用途が広いにちがいない。
概要
[0008]一実施形態によれば、基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法は、
a)単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、プロセスチャンバが第1ゾーンと第2ゾーンとを含む前記ステップと;
b)基板をシリコン含有堆積ガスに曝し、プロセスチャンバの圧力を約50トール未満に維持して、単結晶表面上にエピタキシャル層と誘電体表面上に第2物質を形成するステップと;
c)続いて、プロセスチャンバへの堆積ガス流を停止し、プロセスチャンバの圧力を上げ、基板をエッチングガスに曝して、相対的に高いエッチングガス分圧を維持するとともに第2物質をエッチングするステップと;
d)続いて、プロセスチャンバへのエッチングガス流を停止し、プロセスチャンバへパージガス流を流すステップと;
e)ステップb)、c)、d)を順次少なくとも一回繰り返すステップと;
を含む。
[0009]一実施形態において、方法は、更に、第1ゾーンガス流と第2ゾーンガス流との比を得るように第1ゾーンと第2ゾーンへのガス流を制御するスッテプと、ステップb)とステップc)の間で比が異なるように第1ゾーンガス流と第2ゾーンガス流との比を変えるステップとを含む。ある実施形態において、第1ゾーンは内部半径方向ゾーンを含み、第2ゾーンは外部半径方向ゾーンを含み、ガスは、プロセスチャンバへの内部ゾーンガス流と外部ゾーンガス流との比(I/O)を得、基板を堆積ガスに曝す間、I/Oを約1未満に維持し、基板をエッチングガスに曝す間、約1を超えるI/Oに維持する方法で流される。ある実施形態によれば、エッチングガスはプロセスチャンバへほとんど流されず、堆積ガスがプロセスチャンバへ流れる。一つ以上の実施形態において、基板を堆積ガスに曝す間のI/Oは約0.2〜1.0であり、基板をエッチングガスへ曝す間のI/Oは約1.0を超え、約6.0未満である。
[0010]ある実施形態によれば、エッチングガスに曝す間のチャンバの圧力は、基板を堆積ガスに曝す間のチャンバ圧力の少なくとも約2倍である。一つ以上の実施形態において、エッチングガスに曝す間のチャンバ内の圧力は、基板を堆積ガスに曝す間のプロセスチャンバの圧力の約2倍〜約10倍である。一つ以上の実施形態によれば、プロセス中の温度は、約800℃未満、例えば、プロセス全体で約750℃未満に維持される。
[0011]他の実施形態において、基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法は、単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンを含む前記ステップと;シリコン含有堆積ガスを、第1圧力のプロセスチャンバへと第1ゾーンと第2ゾーンへ流して、1未満の第1ゾーンと第2ゾーンの堆積ガス流比を得るステップと;続いて、プロセスチャンバへの堆積ガス流を停止し、プロセスチャンバの圧力を第2圧力に増加させ、エッチングガスを、1を超える第1ゾーンガス流と第2ゾーンガス流とのエッチングガス流比でプロセスチャンバの内部半径方向ゾーンと外部半径方向ゾーンへ流すステップと;続いて、プロセスチャンバへのエッチングガスを停止し、パージガスをプロセスチャンバへ流すステップと;堆積ガスを流し、エッチングガスを流し、パージガスを流す連続ステップをシリコン含有物質が所望の厚さで形成されるまで少なくとも一回繰り返すステップとを含む。一つ以上の実施形態において、エッチングの間の圧力増加により、基板温度が上がり、パージの間に圧力が低下し、結果として基板温度が低下することになる。
[0012]一実施形態において、第2圧力は、第1圧力の少なくとも2倍である。ある実施形態において、第2圧力は、第1圧力の約5〜10倍である。ある実施形態によれば、堆積ガスを流す間の第1ゾーンと第2ゾーンとのガス流比は、約0.2〜1.0である。一つ以上の実施形態において、エッチングガスを流す間の第1ゾーンと第2ゾーンとのガス流比は、約1.0を超え、約6.0未満である。
[0013]他の実施形態において、基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法は、単結晶表面と少なくとも一つの誘電体表面を備える基板をプロセスチャンバに配置するステップであって、プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンを含む前記ステップと;エッチングガスがプロセスチャンバへ流されないプロセスチャンバへシリコン含有ガスを流すことを含む堆積ステップを行うステップと;シリコン含有ガスがプロセスチャンバへ流されないプロセスチャンバへエッチングガスを流すことを含むエッチングステップを行うステップと;パージガスが流されるパージステップを行うことを含み、ここで、単一プロセスサイクルは、堆積ステップと、エッチングステップと、パージステップとを含み、プロセスサイクルは、少なくとも一回繰り返され、ガスは第1ゾーンと第2ゾーンに流されて、プロセスチャンバの圧力と、堆積ステップとエッチングステップとパージステップのそれぞれの間の第1ゾーンと第2ゾーン間のガス流比とを得るように流され、プロセスチャンバの圧力の少なくとも一つ又はガス流比は堆積ステップとエッチングステップで異なる。一実施形態において、プロセスの圧力は、堆積の間はエッチングの間より低い。ある実施形態において、プロセスチャンバのより低い圧力によって、結果として基板温度が低下することになる。
[0014]一実施形態において、第1ゾーンと第2ゾーンとのガス流比は、エッチングステップの間より堆積ステップの間の方が小さい。一実施形態において、第1ゾーンは、プロセスチャンバの内部半径方向ゾーンを含み、第2ゾーンは、チャンバの外部半径方向ゾーンを含む。ある実施形態において、エッチングの間の圧力は、堆積の間の圧力の少なくとも2倍である。一つ以上の実施形態において、プロセスは約800℃未満の温度で行われる。
[0015]本発明の上記特徴が詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、実施形態によって参照されてもよく、それらの一部は添付図面に示されている。しかしながら、添付図面は、本発明の典型的な実施形態のみを示し、それ故、本発明の範囲を制限するとみなされず、本発明は他の同等に有効な実施形態を許容することができることは留意すべきである。
[0019]本発明の幾つかの典型的実施形態を記載する前に、本発明が以下の説明において示される構成又はプロセスステップの詳細に制限されないと理解されるべきである。本発明は、他の実施形態が可能であり、種々の方法で行うことができる。
詳細な説明
[0020]本発明の実施形態は、一般的には、電子デバイスの製造で基板の単結晶表面上にシリコン含有物質を選択的に且つエピタキシャル的に堆積させるプロセスを提供する。単結晶表面(例えば、シリコン又はシリコンゲルマニウム)と少なくとも第2表面、アモルファス表面及び/又は多結晶表面(例えば、酸化物又は窒化物)を含有するパターン形成された基板をエピタキシャルプロセスに曝して、第2表面上に制限された多結晶層を形成するか又は形成せずに、単結晶表面上にエピタキシャル層を形成する。一つ以上の実施形態によれば、エピタキシャルプロセスは、交互ガス供給(AGS)プロセスとも言われ、エピタキシャルプロセスは、エピタキシャル層の所望の厚さに成長するまで堆積プロセスとエッチングプロセスのサイクルを繰り返すことを含む。AGSプロセスは、SelectiveEpitaxy Process With Alternating Gas Supplyと称する米国特許出願公開第2006/0115934号として公開された同時系属中の共同譲渡された米国特許出願第11/001,774号に記載されている。一つ以上の実施形態によれば、交互ガス供給プロセスは、エピタキシャル層の所望の厚さに成長するまで、堆積プロセスと、エッチングプロセスと、パージプロセスのサイクルを繰り返すことを含むのがよい。
[0021]一つ以上の実施形態において、堆積プロセスは、基板表面を少なくともシリコンソースを含有する堆積ガスに曝すことを含む。典型的には、堆積ガスはキャリヤガスも含有する。一つ以上の実施形態において、堆積ガスは、ゲルマニウムソース又はカーボンソースだけでなく、ドーパントソースも含むのがよい。堆積プロセス中、エピタキシャル層は基板の単結晶表面上に形成され、多結晶層はアモルファス及び/又は多結晶表面のような第2表面上に形成される。続いて、基板はエッチングガスに曝される。エッチングガスは、キャリヤガスとエッチング剤、例えば、塩素ガス又は塩化水素を含む。エッチングガスは、堆積プロセス中に堆積されたシリコン含有物質を除去する。ある実施形態によれば、エッチングプロセス中、多結晶層はエピタキシャル層より速い速度で除去される。それ故、堆積プロセスとエッチングプロセスの正味の結果は、第2表面上の多結晶シリコン含有物質の成長をあったとしても最小にしつつ、単結晶表面上にエピタキシャル的に成長したシリコン含有物質を形成する。一つ以上の実施形態において、処理チャンバ内の圧力は、堆積の間よりエッチングの間の方が高い圧力であるように堆積ステップとエッチングステップの間で調整される。一つ以上の実施形態によれば、圧力の増加により、結果として基板温度が上昇することになる。他の実施形態において、処理チャンバのあるゾーンへのガス分配は、エッチングステップと堆積ステップとの堆積の間で調整され異なるのがよい。堆積プロセスとエッチングプロセスのサイクルは、シリコン含有物質の所望の厚さを得ることが必要とされるように繰り返すことができる。本発明の実施形態によって堆積され得るシリコン含有物質としては、シリコン、シリコンゲルマニウム、シリコンカーボン、シリコンゲルマニウムカーボン及びそれらのドーパント変形体が挙げられる。
[0022]プロセスの一実施形態において、エッチング剤としての塩素ガスの使用は、全体のプロセス温度を約800℃未満に下げる。一般に、堆積プロセスは、エッチング剤が活性化されるのにしばしば高温を必要とするので、エッチング反応より低い温度で行われてもよい。例えば、シランはシリコンを約500℃以下で堆積させるために熱分解され、塩化水素が、塩化水素は有効なエッチング剤として作用させるために約700℃以上の活性化温度を必要とする。それ故、塩化水素がプロセスで用いられる場合には、全体のプロセス温度は、エッチング剤を活性化させるために必要なより高い温度によって決定される。塩素は、必要とされる全体のプロセス温度を下げることによって全体のプロセスに貢献する。塩素は、約500℃程度の低い温度で活性化させることができる。それ故、塩素をエッチング剤としてプロセスへ組み込むことによって、全体のプロセス温度をエッチング剤として塩化水素を用いるプロセスよりかなり低下させることができる、例えば、200℃〜300℃低下させることができる。また、塩素は、塩化水素より速くシリコン含有物質をエッチングする。それ故、塩素エッチング剤は、プロセスの全体の速度を増加させる。
[0023]キャリヤガスは、適切なあらゆる不活性ガス又は水素であり得る。アルゴン又はヘリウムのような希ガスが不活性キャリヤガスとして用いることができるが、ある実施形態によれば、窒素は経済的に好適な不活性キャリヤガスである。窒素は、通常は、水素、アルゴン又はヘリウムよりあまり高価でない。キャリヤガスとして窒素を用いることから生じる一つの欠点は、堆積プロセス中の基板上の物質の窒化である。しかしながら、このような方法で窒素を活性化するために800℃を超えるような高温が必要とされる。それ故、一つ以上の実施形態において、窒素は、窒素活性化閾値未満の温度で行われるプロセスで不活性キャリヤガスとして用いることができる。エッチング剤として塩素、また、キャリヤガスとして窒素を用いる組み合わせた効果は、全体のプロセスの速度を著しく増加させる。
[0024]本出願全体に、用語“シリコン含有”物質、化合物、膜又は層は、少なくともシリコンを含有する組成物を含むと解釈されるべきであり、ゲルマニウム、カーボン、ホウ素、ヒ素、リン、ガリウム及び/又はアルミニウムを含有してもよい。金属、ハロゲン又は水素のような他の元素も、通常は百万分の1(ppm)で、シリコン含有物質、化合物、膜又は層内に組み込まれてもよい。シリコン含有物質の化合物又は合金は、シリコンがSi、シリコンゲルマニウムがSiGe、シリコンカーボンがSiC、シリコンゲルマニウムカーボンがSiGeCのような略号によって表されてもよい。略号は、化学量論関係による化学式を表さず、シリコン含有物質のある特定の酸化/還元状態も表してない。
[0025]一つ以上の実施形態によれば、AGSプロセスは、シリコン結晶基板上と誘電体膜上の間の異なる核形成速度とメカニズムを変えるように修正される。本発明の実施形態によれば、堆積反応及び膜エッチング反応間の膜の成長反応の独立した最適化と一連の交互堆積サイクルとエッチングサイクルは、選択性を失わずに高選択成長速度を得るために用いられる。本発明が特定の理論によって制限されるべきでないが、ある実施形態において、誘電体表面上でのシリコン核形成は、サイクルの堆積とエッチングにおいて堆積ガス、エッチングガス、ガス流量分布、基板温度、及びリアクタ圧の一つ以上を変えることによって臨界サイズ未満に抑制されて、堆積速度の大きい選択的プロセスが得られる。個々の実施形態において、膜の堆積ステップの間、リアクタ圧は比較的低く、例えば、圧力制御バルブを完全に開放することによって保たれ、シリコン含有ソースは、いかなるエッチングガスもプロセスチャンバへ導入されずにリアクタへ導入される。圧力が他の手段によってチャンバ内で低下させ得ることは理解される。一つ以上の実施形態によれば、低圧の堆積サイクルは、低い堆積分圧を維持し、熱伝導によってウエハの温度を低下させ、それ故、誘電体膜上の過度の膜核形成を抑制する。
[0026]一つ以上の実施形態において、膜エッチングプロセス中のリアクタ圧は、例えば、圧力制御バルブを完全に閉めることによって増大し、エッチングガス、例えば、HClはリアクタに導入されるが、エッチング中、堆積ガスは流されない。本発明の実施形態によれば、この高圧エッチングサイクルは、高エッチング剤分圧を与え、熱伝導によってウエハの温度を上げ、それ故、膜エッチング効率が高められる。堆積ステップとエッチングステップのサイクル時間を最適化することによって、シリコン基板上のエピタキシャル成長と誘電体膜上に核形成がないこととの間の釣り合いを維持することが可能であり、選択性を失わずに高選択成長速度が得られる。
[0027]シリコン含有層を堆積させるエピタキシャルプロセスの例示的な実施形態は、通常はパターン形成された基板をプロセスチャンバへ装填するステップと、プロセスチャンバ内の条件を所望の温度とに調整するステップとを含む。一つ以上の実施形態によれば、プロセスチャンバの圧力は、例えば、約50トール未満に、比較的低く保たれる。個々の実施形態において、圧力は約20トール以下に低下する。圧力は比較的低く保たれるが、基板のアモルファス及び/又は多結晶表面上に多結晶層を形成しつつ、シリコンソースガスのような堆積ガスを流すことによって堆積プロセスが開始されて、基板の単結晶表面上にエピタキシャル層を形成する。
[0028]一つ以上の実施形態によれば、堆積の間、プロセスチャンバ内の流量分布は、プロセスチャンバの第2ゾーン、例えば、外部半径方向ゾーンより第1ゾーン、例えば、内部半径方向ゾーンに、より多量の堆積ガスが流されるように維持されている。プロセスチャンバの内部半径方向ゾーンと外部半径方向ゾーンは、処理された基板の直径と一致するように選択される。しかしながら、ガスのプロセスチャンバへの流量分布が他の方法で変化させ得ることは理解される。例示的実施形態において、内部半径方向ゾーンは、処理される基板の約半分の直径を持つ基板の同軸の中央ゾーンであるのがよい。そのとき、外部半径方向ゾーンは、内部半径方向ゾーンを取り囲む領域を備える。一例として、300mmの直径を有する円形基板を処理するためにプロセスチャンバにおいて、内部ゾーンは基板の75mm中央領域であり得る。
[0029]図1を参照すると、基板110を含有するプロセスチャンバ100の概略平面図が示される。プロセスチャンバは、基板110を含有する、第1又は内部半径方向ゾーン112と第2又は外部半径方向ゾーン114を含む。ガスソース120は、内部ゾーンガスコンジット122と外部ゾーンガスコンジット124、126と流体で連通している。ガスコンジット122、124、126は、チャンバと流体で連通している分配ポート130に接続することができる。分配ポート130は、一つ以上の内部ゾーンポート132と二つ以上の外部ゾーンポート134、136と連通していてもよい。内部ゾーン計量バルブ142と外部ゾーン計量バルブ144は、内部半径方向ゾーン112と外部半径方向ゾーン114に流れるそのプロセスガス量をそれぞれ制御する。計量バルブ142と144は、内部ゾーンガスコンジット122と外部ゾーンガスコンジット124の直径を小さくするように調整し得る。ガスコンジットの直径を減少させることによって、ゾーンに流れるガス量が減少させることができ、ガスコンジットの直径を大きくすると、ゾーンへ流れるガス量は増加させることができる。このようなガス分配装置は、アプライドマテリアルズ、カリフォルニア州サンタクララから入手できるAccusettTM計量バルブを含むEpiCentura(登録商標)から入手できる。それぞれのゾーンへの流れを減少させる他の方法が用い得ることは理解される。例えば、計量バルブの代わりに、ガス流は、コンジットに流れ込むガス量を調節するマスフローコントローラ又は他の適切なフローコントローラによって制御され得る。更に、チャンバ内の流量分布は、内部半径方向ゾーンと外部半径方向ゾーンの流れを供給する以外の方法で変化させ得る。
[0030]内部半径方向ゾーン112に流れるガス量と外部半径方向ゾーン114に流れるガス量との比は、I/Oで表すことができ、ここで、Iは内部半径方向ゾーン112に流れるガス量であり、Oは外部半径方向ゾーン114に流れるガス量である。一つ以上の実施形態において、堆積の間、I/O比は約1未満である。ある実施形態によれば、I/O比は、約0.2〜1.0、個々の実施形態において、約0.4〜0.8である。
[0031]その後、堆積プロセスを終了し、一つ以上の実施形態によれば、プロセスチャンバの圧力は、例えば、約50トールを超えるより高い圧力に傾斜させるか又は増加させる。一つ以上の実施形態によれば、圧力は、例えば、約100トール以上、約300トールに傾斜させ得る。ある実施形態によれば、プロセスチャンバの圧力の増加により、結果として基板処理装置の温度設定点を変えずに基板温度が上昇することになる。言い換えると、基板の温度は、典型的には加熱ランプである基板加熱素子に供給される電力を変えずに変化させることができる。エッチングガスは、その後、内部半径方向ゾーンと外部半径方向ゾーンへのプロセスチャンバへ流される。一つ以上の実施形態によれば、堆積ガスは流されず、エッチングガスはプロセスチャンバへ流される。本発明の一実施形態において、内部半径方向ゾーンと外部半径方向ゾーンの流量分布は、内部半径方向ゾーンが外部半径方向ゾーンへの流れより大きいように調整される。一つ以上の実施形態によれば、エッチングの間のI/O比は、約1を超え、例えば、約1.0〜6.0、より詳しくは約1.0〜3.0である。好ましくは、多結晶層は、エピタキシャル層より速い速度でエッチングされる。エッチングステップは、エピタキシャル層の境界部のみを除去しつつ、多結晶層を最小にするか又は完全に除去する。エッチングプロセスは、そのとき終了する。エピタキシャル層と多結晶層の厚さは、その後、厚さを測定することによって決定される。エピタキシャル層又は多結晶層の所定の厚さが達成される場合には、エピタキシャルプロセスを終了させる。しかしながら、所定の厚さが達成されない場合には、堆積とエッチングのステップは所定の厚さが達成されるまでサイクルとして繰り返される。
[0032]堆積ステップとエッチングステップのシーケンスは、更に、プロセスチャンバをパージするステップを含んでもよい。パージの後、エピタキシャル層の厚さを決定することができ、必要な場合には、堆積と、エッチングと、所望によるパージステップのシーケンスが繰り返されてもよい。パージステップ中、プロセスチャンバ内の圧力は、エッチング中、チャンバ内に維持される圧力より低下し、幾つかの実施形態によれば、圧力は、堆積の間と同一の圧力に低下させるのがよい。一つ以上の実施形態によれば、プロセスチャンバ内の圧力の減少により、基板温度の急速な低下が生じる。従って、基板温度は、プロセスチャンバの加熱ランプに追加の電力を供給せずにプロセスチャンバ内の温度を変化させることによって制御させ得る。更に、パージ中、I/O比は、内部半径方向ゾーンより外部半径方向ゾーンへパージガス量が大きくなるように調整することができる。
[0033]プロセスシーケンスの例示的詳細は、更にここで記載される。プロセスチャンバへ装填される基板は、典型的にはパターン形成された基板である。パターン形成された基板は、基板表面内に又は上に形成された電子特徴部を含む基板である。パターン形成された基板は、通常、単結晶表面と多結晶面又はアモルファス表面のような非単結晶である少なくとも一つの第2表面を含有する。単結晶表面は、通常はシリコン、シリコンゲルマニウム又はシリコンカーボンのような物質から作られたはだかの結晶基板又は堆積された単一結晶層を含む。多結晶表面又はアモルファス表面は、酸化物、窒化物、詳しくは酸化シリコン又は窒化シリコンだけでなく、アモルファスシリコン表面のような誘電材料を含んでもよい。
[0034]エピタキシャルプロセスは、上述された堆積ステップの間、パターン形成された基板を含有するプロセスチャンバを所定の温度と圧力に調節することによって開始する。温度は行われる具体的なプロセスに調整される。以前のプロセスにおいて、プロセスチャンバは、エピタキシャルプロセス全体に一貫した圧力、温度、流量分布に維持される。しかしながら、本発明の実施形態によれば、温度は堆積ステップ、エッチングステップ、パージステップの間で異なってもよい。一実施形態において、温度はエッチングステップ中で上昇する。堆積中、プロセスチャンバは、約250℃〜約1000℃、詳しくは500℃〜約800℃、より詳しくは約550℃〜約750℃の範囲の温度に保たれる。エピタキシャルプロセスを行うのに適切な温度は、シリコン含有物質の堆積及び/又はエッチングするために用いられる具体的な前駆物質に左右されてもよい。一例において、塩素(Cl)ガスは、より一般的なエッチング剤を用いたプロセスよりも低い温度でシリコン含有物質のエッチング剤として例外的によく作用する。それ故、一実施形態において、プロセスチャンバを予熱するのに適切な温度は、約750℃以下、詳しくは約650℃以下、より詳しくは約550℃以下である。堆積中、プロセスチャンバは、通常、約1トール〜約50トールの圧力で維持される。
[0035]堆積プロセス中、パターン形成された基板を堆積ガスに曝し、第2表面上に多結晶層を形成しつつ、単結晶表面上にエピタキシャル層を形成する。基板は、堆積ガスに約0.5秒〜約30秒、例えば、約1秒〜約20秒、より詳しくは約5秒〜約10秒間曝される。堆積プロセスの個々に曝される時間は、エッチングプロセス中に曝される時間だけでなく、プロセスに用いられる具体的な前駆物質と温度と関係して決定される。一般に、簡単にエッチングすることができる多結晶層の最小の厚さを形成しつつエピタキシャル層の最大にした厚さを形成するのに充分長く基板は堆積ガスに曝される。
[0036]堆積ガスは、少なくともシリコンソースとキャリヤガスを含有し、ゲルマニウムソース及び/又はカーボンソースのような少なくとも一つの第2元素ソースを含有することができる。また、堆積ガスは、更に、ホウ素、ヒ素、リン、ガリウム及び/又はアルミニウムのようなドーパントのソースを供給するためにドーパント化合物を含むことができる。
[0037]シリコンソースは、通常、約5sccm〜約500sccm、例えば、約10sccm〜約300sccm、より詳しくは約50sccm〜約200sccm、例えば、50sccmの範囲の流量でプロセスチャンバに供給される。シリコン含有化合物を堆積させる堆積ガスに有用なシリコンソースとしては、シラン、ハロゲン化シラン及びオルガノシランが挙げられる。シリコン含有化合物を堆積させる堆積ガスに有用なシリコンソースとしては、シラン、ハロゲン化シラン及びオルガノシランが挙げられる。シランとしては、シラン(SiH)及び実験式Si(2x+2)を有する高級シラン、例えば、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)等が挙げられる。ハロゲン化シランとしては、実験式X’Si(2x+2-y)を有する化合物、ここでX’=F、Cl、Br又はI、例えば、ヘキサクロロジシラン(SiCl)、テトラクロロシラン(SiCl)、ジクロロシラン(ClSiH)及びトリクロロシラン(ClSiH)が挙げられる。オルガノシランとしては、実験式RSi(2x+2-y)を有する化合物、ここで、R=メチル、エチル、プロピル、ブチル、例えば、メチルシラン((CH)SiH)、ジメチルシラン((CH)SiH)、エチルシラン((CHCH)SiH)、メチルジシラン((CH)Si)、ジメチルジシラン((CH)Si)及びヘキサメチルジシラン((CH)Si)が挙げられる。オルガノシラン化合物は、シリコンソースだけでなく、堆積されたシリコン含有化合物にカーボンを組み込んでいる実施形態においてカーボンソースも有利であることがわかった。
[0038]シリコンソースは、通常は、キャリヤガスと共にプロセスチャンバへ供給される。キャリヤガスは、約1slm(標準リットル毎分)〜約100slm、例えば、約5slm〜約75slm、より詳しくは約10slm〜約50slm、例えば、約25slmの流量を有する。キャリヤガスとしては、窒素(N)、水素(H)、アルゴン、ヘリウム及びそれらの組合わせが挙げられるのがよい。不活性キャリヤガスは、用いられる一つ又は複数の前駆物質及び/又はエピタキシャルプロセス中のプロセス温度に基づいて選択することができる。キャリヤガスは、堆積とエッチングのステップのそれぞれ全体に同じであってもよい。しかしながら、幾つかの実施形態は、具体的なステップにおいて異なるキャリヤガスを用いることができる。例えば、窒素は、堆積中にシリコンソースと、エッチング中にエッチング剤とのキャリヤガスとして用いることができる。
[0039]窒素は、低温(例えば、<800℃)プロセスを特徴とする実施形態においてキャリヤガスとして用いることができる。低温プロセスは、一部にはエッチングプロセスにおいて塩素ガスの使用により利用しやすい。窒素は、低温堆積プロセス中、不活性のままである。それ故、窒素は、低温プロセス中、堆積されたシリコン含有物質へ組み込まれない。最後に、窒素は水素、アルゴン又はヘリウムよりはるかに安いので、低温プロセスは、キャリヤガスとして窒素を経済的に利用することができる。窒素は幾つかの利点を有するが、本発明はキャリヤガスとしての窒素の使用を限定せず、ハロゲンや希ガスのような他の適切なキャリヤガスを用いることもできる。
[0040]堆積ガスは、また、少なくとも一つの第2元素ソース、例えば、ゲルマニウムソース及び/又はカーボンソースを含有することができる。ゲルマニウムソースは、シリコンゲルマニウム物質のようなシリコン含有化合物を形成するためにシリコンソースとキャリヤガスとともにプロセスチャンバに加えることができる。ゲルマニウムソースは、通常は、約0.1sccm〜約20sccm、例えば、約0.5sccm〜約10sccm、より詳しくは約1ccm〜約5sccm、例えば、約5sccmの範囲の流量でプロセスチャンバへ供給される。シリコン含有化合物を堆積させるのに有用なゲルマニウムソースとしては、ゲルマン(GeH)、高級ゲルマン及びオルガノゲルマンが挙げられる。高級ゲルマンとしては、実験式Ge(2x+2)を有する化合物、例えば、ジゲルマン(Ge)、トリゲルマン(Ge)、テトラゲルマン(Ge10)等が挙げられる。オルガノゲルマンとしては、メチルゲルマン((CH)GeH)、ジメチルゲルマン((CH)GeH)、エチルゲルマン((CHCH)GeH)、メチルジゲルマン((CH)Ge)、ジメチルジゲルマン((CH)Ge)及びヘキサメチルジゲルマン((CH)Ge)のような化合物が挙げられる。ゲルマンや有機ゲルマン化合物は、ゲルマニウムとカーボンを堆積されたシリコン含有化合物、即ち、SiGeとSiGeCの化合物に組み込みつつ実施形態において有利なゲルマニウムソースとカーボンソースであることがわかった。エピタキシャル層内のゲルマニウム濃度は、約1原子%〜約30原子%、例えば、約20%の範囲である。ゲルマニウム濃度は、エピタキシャル層内で段階的であってもよく、好ましくはエピタキシャル層の上部よりエピタキシャル層の下部が高いゲルマニウム濃度で段階的である。
[0041]或いは、カーボンソースは、シリコンカーボン物質のようなシリコン含有化合物を形成するためにシリコンソースとキャリヤガスとともにプロセスチャンバに堆積を加えることができる。カーボンソースは、通常は、約0.1sccm〜約20sccm、例えば、約0.5sccm〜約10sccm、より詳しくは1sccm〜約5sccm、例えば、約2sccmの範囲の流量でプロセスチャンバへ供給される。シリコン含有化合物を堆積させるのに有用なカーボンソースとしては、オルガノシラン、エチル、プロピル及びブチルのアルキル、アルケン及びアルキンが挙げられる。このようなカーボンソースとしては、メチルシラン(CHSiH)、ジメチルシラン((CH)SiH)、エチルシラン(CHCHSiH)、メタン(CH)、エチレン(C)、エチン(C)、プロパン(C)、プロペン(C)、ブチン(C)等が挙げられる。エピタキシャル層のカーボン濃度は、約200ppm〜約5原子%、例えば、約1原子%〜約3原子%、例えば、1.5原子%の範囲である。一実施形態において、カーボン濃度は、エピタキシャル層内で段階的であってもよく、好ましくは、エピタキシャル層の最終部分よりエピタキシャル層の開始部分がより低いカーボン濃度で段階的であってもよい。或いは、ゲルマニウムソースとカーボンソースは共に、堆積の間、シリコン含有化合物、例えば、シリコンカーボン又はシリコンゲルマニウムカーボン物質を形成するためにシリコンソースとキャリヤガスとともにプロセスチャンバへ加えることができる。
[0042]用いられる堆積ガスは、更に、ホウ素、ヒ素、リン、ガリウム又はアルミニウムのような元素ドーパントソースを供給するために少なくとも一つのドーパント化合物を含むことができる。ドーパントは、電子デバイスが必要とする制御され所望される経路において方向電子流のような種々の導電特性を持つ堆積されたシリコン含有化合物を与える。シリコン含有化合物の膜は、所望の導電特性を達成するために特定のドーパントでドープされる。一例において、シリコン含有化合物は、例えば、ジボランを用いてホウ素を約1015原子/cm〜約1021原子/cmの範囲の濃度で加えることによって、p形にドープされる。一例において、p形ドーパントは、少なくとも5×1019原子/cmの濃度を持つ。他の例において、p形ドーパントは、約1×1020原子/cm〜約2.5×1021原子/cmの範囲である。他の例において、シリコン含有化合物は、例えば、約1015原子/cm〜約1021原子/cmの濃度のリン及び/又はヒ素を用いて、n形にドープされる。
[0043]ドーパントソースは、通常は、約0.1sccm〜約20sccm、例えば、約0.5sccm〜約10sccm、より詳しくは約1sccm〜約5sccm、例えば、約2sccmの範囲の流量で堆積の間にプロセスチャンバに供給される。ドーパントソースとして有用なホウ素含有ドーパントとしては、ボラン及びオルガノボランが挙げられる。ボランとしては、ボラン、ジボラン(B)、トリボラン、テトラボラン、及びペンタボランが挙げられ、アルキルボランとしては、実験式RBH(3-x)、ここで、R=メチル、エチル、プロピル又はブチル、x=1、2又は3を有する化合物が挙げられる。アルキルボランとしては、トリメチルボラン((CH)B)、ジメチルボラン((CH)BH)、トリエチルボラン((CHCH)B)及びジエチルボラン((CHCH)BH)が挙げられる。ドーパントとしては、また、アルシン(AsH)、ホスフィン(PH)、及びアルキルホスフィン、例えば、実験式RPH(3-x)、ここで、R=メチル、エチル、プロピル又はブチル、x=1、2又は3が挙げられる。アルキルホスフィンとしては、トリメチルホスフィン((CH)P)、ジメチルホスフィン((CH)PH)、トリエチルホスフィン((CHCH)P)及びジエチルホスフィン((CHCH)PH)が挙げられる。アルミニウム及びガリウムドーパントソースとしては、実験式RMX(3-x)、ここで、M=Al又はGa、R=メチル、エチル、プロピル、ブチル、X=Cl又はF、x=0、1、2又は3で記載されるようなアルキル化及び/又はハロゲン化誘導体が挙げられる。アルミニウム及びガリウムドーパントソースの例としては、トリメチルアルミニウム(MeAl)、トリエチルアルミニウム(EtAl)、塩化ジメチルアルミニウム(MeAlCl)、塩化アルミニウム(AlCl)、トリメチルガリウム(MeGa)、トリメチルガリウム(EtGa)、塩化ジメチルガリウム(MeGaCl)及び塩化ガリウム(GaCl)が挙げられる。
[0044]堆積プロセスが終了した後、一例において、プロセスチャンバは、パージガス又はキャリヤガスでフラッシュするのがよく更に/又はプロセスチャンバは真空ポンプによって排気されるのがよい。パージプロセス及び/又は排気プロセスは、余分な堆積ガス、反応副産物及び他の汚染物質を除去する。他の例において、一旦堆積プロセスが終了すると、プロセスチャンバのパージ又は排気せずにエッチングプロセスがただちに開始される。
[0045]エッチングプロセスは、堆積の間にシリコン含有物質を堆積した基板表面から除去する。エッチングプロセスは、エピタキシャル物質又は単結晶物質とアモルファス物質又は多結晶物質の双方を除去する。基板表面上に堆積された多結晶層があるとすれば、エピタキシャル層より速い速度で除去される。エッチングプロセスの継続時間は、堆積プロセスの継続時間と釣り合いがとられて、基板の所望のエリア上に選択的に形成されたエピタキシャル層の正味の堆積が得られる。それ故、堆積プロセスとエッチングプロセスの正味の結果は、多結晶シリコン含有物質の成長があるとすれば最小にしつつ、選択的に且つエピタキシャル的に成長したシリコン含有物質を形成することである。
[0046]エッチング中、基板は、約10秒〜約90秒、例えば、約20秒〜約60秒、より詳しくは30秒〜約45秒間の範囲の時間、エッチングガスに曝される。エッチングガスは、少なくとも一つのエッチング剤とキャリヤガスとを含む。エッチング剤は、通常は約10sccm〜約700sccm、例えば、約50sccm〜約500sccm、より詳しくは約100sccm〜400sccm、例えば、約200sccmの範囲の速度でプロセスチャンバへ供給される。エッチングガスに用いられるエッチング剤としては、塩素(Cl)、塩化水素(HCl)、三塩化ホウ素(BCl)、四塩化炭素(CCl)、三フッ化塩素(ClF)及びそれらの組合わせが挙げられるのがよい。
[0047]エッチング剤は、通常はキャリヤガスと共にプロセスチャンバへ供給される。キャリヤガスは、約1slm〜約100slm、例えば、約5slm〜約75slm、より詳しくは10slm〜約50slm、例えば、25slmの範囲の流量を有する。キャリヤガスとしては、窒素(N)、水素(H)、アルゴン、ヘリウム及びそれらの組合わせが挙げられるのがよい。幾つかの実施形態において、不活性キャリヤガスが用いられ、窒素、アルゴン、ヘリウム及びそれらの組合わせが挙げられる。キャリヤガスは、一つ又は複数の個々の前駆物質及び/又はエピタキシャルプロセス中に用いられる温度に基づいて選択されるのがよい。
[0048]エッチングプロセスの終了後、一実施形態において、プロセスチャンバはパージガス又はキャリヤガスでフラッシュされるのがよく更に/又はプロセスチャンバは真空ポンプで排気されるのがよい。パージプロセス及び/又は排気プロセスは、余分な堆積ガス、反応副産物及び他の汚染物質を除去する。他の例において、一旦エッチングプロセスが終了すると、パージプロセスは用いられない。プロセスチャンバの圧力は、約50トール未満に、例えば、バージの間で約10トールに下げられるのがよく、ガス流量分布を調整するのがよい。
[0049]エピタキシャル層や多結晶層の厚さは、一つ又はそれ以上の堆積と、エッチングと、所望によるパージステップのサイクルを行った後に決定することができる。所定の厚さが達成される場合には、エピタキシャルプロセスを終了させることができる。しかしながら、所定の厚さが達成されない場合には、堆積とエッチングは、所望の厚さが達成されるまでサイクルとして繰り返される。エピタキシャル層は、通常は約10オングストローム〜約2000オングストローム、詳しくは約100オングストローム〜約1500オングストローム、より詳しくは400オングストローム〜約1200オングストロームの範囲、例えば、約800オングストロームの厚さを持つように成長させる。多結晶層は、通常は、あるとすれば原子層から約500オングストロームの範囲の厚さで堆積される。エピタキシャルシリコン含有層又は多結晶シリコン含有層の所望の又は所定の厚さは、具体的な製造プロセスに特異的である。一例において、エピタキシャル層は所定の厚さに達することができるが、多結晶層は厚くなりすぎる。余分な多結晶層はさらにエッチングすることができる。
[0050]一例において、図2A-2Eに示されるように、ソース/ドレインエクステンションはMOSFETデバイス内に形成され、ここで、シリコン含有層は、基板の表面上にエピタキシャル的に且つ選択的に堆積される。図2Aは、基板230の表面へイオンを注入することによって形成されたソース/ドレイン領域232を示す図である。ソース/ドレイン領域232のセグメントは、ゲート酸化物層235とスペーサ234上に形成されたゲート236によって架橋される。ソース/ドレインエクステンションを形成するために、ソース/ドレイン領域232の一部は、図2Bのように凹部238を得るようにエッチングされ湿式洗浄される。ゲート236のエッチングは、ソース/ドレイン232部分をエッチングする前にハードマスクを堆積することによって回避することができる。
[0051]図2Cは、本明細書に記載されるエピタキシャルプロセスの一実施形態を示す図であり、シリコン含有エピタキシャル層240と所望による多結晶層242は、スペーサ234上に堆積させずに同時に且つ選択的に堆積される。多結晶層242は、所望により、堆積プロセスとエッチングプロセスを調整することによってゲート236上に形成される。或いは、多結晶層242は、エピタキシャル層240がソース/ドレイン領域232上に堆積されるにつれて、ゲート236から連続してエッチングされる。
[0052]他の例として、シリコン含有エピタキシャル層240と多結晶層242は、約1原子%〜約50原子%の範囲、例えば、約24原子%以下のゲルマニウム濃度を有するSiGe含有層である。種々の量のシリコンとゲルマニウムを含有する多層のSiGe含有層は、段階的な元素濃度を持つシリコン含有エピタキシャル層240を形成するように積み重ねられてもよい。例えば、第1SiGe層は、約15原子%〜約25原子%の範囲のゲルマニウム濃度で堆積されるのがよく、第2SiGe層は、約25原子%〜約35原子%の範囲のゲルマニウム濃度で堆積されるのがよい。
[0053]他の例において、シリコン含有エピタキシャル層240と多結晶層242は、約200ppm〜約5原子%、詳しくは約3原子%以下、より詳しくは約1原子%〜約2原子%の範囲、例えば、約1.5原子%のカーボン濃度を有するSiC含有層である。他の実施形態において、シリコン含有エピタキシャル層240と多結晶シリコン層242は、約1原子%〜約50原子%、詳しくは約24原子%以下の範囲のゲルマニウム濃度と約200ppm〜約5原子%、詳しくは約3原子%以下、より詳しくは約1原子%〜約2原子%、例えば、約1.5原子%のカーボン濃度を有するSiGeC含有層である。
[0054]Si、SiGe、SiC又はSiGeCを含有する多層は、シリコン含有エピタキシャル層240内に段階的元素濃度を形成するために種々の順序で堆積させることができる。シリコン含有層は、一般的には約1×1019原子/cm〜約2.5×1021原子/cm、詳しくは約5×1019原子/cm〜約2×1020原子/cmの範囲の濃度を有するドーパント(例えば、ホウ素、ヒ素、リン、ガリウム又はアルミニウム)でドープされる。シリコン含有物質の個々の層に加えられるドーパントは段階的ドーパントを形成する。例えば、シリコン含有エピタキシャル層240は、約5×1019原子/cm3〜約1×1020原子/cmの範囲のドーパント濃度(例えば、ホウ素)を有する第1SiGe含有層と約1×1020原子/cm〜約2×1020原子/cmの範囲のドーパント濃度(例えば、ホウ素)を有する第2SiGe含有層の堆積によって形成される。
[0055]SiC含有層とSiGeC含有層に組み込まれたカーボンは、一般的には、シリコン含有層の堆積の直後の結晶格子の格子間部位に位置する。格子間カーボン含量は、約10原子%以下、例えば、約5原子%以下、より詳しくは約1原子%〜約3原子%、例えば約2原子%である。シリコン含有エピタキシャル層240は、結晶格子の置換部位へ全部でない場合には少なくとも一部の格子間カーボンを組み込むようにアニールすることができる。アニールプロセスは、酸素、窒素、水素、アルゴン、ヘリウム又はそれらの組合せのようなガス雰囲気において急速熱プロセス(RTP)のようなスパイクアニール、レーザアニール又は熱アニールを含むことができる。アニールプロセスは、シリコン含有層が堆積された直後又は基板が耐える種々の他のプロセスステップ直後に行うことができる。
[0056]次のステップ中、図2Dは、スペーサ244、一般的にはスペーサ234上に堆積された窒化物スペーサ(例えば、Si)を示す図である。スペーサ244は、通常は、CVD又はALD技術によって異なるチャンバで堆積される。それ故、基板は、シリコン含有エピタキシャル層240を堆積するために用いたプロセスチャンバから取り出される。二つのチャンバ間の搬送中、基板は周囲条件、例えば、温度、圧力又は水と酸素を含有する大気に曝されてしまう。スペーサ244を堆積させるか又は他の半導体プロセス(例えば、アニール、堆積又は注入)を行う際に、より高い層248を堆積させる前に基板を二度目の周囲条件に曝してしまう。一実施形態において、未変性酸化物が、約5原子%より大きいゲルマニウム濃度で形成されたエピタキシャル層からより、最小ゲルマニウム濃度を含有するエピタキシャル層から除去しやすいので、ゲルマニウムのない又は最小限(例えば、約5原子%未満)のエピタキシャル層(図示せず)を基板を周囲温度に曝す前にエピタキシャル層240の最上部上に堆積させる。
[0057]図2Eは、シリコン含有物質から構成されるより高い層248が、エピタキシャル層240(例えば、SiGeドープされた)上に選択的に且つエピタキシャル的に堆積されている他の例を示す図である。堆積プロセス中、多結晶層242は、ゲート236上で更に成長、堆積又はエッチングされる。
[0058]一実施形態において、より高い層248は、ほとんど又は全くゲルマニウム又はカーボンを含有しないシリコンをエピタキシャル的に堆積させる。しかしながら、代替的実施形態において、より高い層はやはりゲルマニウム及び/又はカーボンを含有する。例えば、より高い層248は約5原子%以下のゲルマニウムを有するのがよい。他の例において、より高い層248は約2原子%以下のカーボンを有するのがよい。より高い層248は、ホウ素、ヒ素、リン、アルミニウム又はガリウムのようなドーパントでドープされてもよい。
[0059]シリコン含有化合物は、バイポーラデバイス製造(例えば、ベース、エミッタ接続)、BiCMOSデバイス製造(例えば、ベース、エミッタ接続)及びCMOSデバイス製造(例えば、チャネル、ソース/ドレイン、ソース/ドレインエクステンション、高ソース/ドレイン、基板、ひずみシリコン、絶縁体上のシリコン及びコンタクトプラグ)に用いられるシリコン含有層を堆積させるプロセスの実施形態の範囲内で用いられる。プロセスの他の実施形態は、ゲート、ベース接続、コレクタ接続、エミッタ接続、高ソース/ドレイン及び他の使用として用い得るシリコン含有層の成長を教示する。
[0060]プロセスは、図3A-図3Cに示されるMOSFETやバイポーラトランジスタにおいて選択的エピタキシャル的シリコン含有層を堆積させるのに極めて有用である図3A-図3Bは、MOSFETデバイス上にエピタキシャル的に成長したシリコン含有化合物を示す図である。シリコン含有化合物は、デバイスのソース/ドレイン特徴部上に堆積される。シリコン含有化合物は、接着し、下にある層の結晶格子から成長し、シリコン含有化合物が所望の厚さに成長されるにつれて、この配置を維持する。図3Aは、凹部ソース/ドレイン層として堆積されたシリコン含有化合物を示す図であり、図3Bは、凹部ソース/ドレイン層とより高いソース/ドレイン層として堆積されたシリコン含有化合物を示す図である。
[0061]ソースドレイン領域312は、イオン注入によって形成される。一般に、基板310は、n形にドープされ、ソース/ドレイン領域312はp形にドープされる。シリコン含有エピタキシャル層313は、ソース/ドレイン領域312及び/又は基板310の真上に選択的に成長する。シリコン含有エピタキシャル層314は、本明細書の様態に従ってシリコン含有層313上に選択的に成長する。ゲート酸化物層318は、セグメントのシリコン含有層313を架橋する。一般に、ゲート酸化物層318は、二酸化シリコン、酸窒化シリコン又は酸化ハフニウムから構成される。スペーサ316は、通常は窒化物/酸化物スタック(例えば、Si/SiO/Si)のような絶縁物質であるゲート酸化物層318を部分的に取り囲んでいる。ゲート層322(例えば、多結晶シリコン)は、図3Aのように垂直の側面に沿った二酸化シリコンのような保護層319を持ってもよい。或いは、ゲート層322は、スペーサ316と両側に配置されたオフセット層320(例えば、Si)を持ってもよい。
[0062]他の例において、図3Cは、バイポーラトランジスタのベース層として堆積されたシリコン含有エピタキシャル層334を示す図である。シリコン含有エピタキシャル層334は、本発明の種々の実施形態において選択的に成長する。シリコン含有エピタキシャル層334は、基板330上に以前に堆積したn形コレクタ層332上に堆積される。トランジスタは、更に、絶縁層333(例えば、SiO又はSi)と、コンタクト層336(例えば、多量にドープされた多結晶Si)と、オフセット層338(Si)と、第2絶縁層340(SiO又はSi)を含む。
[0063]発明の実施形態は、種々の基板上にシリコン含有化合物を堆積させるプロセスを教示する。本発明の実施形態が有用なものである基板としては、結晶シリコン(例えば、Si<100>及びSi<111>)、酸化シリコン、シリコンゲルマニウム、ドープされた又はドープされていないウエハ及びパターン形成された又はパターン形成されていないウエハのような半導体ウエハが挙げられるがこれらに限定されない。基板は、種々の形状(例えば、円形、正方形、矩形)及びサイズ(例えば、OD200mm、OD300mmOD)を持つ。
[0064]一実施形態において、本明細書に記載されるプロセスによって堆積されたシリコン含有化合物は、約0原子%〜約95原子%の範囲のゲルマニウム濃度を含む。他の実施形態において、ゲルマニウム濃度は、約1原子%〜約30原子%、好ましくは約15原子%〜約30原子%の範囲にあり、例えば、約20原子%である。シリコン含有化合物もまた、約0原子%〜約5原子%の範囲のカーボン濃度を含む。他の様態において、カーボン濃度は、約200ppm〜約3原子%の範囲にあり、好ましくは約1.5原子%である。
[0065]ゲルマニウム及び/又はカーボンのシリコン含有化合物膜は、本発明の種々のプロセスによって製造され、一貫性、散在性又は段階的の元素濃度を持ち得る。段階的シリコンゲルマニウム膜は、いずれもアプライドマテリアルズ社に譲渡された米国特許第6,770,134号と米国特許公開第20020174827号として公開された米国特許出願第10/014,466号に開示され、段階的シリコン含有化合物膜を堆積させる方法を記載するために全体で本明細書に援用されている。一例において、シリコンソース(例えば、SiH)とゲルマニウムソース(例えば、GeH)は、シリコンゲルマニウム含有膜を選択的に且つエピタキシャル的に堆積させるために用いられる。この例において、シリコンソースとゲルマニウムソースの比は、段階的膜を成長させつつ、シリコンやゲルマニウムのような元素濃度の制御を供給するために変えることができる。他の例において、シリコンソースとカーボンソース(例えば、CHSiH)は、シリコンカーボン含有膜を選択的に且つエピタキシャル的に堆積させるように用いられる。シリコンソースとカーボンソースの比は、一様な又は段階的な膜を成長させつつ、元素濃度を制御するために変えることができる。他の例において、シリコンソースとゲルマニウムソースとカーボンソースは、シリコンゲルマニウムカーボン含有膜を選択的に且つエピタキシャル的に堆積させるために用いられる。シリコンソースとゲルマニウムソースとカーボンソースの比は、一様な又は段階的な膜を成長させつつ、元素濃度を制御するために独立して変えられる。
[0066]本明細書に記載されるプロセスによって形成されたMOSFETデバイスは、PMOS要素又はNMOS要素を含有することができる。p形チャネルを有するPMOS要素は、チャネル伝導に関与する正孔を持ち、n形チャネルを有するNMOS要素はチャネル伝導に関与する電子を持つ。それ故、例えば、SiGeのようなシリコン含有物質は、PMOS要素を形成するために凹部領域に堆積させることができる。他の例において、SiCのようなシリコン含有膜は、NMOS要素を形成するために凹部領域に堆積させることができる。SiGe物質は、幾つかの理由でPMOS応用に用いられる。SiGe物質は、シリコンのみより多くのホウ素を組み込み、それにより接合抵抗は低くなることがある。また、基板表面でのSiGe/シリサイド層接合面は、Si/シリサイド接合面よりもショットキーバリヤが低い。
[0067]更に、シリコンの最上面上にエピタキシャル的に成長したSiGeは、SiGeの格子定数がシリコンより大きいことから膜内に圧縮応力を持つ。圧縮応力を横方向に移して、PMOSチャネルにおける圧縮ひずみを形成するとともにホールの移動度を増加させる。NMOS応用にについて、SiCの格子定数がシリコンより小さいので、チャネル内に引張応力を生じるようにSiCを凹部領域に使用し得る。引張応力は、チャネルに移され、電子移動度を増加させる。それ故、一実施形態において、第1シリコン含有層は第1格子ひずみ値で形成され、第2シリコン含有層は第2格子ひずみ値で形成される。例えば、厚さが約50オングストローム〜約200オングストロームのSiC層は、基板表面上に堆積され、連続して、厚さが約150オングストローム〜約1000オングストロームのSiGe層をSiC層上に堆積させる。SiC層は、エピタキシャル的に成長させることができ、SiC層上にエピタキシャル的に成長したSiGeよりひずみが小さい。
[0068]本明細書に記載される実施形態において、シリコン含有化合物膜は、化学気相堆積(CVD)プロセスによって選択的に且つエピタキシャル的に堆積される。化学気相堆積プロセスには、原子層堆積(ALD)プロセス及び/又は原子層エピタキシー(ALE)プロセスが含まれる。化学気相堆積には、プラズマ援助CVD(PA-CVD)、原子層CVD(ALCVD)、有機金属又は金属有機CVD(OMCVD又はMOCVD)、レーザ援助CVD(LA-CVD)、紫外光CVD(UV-CVD)、ホットワイヤCVD(HWCVD)、減圧CVD(RP-CVD)、超高真空CVD(UHV-CVD)等の多くの技術の使用が含まれる。一実施形態において、好ましいプロセスは、シリコン含有化合物をエピタキシャル的に成長又は堆積させるために熱CVDを用いることであるが、シリコン含有化合物としては、シリコン、SiGe、SiC、SiGeC、それらのドープされた変形体及びそれらの組合せが挙げられる。
[0069]本発明のプロセスは、ALE、CVD及びALDの技術において既知の装置で行うことができる。装置は、プロセスチャンバに入る前に分離された堆積ガスとエッチングガスを維持するために複数のガスラインを含有することができる。その後、ガスは、シリコン含有化合物膜が成長する加熱された基板と接触する。シリコン含有膜を堆積させるために使用し得るハードウエアとしては、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できるEpiCentura.RTM.システムやPoly Gen(登録商標)システムが挙げられる。ALD装置は、2001年12月21日出願の米国特許公開第20030079686号として公開され、アプライドマテリアルズ社に譲渡され、“GasDelivery Apparatus and Methods for ALD”と称する米国特許出願第10/032,284号に開示され、装置を記載するために本明細書に全体で援用されている。
[0070]いかなる方法によっても本発明を制限することなく、以下の実施例によって本発明をより充分に記載する。
実施例1
[0071]凹部構造を持つ基板と凹部構造を持たない基板の二タイプのパターン形成基板へのシリコンとSiGeの選択的エピタキシー。各タイプの基板を、AccusettTM計量バルブを持つEPICentura RP処理チャンバに挿入した。SiGe層を、従来のコフロープロセスを用いるその後の選択的シリコン堆積に“マーカー層”として使用するように選択的に堆積させた。シリコン堆積のためのプロセス条件は以下の通りであった:堆積圧力は10トールであり、シランを50sccmで流し、ジクロロシランを15sccmで流し、水素キャリヤガスを5SLMで流した。上で定義されたI/O比は、計量バルブを用いて100/250に設定した。堆積を750℃で5秒間行った。エッチングステップを行った。プロセスチャンバ圧を約100トールに上げ、HClエッチング剤を5SLMの水素キャリヤガスとともに650sccmで流し、ガスは760℃で6.5秒間250/100のI/O比で流した。その後、圧力を10トールに750℃で10秒間減じ、水素パージガスを100/250のI/O比で流すことによって、プロセスチャンバをパージした。堆積、エッチング、パージのこのようなシーケンスを17回繰り返した。
[0072]選択的シリコン膜は、低密度パターンの凹部を持つ基板の一部に74オングストローム/分の速度で形状が滑らかでファセットがなく巧く成長した。同じプロセスを用いて、高密度パターンの凹部を持つ基板の領域に91オングストローム毎分の成長速度が得られた。これらの成長速度と膜特性は、エッチングガスと堆積ガスを同時に流す従来の方法を用いて800℃の温度で得られる結果に匹敵する。プロセスパラメータの制御によって、より低いプロセス圧で高成長速度が得られた。
実施例2
[0073]例1のプロセス条件を、高密度の凹部を持つ基板上に繰り返した。堆積の間、処理チャンバの圧力を5トールに維持し、エッチングの間、圧力を70トールに上げた。パージの間、圧力を5トールに減じた。本実施例で得られた成長速度は、100オングストローム毎分を超えたが、顕微鏡を用いて膜を調べると、すみに小さいファセットプロファイルが示された。
実施例3
[0074]実施例2のプロセス条件を、凹部パターン構造を持たない基板で繰り返したが、本実施例では、窒素をキャリヤガスとして用い、温度を700℃に下げた。得られた成長速度は35オングストローム/分であり、プロセスチャンバへエッチングガスと堆積ガスを同時に流す従来の方法を用いて観測された約12-15オングストローム/分の成長速度の約2倍である。
[0075]本明細書全体で“一実施形態”、“ある実施形態”、“一つ以上の実施形態”又は“実施形態”と述べることは、実施形態に関連して記載されている具体的な特徴、構造、物質、又は特性が本発明の少なくとも一実施形態に含まれていることを意味する。従って、本明細書全体の種々の場所で“一つ以上の実施形態において”、“ある実施形態において”、“一実施形態において”又は“実施形態において”のような語句の出現は、本発明の同じ実施形態を必ずしも意味しない。更に、具体的な特徴、構造、物質、又は特性は、一つ以上の実施形態においていかなる適切な方法でも組み合わせることができる。上記方法の説明の順序は限定とみなすべきでなく、方法は、順序から記載された操作を又は省略又は追加して用いることができる。
[0076]本明細書の本発明を具体的な実施形態によって記載してきたが、これらの実施形態は、単に本発明の原理と応用を示していることは理解されるべきである。種々の修正や変更が、本発明の精神と範囲から逸脱することなく本発明の方法及び装置になされ得ることは当業者に明らかである。従って、本発明は、添付の特許請求の範囲及びそれらの同等物の範囲にある修正や変更を含むものである。
図1は、本発明による処理チャンバとガス分配システムの概略平面図である。 図2Aは、MOSFETにおけるソース/ドレインエクステンションデバイスの製造技術を示す概略図である。 図2Bは、MOSFETにおけるソース/ドレインエクステンションデバイスの製造技術を示す概略図である。 図2Cは、MOSFETにおけるソース/ドレインエクステンションデバイスの製造技術を示す概略図である。 図2Dは、MOSFETにおけるソース/ドレインエクステンションデバイスの製造技術を示す概略図である。 図2Eは、MOSFETにおけるソース/ドレインエクステンションデバイスの製造技術を示す概略図である。 図3Aは、本明細書に記載された実施形態を適用することによって選択的に且つエピタキシャル的に堆積されたシリコン含有層を有する幾つかのデバイスを示す図である。 図3Bは、本明細書に記載された実施形態を適用することによって選択的に且つエピタキシャル的に堆積されたシリコン含有層を有する幾つかのデバイスを示す図である。 図3Cは、本明細書に記載された実施形態を適用することによって選択的に且つエピタキシャル的に堆積されたシリコン含有層を有する幾つかのデバイスを示す図である。
符号の説明
100…プロセスチャンバ、110…基板、112…内部半径方向ゾーン、114…外部半径方向ゾーン、120…ガスソース、122…内部ゾーンガスコンジット、124、126…外部ゾーンガスコンジット、130…分配ポート、132…内部ゾーンポート、134、136…外部ゾーンポート、142…内部ゾーン計量バルブ、144…外部ゾーン計量バルブ、230…基板、232…ソース/ドレイン領域、234…スペーサ、235…ゲート酸化物層、236…ゲート、238…凹部、240…エピタキシャル層、242…多結晶層、244…スペーサ、248…より高い層、310…基板、312…ソース/ドレイン領域、313…エピタキシャル層、314…エピタキシャル層、316…スペーサ、318…ゲート酸化物層、320…オフセット層、322…ゲート層、330…基板、333…絶縁層、334…エピタキシャル層、336…コンタクト層、338…オフセット層、340…第2絶縁層。

Claims (20)

  1. 基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法であって、
    a)単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、該プロセスチャンバが第1ゾーンと第2ゾーンとを含む前記ステップと;
    b)該基板をシリコン含有堆積ガスに曝し、該プロセスチャンバの圧力を約50トール未満に維持して、該単結晶表面上にエピタキシャル層を、また、該誘電体表面上に第2物質を形成するステップと;
    c)続いて、該プロセスチャンバへの堆積ガス流を停止し、該プロセスチャンバの圧力を上げ、該基板をエッチングガスに曝して、相対的に高いエッチングガス分圧を維持するとともに第2物質をエッチングするステップと;
    d)続いて、該プロセスチャンバへのエッチングガス流を停止し、該プロセスチャンバへパージガスを流すステップと;
    e)ステップb)、c)、d)を順次少なくとも一回繰り返すステップと;
    を含む前記方法。
  2. 該第1ゾーンと該第2ゾーンへの該ガス流を制御して、第1ゾーンガス流と第2ゾーンガス流との比を得、該比がステップb)とステップc)で異なるように第1ゾーンガス流と第2ゾーンガス流との比を変えるステップを更に含む、請求項1に記載の方法。
  3. 該第1ゾーンが内部半径方向ゾーンを含み、該第2ゾーンが外部半径方向ゾーンを含み、該ガスがプロセスチャンバへの内部ゾーンガス流と外部ゾーンガス流との比(I/O)を得る方法で流され、該基板を該堆積ガスに曝す間、I/Oを約1未満に維持し、該基板を該エッチングガスに曝す間、約1を超えるI/Oに維持する、請求項2に記載の方法。
  4. エッチングガスが該プロセスチャンバへほとんど流されず、該堆積ガスが該プロセスチャンバへ流れる、請求項3に記載の方法。
  5. 該基板を該堆積ガスに曝す間の該I/Oが約0.2〜1.0であり、該基板を該エッチングガスへ曝す間の該I/Oが約1.0を超え、約6.0未満である、請求項3に記載の方法。
  6. 該エッチングガスに曝す間、該プロセスチャンバの圧力の増加によって該基板温度が上がり、該パージガスに曝す間、該チャンバ圧が低下し、それにより、該基板温度が下がる、請求項1に記載の方法。
  7. 該エッチングガスに曝す間の該プロセスチャンバの圧力が、該基板を該堆積ガスに曝す間の該プロセスチャンバの圧力の約2〜約10倍である、請求項6に記載の方法。
  8. 該プロセスの温度が、全プロセス中、約800℃未満に維持される、請求項6に記載の方法。
  9. 該プロセスの温度が、全プロセス中、約750℃未満に維持される、請求項7に記載の方法。
  10. 基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法であって、
    単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、該プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンとを含む、前記ステップと;
    シリコン含有堆積ガスを第1圧力で該プロセスチャンバへ、また、該第1ゾーンと第2ゾーンへ流すステップであって、該第1ゾーンと該第2ゾーンとの堆積ガス流比が1未満である、前記ステップと;
    続いて、該プロセスチャンバへの堆積ガス流を停止し、該プロセスチャンバの圧力を第2圧力に上げ、エッチングガスをプロセスチャンバの該内部半径方向ゾーンと外部半径方向ゾーンへ1を超える該第1ゾーンガス流と第2ゾーンガス流とのエッチングガス流比で流すステップと;
    続いて、該プロセスチャンバへのエッチングガス流を停止し、該プロセスチャンバへパージガス流を流すステップと;
    該堆積ガスを流し、該エッチングガスを流し、該パージガスを流す連続ステップを、所望の厚さを有するシリコン含有物質が形成されるまで少なくとも一回繰り返すステップと;
    を含む前記方法。
  11. 該エッチングガスに曝す間、該プロセスチャンバの圧力の増加によって該基板温度が上がり、該パージガスに曝す間、該チャンバ圧を低下させ、それにより、該基板温度が下がる、請求項10記載の方法。
  12. 第2圧力が第1圧力の約2〜10倍である、請求項11に記載の方法。
  13. 該堆積ガスを流す間、該第1ゾーンと該第2ゾーンとのガス流比が約0.2〜1.0である、請求項12に記載の方法。
  14. 該エッチングガスを流す間、該第1ゾーンと該第2ゾーンとのガス流比が、約1.0を超え、約6.0未満である、請求項12に記載の方法。
  15. 基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法であって、
    単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、該プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンとを含む前記ステップと;
    エッチングガスが該プロセスチャンバへ流されていない該プロセスチャンバへシリコン含有ガスを流す工程を含む堆積ステップを行うステップと;
    シリコン含有ガスが該プロセスチャンバへ流されていない該プロセスチャンバへエッチングガスを流す工程を含むエッチングステップを行うステップと;
    パージガスが流されるパージステップを行うステップであって、単一プロセスサイクルが堆積ステップとエッチングステップとパージステップとを含み、該プロセスサイクルを少なくとも一回繰り返し、ガスを該第1ゾーンと第2ゾーンに流して、該堆積ステップとエッチングステップとパージステップのそれぞれの間の該プロセスチャンバの圧力と該第1ゾーンと第2ゾーンとのガス流比を得、該プロセスチャンバの圧力の少なくとも一つと該ガス流比が該堆積ステップと該エッチングステップの間で異なる、前記ステップと;
    を含む前記方法。
  16. 該プロセスチャンバの圧力が、エッチングの間より該堆積の間の方が低く、結果としてエッチングの間より堆積の間の方が基板温度が低くなる、請求項15に記載の方法。
  17. 該第1ゾーンと該第2ゾーンのガス流比が、該エッチングステップの間より該堆積ステップの間の方が小さい、請求項16に記載の方法。
  18. 該第1ゾーンが該プロセスチャンバの内部半径方向ゾーンを備え、該第2ゾーンが該チャンバの外部半径方向ゾーンを備える、請求項17に記載の方法。
  19. エッチングの間の圧力が、堆積の間の圧力の少なくとも2倍である、請求項17に記載の方法。
  20. 約800℃未満の温度でプロセスが行われる、請求項19に記載の方法。
JP2008019367A 2007-01-31 2008-01-30 選択エピタキシープロセス制御 Expired - Fee Related JP5115970B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/669,550 US9064960B2 (en) 2007-01-31 2007-01-31 Selective epitaxy process control
US11/669,550 2007-01-31

Publications (2)

Publication Number Publication Date
JP2008205454A true JP2008205454A (ja) 2008-09-04
JP5115970B2 JP5115970B2 (ja) 2013-01-09

Family

ID=39668471

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008019367A Expired - Fee Related JP5115970B2 (ja) 2007-01-31 2008-01-30 選択エピタキシープロセス制御

Country Status (4)

Country Link
US (1) US9064960B2 (ja)
JP (1) JP5115970B2 (ja)
KR (1) KR100941545B1 (ja)
TW (2) TWI512792B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102114857B1 (ko) * 2018-11-15 2020-05-25 연세대학교 산학협력단 상압 플라즈마를 이용한 선택적 박막 형성 방법

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
DE102009004557B4 (de) * 2009-01-14 2018-03-08 Siltronic Ag Epitaxierte Siliciumscheibe und Verfahren zur Herstellung von epitaxierten Siliciumscheiben
DE102009011622B4 (de) 2009-03-04 2018-10-25 Siltronic Ag Epitaxierte Siliciumscheibe und Verfahren zur Herstellung einer epitaxierten Siliciumscheibe
US9212420B2 (en) * 2009-03-24 2015-12-15 Tokyo Electron Limited Chemical vapor deposition method
DE102009022224B4 (de) * 2009-05-20 2012-09-13 Siltronic Ag Verfahren zur Herstellung von epitaxierten Siliciumscheiben
WO2011047114A1 (en) * 2009-10-15 2011-04-21 Arkema Inc. Deposition of doped zno films on polymer substrates by uv-assisted chemical vapor deposition
DE102012202099A1 (de) 2012-02-13 2013-08-14 Siltronic Ag Verfahren zum Abkühlen von Scheiben aus Halbleitermaterial
WO2014103727A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC膜成膜装置およびSiC膜の製造方法
TW202336855A (zh) * 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
DE102015225663A1 (de) * 2015-12-17 2017-06-22 Siltronic Ag Verfahren zum epitaktischen Beschichten von Halbleiterscheiben und Halbleiterscheibe
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
CN108091588B (zh) * 2016-11-21 2019-05-31 北京北方华创微电子装备有限公司 一种退火工艺方法、工艺腔室及退火设备
US10504723B2 (en) 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US20210327704A1 (en) * 2020-04-16 2021-10-21 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111463115B (zh) * 2020-04-27 2022-05-13 中国电子科技集团公司第四十六研究所 一种肖特基器件用硅外延片的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232060A (ja) * 1992-12-11 1994-08-19 Shin Etsu Handotai Co Ltd シリコンエピタキシャル層の成長方法および成長装置
JP2002057115A (ja) * 2000-08-11 2002-02-22 Samsung Electronics Co Ltd 選択的エピタキシャル成長方法
JP2005183514A (ja) * 2003-12-17 2005-07-07 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2005294690A (ja) * 2004-04-02 2005-10-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2006060543A2 (en) * 2004-12-01 2006-06-08 Applied Materials, Inc. Use of cl2 and/or hcl during silicon epitaxial film formation

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) * 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
US3757733A (en) * 1971-10-27 1973-09-11 Texas Instruments Inc Radial flow reactor
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US4900591A (en) * 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
CA2011563C (en) * 1989-03-08 1994-05-10 Kiyoshi Nakata Power conversion system
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5227330A (en) * 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
KR100331569B1 (ko) 2000-05-30 2002-04-06 윤종용 탄탈륨 산화막을 포함하는 반도체 소자의 커패시터의 제조방법
KR100224707B1 (ko) * 1995-12-23 1999-10-15 윤종용 반도체 장치 커패시터의 제조방법
KR100200705B1 (ko) 1996-06-08 1999-06-15 윤종용 반도체 디바이스 제조장치, 제조장치의 공정 조건 조절방법 및 이를 이용한 커패시터 제조방법
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US20050188923A1 (en) * 1997-08-11 2005-09-01 Cook Robert C. Substrate carrier for parallel wafer processing reactor
JP2002505532A (ja) * 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
US6829242B2 (en) * 1998-06-30 2004-12-07 Cisco Technology, Inc. Method and apparatus for associating PVC identifiers with domain names of home gateways
US6184154B1 (en) * 1999-10-13 2001-02-06 Seh America, Inc. Method of processing the backside of a wafer within an epitaxial reactor chamber
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
KR20050119662A (ko) * 2003-03-28 2005-12-21 코닌클리즈케 필립스 일렉트로닉스 엔.브이. N-도핑된 규소 층의 에피택시얼 증착 방법
JP2005094690A (ja) * 2003-09-19 2005-04-07 Canon Inc 画像形成装置
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
KR100593736B1 (ko) 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
KR100642646B1 (ko) 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
US8021527B2 (en) * 2005-09-14 2011-09-20 Applied Materials, Inc. Coaxial shafts for radial positioning of rotating magnetron
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
US20070246354A1 (en) * 2006-04-19 2007-10-25 Maxim Integrated Products, Inc. Plasma systems with magnetic filter devices to alter film deposition/etching characteristics
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232060A (ja) * 1992-12-11 1994-08-19 Shin Etsu Handotai Co Ltd シリコンエピタキシャル層の成長方法および成長装置
JP2002057115A (ja) * 2000-08-11 2002-02-22 Samsung Electronics Co Ltd 選択的エピタキシャル成長方法
JP2005183514A (ja) * 2003-12-17 2005-07-07 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2005294690A (ja) * 2004-04-02 2005-10-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2006060543A2 (en) * 2004-12-01 2006-06-08 Applied Materials, Inc. Use of cl2 and/or hcl during silicon epitaxial film formation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102114857B1 (ko) * 2018-11-15 2020-05-25 연세대학교 산학협력단 상압 플라즈마를 이용한 선택적 박막 형성 방법

Also Published As

Publication number Publication date
TWI400745B (zh) 2013-07-01
TW201338021A (zh) 2013-09-16
US9064960B2 (en) 2015-06-23
JP5115970B2 (ja) 2013-01-09
KR100941545B1 (ko) 2010-02-10
TW200834678A (en) 2008-08-16
TWI512792B (zh) 2015-12-11
US20080182397A1 (en) 2008-07-31
KR20080071891A (ko) 2008-08-05

Similar Documents

Publication Publication Date Title
JP5115970B2 (ja) 選択エピタキシープロセス制御
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7682940B2 (en) Use of Cl2 and/or HCl during silicon epitaxial film formation
JP5303148B2 (ja) 交互ガス供給による選択的エピタキシープロセス
US7560352B2 (en) Selective deposition
JP5808522B2 (ja) シリコンを含有するエピタキシャル層の形成
KR101170210B1 (ko) 탄소 합금된 si 필름을 사용한 초박형 접합 형성 방법
JP2007537601A (ja) 選択的堆積プロセスを使用したmosfetデバイスの作製方法
JP2013531899A (ja) Si−含有材料および置換的にドーピングされた結晶性si−含有材料の選択エピタキシー
JP2013070055A (ja) シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110125

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120410

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120413

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120510

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120523

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120820

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120911

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121010

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5115970

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151026

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees