CN1456938A - 使用两件式盖子保护模版的系统和方法 - Google Patents

使用两件式盖子保护模版的系统和方法 Download PDF

Info

Publication number
CN1456938A
CN1456938A CN03142306A CN03142306A CN1456938A CN 1456938 A CN1456938 A CN 1456938A CN 03142306 A CN03142306 A CN 03142306A CN 03142306 A CN03142306 A CN 03142306A CN 1456938 A CN1456938 A CN 1456938A
Authority
CN
China
Prior art keywords
mask
masterplate
loadlock
box
lid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN03142306A
Other languages
English (en)
Other versions
CN1323325C (zh
Inventor
圣地亚哥·E·德尔·普埃尔托
埃里克·R·卢普斯特拉
安德鲁·马萨尔
杜安·P·基什
阿卜杜拉·阿里汗
伍德罗·J·奥尔松
乔纳森·H·费罗斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN1456938A publication Critical patent/CN1456938A/zh
Application granted granted Critical
Publication of CN1323325C publication Critical patent/CN1323325C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67353Closed carriers specially adapted for a single substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Library & Information Science (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种用于保护掩模不被空载的颗粒污染的系统和方法。它们包括提供一个固定在两件式盖子中的模版。该两件式盖子包括用于保护颗粒不受污染的可除去保护部分。该盖子可以保持在用于将盖子从大气部分向真空部分传送通过光刻系统的吊舱或者盒子内。在真空部分,在晶片上形成模版上的图案的曝光过程中移动该可除去的盖子。

Description

使用两件式盖子保护模版的系统和方法
技术领域
本申请涉及光刻技术(lithography),尤其涉及不使用薄皮(pellicle)对光刻模版进行保护。
背景技术
光刻技术是一种在衬底的表面上产生特征的方法。衬底可以包括在制造平板显示器、电路板、各种集成电路等中所使用的那些衬底。例如可以使用半导体晶片作为衬底来制造集成电路。
在光刻技术中,使用模版将所需图案转移到衬底上。模版可以由对所使用的光刻波长来说是透明的材料制成,例如在可见光的情况下使用玻璃。模版也可以形成为能够反射所使用的光刻波长,例如反射极紫外线(EUV)。模版上印有图像。针对使用模版的具体系统来选择模版的尺寸。例如可以使用6英寸×6英寸并且厚度为1/4英寸的模版。在光刻过程中,放置在晶片台上的晶片被暴露于根据模版上所印图像而投射到晶片表面上的图像。
所投射的图像使沉积在晶片表面上的层(例如光致抗蚀剂层)的特性发生变化。这些变化与曝光过程中投射到晶片上的特征相对应。在曝光之后,该层可以被刻蚀,以形成带图案的层。该图案对应于在曝光过程中投射到晶片上的那些特征。然后使用该带有图案的层来除去该晶片内的在下面的结构层(例如导电层、半导电层或绝缘层)的曝光部分。重复该过程以及其它步骤,直至在晶片的表面上形成所需的特征。
从上面的描述中可以清楚地看到,通过光刻技术而产生的特征的准确位置和尺寸直接取决于投射到晶片上的图像的精度和准度。亚100nm光刻的严格性不仅对光刻工具、而且也对模版提出了严格的要求。沉降在模版上的空气中的颗粒和灰尘会导致晶片上的缺陷。模版平面内的小的图像变形或移位可能比临界尺寸和重叠误差预计值更大。传统的解决方法是采用永久性固定透明材料薄片作为模版的薄皮。
该薄皮在光刻过程的所有步骤中都保留在原位。薄皮在改善投射到晶片上的图像的准度方面具有双重功效。首先,薄皮用于保护模版不与微粒污染物直接接触。如上所述,沉降在模版上的颗粒会产生图像变形,因此必须除去。但是,从模版上除去颗粒会导致对模版的损坏,因为这种除去可能会涉及到与模版直接接触。当使用薄皮时,颗粒会沉降在薄皮上而不是模版上。因此必须清洁的是薄皮。清洁薄皮而不是模版对模版的完整性来说危害较小,因为在该清洁过程中模版由其薄皮所保护。
薄皮所产生的第二个功效与薄皮的间隙(standoff)有关。在曝光过程中,聚焦平面对应于模版上印制的图像的位置。通过使用薄皮,系统中的任何颗粒会沉降在薄皮上而不是模版上。利用薄皮的厚度,以及由此而在薄皮的表面和模版的带图案表面之间造成的距离,这些颗粒不会处于聚焦平面。由于薄皮将颗粒抬升而不在聚焦平面,因此投射到衬底上的图像会包括这些颗粒的可能性就大大降低。
上述的这种方法在许多传统的光刻加工技术中效果很好。因此使用这种系统在光穿过模版和薄皮的系统中是方便的,因为制造透明薄皮和模版的材料是可以得到的。但是该薄皮方法不适用于EUV应用,因为所使用的光的短波长很容易在穿过气体或固体时被吸收。
因此,目前没有材料对EUV足够透明而可以用于制造薄皮。在EUV光刻技术中,EUV不穿过模版,但是反射离开模版的图像侧。该技术称为反射光刻。如果在反射光刻过程中使用薄皮,则EUV必须两次穿过薄皮,一次是射向模版而另一次是反射离开模版。因此,在EUV加工技术中,与薄皮有关的任何光损失被加倍。
因此,需要一种系统和方法,可以保护模版不受污染,它基本不会降低穿过系统的EUV光量。
发明概述
本发明的实施方案提供一种传输掩模的方法,包括如下步骤:(a)用可移式颗粒盖子覆盖掩模的第一部分,形成掩模-盖子构造;(b)将该构造封闭在一个气密的盒子中,该盒子包括有掩模运载部分以及与该掩模运载部分分开的罩;以及(c)将该构造放在盒子内进行传输。
本发明的实施方案提供一种用于传输掩模的气密的盒子,该盒子包括:掩模运载部分;罩;用于防止气体在掩模运载部分和罩之间流动的气密装置;以及用于将罩与该掩模运载部分暂时性的连接和固定的闩锁。
本发明的实施方案提供一种传输、搬运和加工掩模的方法,包括如下步骤:(a)用可移式颗粒盖子覆盖掩模的第一部分,形成掩模-盖子构造;(b)将该构造封闭在一个气密的盒子中,该盒子包括有掩模运载部分以及与该掩模运载部分分开的罩;(c)将带有该构造的盒子传送到加工工具,该工具具有以下每个部件中的至少一种:脱吊舱器(de-podder)、微环境腔、微环境操纵器、负载锁(loadlock)、真空腔、真空操纵器、以及掩模支座;(d)将带有该构造的盒子放在脱吊舱器的第一开口上,使得盒子的罩防止气体通过该第一开口而流动;(e)用清洁气体净化脱吊舱器的内部;(f)将掩模运载部分和罩分开而打开该盒子,保持罩在原位,用于阻挡气体流动,将掩模运载部分和该构造移动到脱吊舱器的内部;(g)利用微环境操纵器通过第二脱吊舱器开口而将该构造从脱吊舱器抽到微环境腔内,并将该构造通过第一负载锁开口放到负载锁内部;(h)抽空负载锁;(i)利用真空操纵器将该构造通过第二负载锁从该负载锁中抽出,并将该构造移动到真空腔内;(j)将该构造放置在掩模支座上,使得掩模的未覆盖部分与支座相接触;(k)利用该支座保持该掩模;(l)利用真空操纵器,将盖子与掩模分开,取走盖子;(m)加工掩模。
本发明的实施方案提供了一种负载锁,包括:具有至少两个开口的封套;与该封套的第一开口耦合的空气侧门阀;与该封套的第二开口耦合的真空侧门阀;位于封套内的用于接收掩模的掩模保持器;位于封套内的用于覆盖掩模的可移动圆顶;以及用于移动圆顶的圆顶促动器,从而该圆顶可以被定位成覆盖该掩模。
本发明的实施方案提供一种将掩模从空气压力过渡到负载锁中的真空的方法,包括如下步骤:(a)将掩模放置在负载锁内;(b)将掩模用圆顶覆盖,以防止负载锁中的空气中的颗粒到达掩模;(c)关闭负载锁;(d)抽空负载锁;(e)打开负载锁通向真空;(f)缩回圆顶而使得掩模不被覆盖;以及(g)从负载锁中移开掩模。
本发明的实施方案提供一种传输、搬运和加工掩模的方法,包括如下步骤:(a)将掩模封闭在一个气密的盒子中,该盒子包括有掩模运载部分以及与该掩模运载部分分开的罩;(b)将带有该掩模的盒子传送到加工工具,该工具具有以下每个部件中的至少一种:脱吊舱器、微环境腔、微环境操纵器、负载锁、真空腔、真空操纵器、以及掩模支座;(c)将带有该掩模的盒子放在脱吊舱器的第一开口上,使得盒子的罩防止气体通过该第一开口而流动;(d)用清洁气体净化脱吊舱器的内部;(e)将掩模运载部分和罩分开而打开该盒子,保持罩在原位;(f)利用微环境操纵器,通过第二脱吊舱器开口而将该掩模从脱吊舱器抽到微环境腔内,并将该掩模通过第一负载锁开口放到负载锁内部;(g)抽空负载锁;(h)利用真空操纵器将该掩模通过第二负载锁从该负载锁中抽出,并将该掩模移动到真空腔内;(i)将该掩模放置在掩模支座上;以及(j)加工掩模。
本发明的实施方案提供一种机械,用于在盒子内对传送至该机械和离开该机械的掩模进行加工,该机械包括:滤过的空气环境部分;至少一个大气操纵器;至少一个脱吊舱器;用清洁气体在基本大气压力下进行净化的气体微环境部分;至少一个微环境操纵器;至少一个负载锁;真空部分;以及至少一个真空操纵器。
本发明的实施方案提供一种系统,包括模版和与该模版连接而保护模版的盖子。盖子包括框架和可移动面板,该面板移动而允许在曝光过程中光的通路指向模版。模版和盖子利用自动操纵机械手移动至平台。模版和盖子在移开之前可以连接至基板。
本发明的其他实施方案、特征和优点以及本发明各实施方案的结构和操作将在下面参考附图作更详细描述。
附图的简要说明
在这里引入附图并作为说明书的一部分,连同说明一起来解释本发明,还用于解释本发明的原理,并使得所属技术领域的技术人员可以实施本发明。
图1是本发明实施方案的模版上适当位置处的两件式盖子的分解图;
图2是根据本发明的实施方案利用自动装置将两件式盖子中的模版加载在平台上的视图;
图3是根据本发明的实施方案表示模版曝光进行光刻的视图;
图4是表示本发明实施方案的两件式盖子的分解立体图;
图5是利用本发明实施方案的两件式盖子进行对准和转移的方法;
图6是表示根据本发明的实施方案的定位配件的视图;
图7是表示本发明实施方案的带有定位配件的两件式盖子的外推图;
图8是根据本发明的实施方案用于增强模版中的区域的方法;
图9-10分别表示根据本发明实施方案的示例模版盖子的俯视图和仰视图;
图11表示图9-10的模版盖子的分解视图;
图12表示根据本发明的实施方案双重包套吊舱(wrap pod)设计;
图13是表示图12的双重包套吊舱的分解图;
图14是表示本发明实施方案的负载锁;
图15是表示图14的负载锁的分解图;
图16是表示本发明实施方案的模版搬运装置核心的视图;
图17表示本发明实施方案的整个模版搬运装置;
图18表示根据本发明的实施方案传送掩模的方法的流程图;
图19表示根据本发明的实施方案传送、搬运和加工掩模的方法的流程图;
图20表示根据本发明的实施方案在负载锁内从大气压力向真空传送掩模的方法的流程图;
图21表示根据本发明的实施方案在负载锁内从真空向大气压力传送掩模的方法的流程图;
图22表示根据本发明的实施方案传送、搬运和加工掩模的方法的流程图。
以下将参考附图对本发明进行描述。在附图中,一些类似的附图标记表示同样的或功能相近的元件。另外,大多数附图标记中最左面的数字表示在那个附图中该附图标记第一次出现。
优选实施方案的详细说明
本发明的实施方案提供一种保护模版的盖子,它相对于传统系统来说有所改进。本发明的其他实施方案提供可与该盖子相配的吊舱或模版传送盒,它进一步保护模版不受颗粒侵害。本发明的另一些实施方案提供与该盖子相配的负载锁,当在大气压力和真空之间传送模版时,它进一步保护模版不受颗粒侵害。本发明的再一些实施方案提供具有三个独立的环境(例如滤过的室内空气、气体净化的微环境、以及真空)的模版搬运装置,每个环境都最适合于在各搬运步骤中有成本效率的减少对模版的污染。本发明还有一些实施方案提供一种利用以上减少污染的所有装置来搬运模版的方法。
传统的光刻技术依赖于薄皮来保护模版的带图案区域不受到微粒污染。但是如上所述,由于没有一种对极紫外光(EUV)来说是透明的材料,因此不能实现这个方法。另外,内部对准的限制使得整个模版盖子的除去难以正确进行。因此,根据本发明的实施方案,利用模版盖子来保护模版,该模版盖子包括用于支撑模版的框架,以及可以在曝光和清洁过程中除去的面板。
尽管光刻系统在清洁的环境下进行操作,但是在加工过程中会产生颗粒。这些颗粒会污染模版。模版要周期性的清洁,从而将模版上的微粒量保持在一个允许的阈值之下。因此必须考虑光刻系统内的颗粒产生来源。通常,在另外的清洁系统中的颗粒是因为摩擦而产生的。在传统的系统中,当模版从一个位置传送到另一个位置时会产生颗粒。由于在传统的系统中模版在转移过程中可以滑动,因此任何模版在传送过程中的滑动也会产生另外的颗粒。最后,传统系统中的振动也会导致摩擦和相关的颗粒产生。
根据本发明的实施方案,在可除去盖子上包括位置定位器和脊,从而避免转移和模版滑动摩擦。但是盖子的安装和除去会产生摩擦。类似的,如在传送系统中的振动也会导致颗粒的形成。因此在实施本发明的实施方案时已经考虑到了这些颗粒产生原因的差别。
除了颗粒的产生,在设计光刻系统时,也需要考虑颗粒的沉降。在本发明的实施方案中使用可除去面板减少了颗粒在所有的时候都沉降在模版上的机会,当然除了曝光过程之外。明显的颗粒沉降在曝光之外的时间内发生,因此使用本发明实施方案的可除去面板,即使在曝光步骤中除去盖子的时候也能够显著的保护模版不会发生颗粒沉降。
最后,也必须考虑颗粒迁移。颗粒迁移发生在快速动作和快速压力变化导致的紊流过程中。在EUV系统中,很多移动是发生在高真空中。因此在例如从库架向投射支座的移动过程中的紊流是最小的。但是由于有压力变化,因此这个紊流源必须予以考虑。因此根据本发明的实施方案,通过使用与安装在模版上的框架相连接的可除去面板,基本消除了这种额外的颗粒迁移源。
两件式盖子和其拟运动学的定位
图1表示包括根据本发明一个实施方案的两件式盖子(cover)102的系统100的分解图。两件式盖子102包括一个框架2,该框架2在搬运的过程中支撑一个模版1,并在曝光的过程中与模版1和一个平台7保持接触。框架2包括一个比模版(reticle)1的场区(field)大的开口14,以在曝光过程中允许光化性的光线穿过开口14。框架2还包括一个连接装置8,它对应于连接至平台(stage)7的连接装置9。因此,连接装置8使得框架2可以由连接装置9保持在平台7上。
该实施方案还包括面板3,它与框架2在光刻曝光之前才相分开,在光刻曝光之后立刻重新连接至框架2。面板3可以由对可见光透明的材料制成,从而可以肉眼检查和识别模版1的前侧。
如图所示,连接装置8和9处于平台7和框架2之间,以及在框架2和面板3之间。如图所示,可以在平台7和框架2之间包括配件对5a和5b。配件对5a和5b可以是“两件之间的(between pieces)”,这如该领域技术人员根据此处描述的至少一种技术可以知道的,可选自分别在每个片上的磁体和磁靶;机械紧固件,例如在一个片上的装有弹簧的闩锁或双稳锁存器以及在另一个片上的配套接头片;以及重力依赖装置,例如在另一个片上的配套配件上的位于一个片上的支靠配件。
根据本发明的实施方案,连接装置8和/或9可以具有以下设计准则,包括但不限于:a)连接装置8和/或9可以通过两件式盖子102外部的装置来进行拆卸,该装置可以位于将模版1加载在平台7上的自动操纵机械手4上,或者在平台7内,和/或;b)连接装置8和/或9的释放和再连接应当产生最小的污染颗粒,从而避免污染模版1(为此,优选使用非接触式装置来促动连接装置8和/或9),和/或;c)连接装置8和/或9应当是自支撑的,从而一旦连接装置8和/或9已经被开始连接,则不需要施加外界作用将这些件保持在一起。
在一个实施方案中,自动操纵机械手4可以用于挤压两个或多个装有弹簧的闩锁,从而释放这些件。
在另一个实施方案中,平台7中的电磁体可以用于与闩锁中的永磁体发生相互作用,从而使得它们分开。
在另一个实施方案中,自动操纵机械手4中的电磁体通过克服分别处于盖子102的两个件上的永磁体和靶之间的磁性吸引而释放面板3。类似的,平台7内的电磁体应当被暂时的供能以克服分别位于框架102和平台7的永磁体和磁靶之间的磁性吸引。
许多其他的实施方案也是可行的,包括但不限于,连接配件的排列变换和结合和释放装置在机械手中而不是在平台定位,或者反之亦然。所有的这些排列变换和结合都在本发明的范围之内。
继续参考图1,在上述实施方案的变化中可以利用重力来将模版1、框架2和面板3保持在一起。具体的说,自动操纵机械手4可以支撑着面板3,框架2可以搁靠在面板3上,模版1可以靠在框架2内。相对应的配件对5a和5b可以将框架2与面板3对准,而相对应的配件对6a和6b可以将面板3与自动操纵机械手4对准。
在各种实施方案中,配件对5a和5b及6a和6b可以选自:运动支座(例如带有在沟槽或圆锥形底座内的球),孔和狭槽中的接合销,以及将一个件嵌套在另一个件中。用于提供能量从而使框架2相对于平台7保持定位和从平台7释放的装置可以位于平台7内,如图1所示,或者内置于自动操纵机械手4中。
根据本发明的另一个实施方案,位于平台7内的两个或多个带有弹簧的机械闩锁9通过利用舌片8可以用来将框架2保持在平台7上,如图2和3所示,并如下所述。
在另一个实施方案中,例如通过利用与平台7连接的螺线管11瞬时施加电磁力以克服弹簧10所施加的闭合力,由此回缩可由磁性材料制成的闩锁,从而可以实现闩锁的释放。
配件对14a和14b可以放置在面板3的底部侧和其它表面上,该表面必须放置有系统100(例如模版/盖子组件)。例如,在真空库架上以及在表示为13且在下文将详细描述的标准机械接口(SMIF)吊舱基板上。
图2表示根据本发明的一个实施方案模版1和盖子102的状态。因此图2所示的状态表示利用自动操纵机械手4将框架2和面板3加载在平台7上。在一个实施方案中,该状态是在螺线管11已经被断开能量并且闩锁9已经捕获了舌片8的状态。在该状态中,框架2固定在适当的位置。该状态可以是在回缩自动操纵机械手4之前。
图3表示根据本发明的实施方案的系统100的状态。在该状态中,在自动操纵机械手4(图3中没有显示)已经回缩,带走面板3(图3中没有显示)之后,模版1和框架2仅由平台7支撑。在一些实施方案中,此时可以通过开口14进行光刻曝光。
自动操纵机械手4保持框架2而不是面板3的实施方案是更简单的,因此相对于其他实施方案来说是优选的。这是因为采用了重力将面板3和框架2保持在一起。在框架2已经被平台7捕获之后为从框架2上拆下面板3基本只需要自动操纵机械手4的向下移动。
可替换的实施方案还能便于将模版1与平台7对准,以下将描述用于对准的方法。
如本文其它处所述,模版1应当被放置和取向为和晶片相一致。这基本确保了当前从模版图案复制到晶片上的电路层会与晶片上已经先存在的层对直。
在以下将会详细描述的几个实施方案中,模版1可以在容器(例如吊舱)中传送至光刻系统(或“光刻工具”),该容器的一部分可以表示为13。吊舱(pod)可以包括一支撑着模版1的框架以及在传送过程中使污染颗粒远离模版1的一面板。在这些实施方案中,吊舱框架的底部侧可以具有与光刻工具中配套的定位配件相对应的定位配件,从而SMIF吊舱相对于光刻工具的取向是唯一确定的。
参考图1,通过支靠点和内置于框架2内的止挡器以及内置在面板3中的弹簧的结合,模版1可以固定地保持在框架2顶部侧上的适当位置。由于模版1可以是平的正方形,而没有特定的定位配件,因此可以有8种方法将其装配在支靠点、止挡器和弹簧所形成的嵌套中。
当将模版1加载在吊舱中时,必须小心将模版1放置为使其带有图案的一侧面对所需要的方向(例如右侧向上),并有需要的相对于吊舱的取向(例如90度)。例如,图案的顶部边缘可以朝着吊舱的前侧。然后当模版吊舱放在光刻工具中时,可以知道模版1相对于光刻工具的位置和取向。通常,位置(X,Y)不确定性在大约1mm(毫米)的数量级,角度取向(θz)不确定性在大约1度的数量级。但是,这种准确度对于目前的光刻来说是不够的。必须将位置的不确定性减小到几个微米,取向的不确定性必须小于1弧度秒。
因此,根据本发明的实施方案,光刻工具可以装备有预对准器。该预对准器通过查看模版图案上的靶并将模版按照需要进行移动以校正其位置和取向,从而将模版1中的图案相对于光刻工具精确地定位和对准。自动装置4或其他任何专用的转移机构,通常将模版1从框架2转移至预对准器,并从预对准器转移至平台7。从预对准器转移至平台7必须是非常准确的,因为转移装置所带来的任何定位误差会降低模版1在平台7上的布置精确度。因此对于将模版1从预对准器转移至平台7的关键步骤来说,应当使用非常准确和可重复的自动装置或转移机构。
精确移动的自动装置可以位于光刻系统的印制台,该光刻系统适用于深紫外(DUV)光刻。但是,这不能用于EUV光刻,因为EUV过程必须在真空下进行。这是因为如上所述EUV光线在常压下被完全吸收。因此必须使用适用于真空的自动装置。由于电机和电子设备产生热量和排除污染物气体,而这些在真空中是非常难以除去的,因此适用于真空的自动装置被设计为使其电机和电子设备处于真空腔之外。在真空腔内,使用长的机械联动机构将动作转移到要被处理的物体上。这种配置是清洁的,不会在腔内产生热,但是由于具有相当的长度、低刚性以及联动机构的自由移动(play),因此会存在固有的定位准确性和可重复性不好的问题。因此,可获得的真空自动装置对于执行将模版从预对准器转移到平台的这一关键步骤来说是不够的。很清楚,需要一种替换方法,使得自动装置的精确性和可重复性是理想的。
图4表示系统100的实施方案,其中面板3可以用于在最后的转移中准确地和可重复的定位,由此允许使用清洁器和必要的不精确的自动装置。通过将面板3运动地对接到预对准器,可以获得面板3相对于预对准器的准确位置。下部的V沟槽15a与圆的尖端的销15b相啮合,在一个实施方案中该销15b位于预对准器中。下部的半球6a可以类似于尖端的销15b,上部V沟槽16b可以类似于V沟槽15a。使用V沟槽15a和16b以及圆的尖端的销15b和16a以将物体运动对接是已知的,也存在着其他已知的同样有效的运动对接(kinematic dock)设计。本发明不限于使用V沟槽和圆的尖端的销,而是原则上可以按照所有已知的运动对接设计来进行。
然后自动操纵机械手4抓取面板/框架/模版组件,并将其移动到恰在平台7之下。类似的,当机械手将面板/框架/模版组件向上移动时,可以通过将面板3中的上部V沟槽16b与平台7中的半球16a啮合在一起而获得面板3相对于平台7的精确运动位置。在面板3已经运动的与平台7啮合之后,可以给闩锁9和静电卡盘17供能,分别通过舌片8夹住框架2以及将模版1拉靠在平台7上。然后自动操纵机械手4可以将面板3向下移动,并将其缩回离开平台7。
运动的对接的固有属性是它们可以在几个微米之内重复进行,只需要起初的对准是在配套配件的捕获范围(capture range)之内。例如,每个半球16a与每个上部V沟槽16b的对准必须是使得每个半球16a起初接触相应沟槽16b的任何部分。如果满足该条件,那么与起初的对不准无关,可以获得同样的最后相对位置。捕获范围依赖于配套配件的尺寸。例如,使用图1-4所示的配件尺寸,容易获得约±1mm的捕获范围。由于该范围大于真空自动装置的一般重复误差,可以实现所希望的功能性去耦。当面板3与平台7运动地啮合时,自动操纵机械手4必须依从于(X,Y)平面,从而不至于将自动操纵机械手4所建立的运动轨迹强加在运动的对接上,而是使得对接配件的相互作用限定最后的轨迹。相当大的长度,低刚性,以及机械手联动机构的自由移动,可以提供所需的少量依从性。
如上所述,本发明的实施方案利用具有准确性和可重复性的自动装置解决了将框架2从预对准器向平台7准确转移的问题。为了有效转移之外,从模版1被从预对准器提取的时刻到卡盘17被供能的时刻,模版1必须相对于面板3而言确切的保持在相同的位置上。确保这一点的一个方法是将模版1紧密的装配在框架2内,框架定位配件5a与它们对应的定位配件5b有非常小的间隙。但是这可能不是最理想的预期情况,因为紧密装配的零件在拉开时容易产生许多颗粒。幸运的是,在本发明的大部分实施方案中,可能不需要紧密的装配,因为零件之间的摩擦足以将它们彼此保持在适当的位置。
由于和真空内的电机相关的困难,并且因为抽吸抓取在真空内无法工作,适用于真空的自动装置可以被设计为它的加速和减速恰好慢到足以允许使用简单的无源夹具(passive gripper),这种夹具会仅通过重力和摩擦力来将晶片保持在3个销上。真空自动装置制造商提供了基本上无滑动使用的简单的夹具。
因此,我们已经侧重于最后的转移准确性问题。本发明的其他实施方案显示出盖子2如何利于将模版1与平台7相对准。通常如上所述,进入到吊舱中的工具内的模版可以相对于面板具有约1mm的定位误差以及约1度的取向误差。这些误差可以减小到几微米和小于约1弧度秒。为了实现这一点,预对准器对模版1与面板3之间的相对对准和定位进行测量和校正是足够的,因为面板与平台的运动的对接已经是非常准确的。优选的是,不将模版1从框架2上取下而进行再次对准,从而在任何一个模版表面上不会产生任何颗粒。
在各种实施方案中,使用两件式盖子102简化了将模版1与面板3对准的方法。自动装置将面板/框架/模版组件送至预对准器,该预对准器装备有一套圆末端的销15b。因此通过将下部的V沟槽15a与圆末端的销15b啮合,该组件与预对准器运动地对接。因此面板3相对于预对准器精确的对准和定位。由此为了将模版1与面板3进行精确的定位和对准,所需要的仅仅是将其相对于预对准器进行定位和对准。为此,首先要测量误差,然后校正误差。
根据本发明的一个实施方案,测量误差的一种方法是给预对准器装备采用摄像机的视觉系统,该系统可以测量在模版图案中的靶与永久固定于预对准器的并与圆末端的销相校准的靶之间的角度和位置误差。由于该图案位于模版1的底侧上,摄像机必须透视面板3,该面板在摄像机的操作波长下应当是透明的。也有一些已知的其他方法能够对位置和角度误差进行测量,本发明不限于使用摄像机和一套靶。
在一个实施方案中,为了校正模版1相对于预对准器的位置和角度取向,预对准器可以装备具有X,Y,Z和θz自由度的精度操纵器。该预对准器可以具有能够通过啮合舌片8而将框架2从下面提升的机械手。精度操纵器将首先将框架/模版刚好提升离开面板3,然后进行X,Y和θz校正,再将框架/模版降低回到面板3上。此时,模版1相对于面板3对准,并准备转移至平台7。将框架2相对于面板3重新定位需要在定位配件5a和5b之间具有充分大的间隙。
可以理解,由于已经知道真空自动装置能够转移物体而不会滑动,因此各种配件对可以提供精确定位的准确度。另外,各种配件对可以是安全的配件对,以防止在可由碰撞或电力失败导致自动装置突然的停止情况下发生严重的意外滑动。在那种情况下,会丧失精确的对准,但是各种配件对会防止模版1从自动操纵机械手4脱落。
最后,在用于具有一个长范围自由度(例如沿着Y轴扫描的)扫描光刻系统的实施方案中,预对准器可能不必沿着与平台7的扫描轴相一致的自由度校正位置误差。只需测量位置误差,并将其通知至平台控制器,然后该控制器通过在扫描过程中相应的偏移平台Y位置而补偿位置误差。
因此,在各实施方案中,各种配件对可以导致只具有一个水平平移自由度的预对准器中的精度操纵器,该自由度在实施例中是X方向,Z和θz方向也同样需要的。因此,在预对准器中的精度操纵器的设计可以针对扫描光刻工具而简化。
图5表示描述本发明实施方案的方法500的流程图。方法500可以是利用两件式盖子的对准和转移方法。在步骤501,用面板中的第一套配件对以及预对准器中的相应配件对,面板/框架/模版组件可以被运动地对接至预对准器。在步骤502,测量模版相对于预对准器的位置和角度偏移。在步骤503,操纵框架以对测量的偏移进行校正,它将模版相对于面板重新定位。在步骤504,面板/框架/模版组件被从预对准器中拿取。在步骤505,面板/框架/模版组件被移动至平台加载位置,几乎没有相对滑动。在步骤506,利用面板中的第二套配件对以及平台中的相应配件对,面板/框架/模版组件被运动地对接至平台。在步骤507,模版和框架用内置于平台中的夹持装置(例如分别是静电卡盘和机械闩锁)来固定。在步骤508,除去面板而暴露模版。
如前所述,当在EUV工具中处理和对准模版时,本发明的实施方案用于显著地减少颗粒污染的产生。在传统的系统中,不使用盖子,在每一次将模版从SMIF吊舱中除去/重新放置在该SMIF吊舱中时,在每次将其放置在真空内的库中或从其中除去时,在每次将其加载和卸载在平台处时,都形成/打破了模版接触。
根据本发明的实施方案,如上和如下所述,通过使用两件式盖子可以对传统的系统进行改进。模版和框架之间的接触不会再被打破,因为框架保持为与模版接触,即使在曝光过程中也是这样。已经设想在处理模版的同时在模版的表面产生的颗粒数目直接根据与模版表面的机械接触的形成/打破的次数而变化。通过完全消除在光刻工具中形成/打破与模版的接触的需要,与通过机械手直接搬运模版相比,两件式盖子相对于传统的方法中所教导的一件式盖子有了明显的改进,而传统的方法仅仅是减少了与模版表面直接相关的颗粒产生事件的数量。
本发明该实施方案的两件式盖子也可以使用用于和模版接触的柔软材料,而不必太多顾及该柔软材料的耐用性,因为在原则上避免了重复的磨损作用。利用精选的柔软材料估计可以减少在模版最初放置在框架的过程中的表面损坏和颗粒产生。柔软的材料例如柔软的聚合物可以易于流动,从而是顺应于而不会划伤模版的精致抛光表面。
相反,在不使用两件式盖子和直接利用自动操纵机械手搬运模版的传统系统中,需要在机械手的接触点上有硬质材料以使得机械手具有可接受的耐用性。一件式盖子的接触点的最好的硬度取中间值,因为还有一些接触事件,但不是很多。但是通过使用本发明的实施方案的两件式盖子,当发生变形而使得精度不可接受时,可以进行更换。
本发明实施方案的两件式盖子也易于将模版与平台预对准。这样即使利用低精度的自动装置,可以实现从预对准器到平台的精确最后转移。
本发明的两件式盖子比自动操纵机械手更容易的保持清洁。而位于光刻工具深处和真空中的自动操纵机械手需要进入式的维护,所以每次将模版从光刻工具中弹出来清洁或者更换盖子要方便得多。
本发明对利用SMIF吊舱的示例性光刻环境进行了描述。这样的描述只是为了方便起见。而不是要将本发明限制在这些示例性的环境中。事实上,在阅读了以下的描述之后,相关领域的技术人员可以理解如何在现有已知和未来发展的替换环境中实施本发明。
因此,根据本发明的实施方案,使用一种系统和方法来拟运动学地将模版与保护盖子对准。这样可以在预对准测量和转移至模版平台中维持模版的精确相对位置关系,由此避免了将模版在模版预对准过程中在光刻工具中重新进行机械定位。第二,本发明披露了一种硬化模版接触区域的方法,从而在模版与盖子接触的时候产生较少的颗粒。
图6表示本发明实施方案的两件式盖子102。模版1具有能够与框架2中的对准配件精确对准的边缘601。在一个实施方案中,在模版1的边缘601中至少是接触运动对准配件602的部分进行倒角。在另一个实施方案中,模版1的边缘601的该部分成圆角而不是斜面。在又一个实施方案中,在模版1边角处的改进边缘部分的交点在每个边角中产生球形或者圆环形(toroid)扇区(球形或者圆环形的八分之一),然后它与框架2的每个边角中的可适用的对准配件602通过界面接合。用户可以选择该改进的模版边缘601的哪些部分要被接触,而哪些部分不被接触。
关于框架2中的对准配件602,其位置不限于如图6所示的框架的边角。但是在优选的实施方案中,这可能是一个优选的位置。例如,框架2可以在每一侧的中部具有对准配件602。显然,对准配件602的实际形状可以是不同的,以最好的容纳模版边缘601。例如,在一个实施方案中,对准配件602可以是V形槽,沟槽602的每个面603是平的。这种特定的形状适合于容纳在模版边缘601的圆角。可以理解,在其他实施方案中,如果模版边缘601是倒角的,它们最好由对准配件602中的凹形(而不是平的)沟槽表面来容纳。
根据本发明的一个实施方案,使用对准配件602可以省略图5中的步骤503。这是非常有利的,因为会需要相当复杂的预对准机构来操纵框架2。通过消除了对框架2相对于面板3重新定位的需要,简化了光刻工具的设计。
图7表示本发明一个实施方案的系统100。框架2可以保持模版1,并通过第一套运动的对准配件对701a和701b与面板3运动地对准。类似的,面板3可以通过第二套运动的对准配件对702a和702b与自动操纵机械手4运动地对准。另外,可以使用第三套运动对准配件对703a和703b将面板3与真空库架和总的由13表示的SMIF吊舱基板进行运动对准。
在图7所示的实施方案中,运动配件702a和703b共用同一个沟槽,702a在沟槽的最里面通过界面连接至702b,703a在沟槽的最外面通过界面连接至703b。对于本领域的技术人员来说,很明显该相对位置是可以颠倒的。显然,分开的沟槽用于实现运动配件的每个。
在另一个实施方案中,可以使用一件式盖子。在该实施方案中,框架2可以固定于(例如粘结或者由同一块材料制成)面板3,以形成一件式盖子。该一件式盖子全部被除去,以进行模版1的光刻曝光。因此,在该一件式盖子的实施方案中可能不需要配件对701a和701b。
硬化的模版
已经知道,模版1的EUV反射涂层的特点是易损和柔软的。因此,该涂层在接触时易于产生颗粒。因此理想的是具有一个无EUW反身涂层的特定区域,它可以用于由其反射侧支撑或者传送模版1。为此,更硬的衬底材料将变为不被覆盖的也就是“暴露的”表面。遗憾的是,实际上产生一个使裸露的模版衬底暴露的区域(也就是裸点)是非常困难的。产生裸点的一种已知的方法是使用在沉积EUV反射涂层的粒子束溅射过程覆盖它们的掩模。这种方法的一个问题是由于沉积过程的性质,易于在掩模上形成松数的颗粒或者薄片,并在该过程结束除去掩模的时候会脱落,一些颗粒或者薄片会落在模版上并将其污染。另一个暴露衬底的区域的方法是从设计用于传送的区域中选择性蚀刻EUV反射涂层。该方法的问题在于该蚀刻过程也易于损坏模版的其它区域。
看起来仅通过使用如本说明书中所述的成圆角的或者倒角的边缘就可以解决支撑模版的问题。但是实际上并不如此,因为EUV反射涂层的脆弱性决定了空白的衬底在被涂布之前其边缘必须已经加工成最后的形状,并且由于沉积涂层的溅射过程的均匀的、非选择性的覆盖,未被掩盖的倒角或者成圆角的边缘也会涂布有脆的材料。
为了解决上述问题,已经提出用更硬的材料涂布EUV反射材料。对这种材料的通常选择是沉积在EUV反射材料预部上并被选择性蚀刻从而产生或者“写上”模版图案的EUV阻挡层。遗感的是,为了具有正确的光学特性,该层必须是非常薄的。在柔软反射材料顶部上的薄的阻挡层在机械搬运接触的高水平应力下容易破裂。可以在阻挡层顶部上加上一个厚层并对其进行选择性蚀刻,但是价格昂贵并且也未经过试验证实。
因此,需要一种能够补救反射涂层的固有柔软性和脆性,而不需要掩盖或者要除去涂层来产生裸点的过程。也需要一种在所选接触区域中不需要裸点也不用覆盖附加保护层的方法。
有猜想认为EUV反射涂层的固有柔软性是由于它的多层性质。如该领域所知,EUV反射层迄今为止的“多层结构”或者简单的表示为“多层”可以包括约100个钼和硅的交替层,每个成分的层仅有几个纳米厚。不论是硅还是钼通常都不是柔软的材料。因此,根据本发明的实施方案,这些材料在理想的接触点处可以局部熔融在一起,以将柔软的多层结构转变为更硬的匀质的合金材料层。仅为了方便起见,我们将多层局部转变为更硬物质的过程称之为“局部热处理”。
在一些实施方案中,不需要完全熔化该多层,以获得理想的硬度。这是因为已经知道热导致多层中的每种材料快速的扩散到另一种中,由此形成更均质的层。即使在温度低于多层的成分中任一种的熔点的温度也可以发生这种情况。因此,显然,也可以采用局部热处理,以通过互相扩散来代替熔化而将多层转变为匀质的层。
在其他实施方案中,外来物质在层上的沉积和扩散可以用于增强该层。因此,对存在外来物质的接触区域,为使该物质加入该层而进行的局部加热,作为热处理过程。
图8表示本发明实施方案的方法800的流程图。方法800可以用于通过将多层结构的EUV反射涂层进行局部转换而强化模版中的用于搬运的区域。在步骤802中,进行用EUV反射多层结构(钼-硅或者钼-钌-硅多层,如在现有技术中的状态)涂布模版衬底的操作。在步骤804中,对要用于搬运的区域进行局部的热处理,从而将多层结构的局部热处理部分转变为更强的(更硬、更坚固)的材料。
在一个实施方案中,可以通过例如有包括反应性试剂和催化剂的化学物质存在情况下将大功率激光束聚焦在模版的特定区域中进行步骤804。可以使用其他类型辐射能量来代替激光束。可以使用其他局部加热方法,例如利用射频电磁场的感应式加热。
典型的EUV模版衬底材料和多层结构自身的低导热性便于专门对所需区域进行局部的多层转变。可以进行这一点,而不用过于担心在模版的有图案场区内或者附近无意识的改变了该EUV反射材料。该多层结构应当保持为完整无缺的,以保持其独特的光学性质。按照在本说明书中建议的将接触区域设置在模版1的边角处使得它们距离该有图案的场区的距离最大化,因此使得对接触区域局部热处理的影响对于关注的模版有图案的场区的光学特征而言最可以忽略的。
基本平的模版盖子
图9-11表示本发明实施方案的模版盖子(reticle cover)是902。在预定时刻可以除去的模版盖子902保护模版(例如掩模(mask)901。模版盖子902对一定的光波长可以是透明的,其包括:支撑垫或者垫圈903;嵌套销904;运动定位器(例如掩模定位器)905;以及孔906。孔906可以用于在盖子902和模版901之间注入加压气体清扫,该孔还可以包括空气过滤器。可以使用各种材料来制造垫903和销904,以使它们在接触或者脱离模版901的时候不会损坏模版901或者散发出颗粒。因为在模版901和嵌套销904之间可能需要一些间隙来除去盖子902,模版会相对于盖子902有少量的滑动。对上述实施方案的改进是该盖子902基本是平的。通过采用基本平的设计,在清洁过程中会存留更少的液体,因为没有可以存留液体的袋或者是腔穴。因此,盖子902是容易清洁或者是“超净的(superclean)”。在某些实施方案中,在池里对盖子902超声波清洁、漂洗、和离心烘燥清洁。因此,与传统复杂的盖子由于其自身结构的原因而非常难以清洁相反,盖子902非常容易清洁。
双重包套的模版盒(例如模版吊舱)
图12-13分别表示本发明实施方案的模版盒(reticle box)或者吊舱(pod)1250(以下都称为“吊舱”)的侧视图和分解图。图17显示了示例性吊舱1250的更多特征,以下将会详细描述。吊舱1250包括可以气密的外盒1252,该外盒具有一基部1254,基部1254固定到盖子或者是罩1256其可以是通过闩锁(未显示)而固定的。板1258类似于上面的盖子902,可以基本是平的,没有孔或者腔穴,这样减少了颗粒的产生,并使得板1258清洁起来更容易。而且,因为不需要螺丝等,所以产生的颗粒更少。可以使用颗粒密封装置1260(例如内部或者第一包套)来保护模版免受颗粒侵害,并可以使用气体密封装置1262(例如外部或者第二包套)来使得该外盒1252气密封,它保护着内部或者第一包套1263不受分子污染。当吊舱1250封闭时,颗粒密封装置1260在气体密封装置1262之前啮合,并且在吊舱1250打开时在气体密封装置1262之后解开。这与传统的缺少气体密封装置(因为不使用真空)和颗粒密封装置的系统相反。
外盒1252内固定有透气的内膜盒1263,它用于防止微粒污染,并具有可拆卸的部分以便于清洗。内膜盒1263包括圆顶1264(例如Pyrex玻璃圆顶),它可以具有与板1266(例如由聚酰亚胺、ESD级聚醚酰亚胺等制成或者涂布有聚酰亚胺、ESD级聚醚酰亚胺等)耦合的薄壁(例如2mm)。模版1和模版盖子102(例如由Pyrex玻璃等制成)设置在能与自动操纵机械手1266相互作用的内膜盒1263内。可以使用装置1268(例如弹簧等)将圆顶1263耦合至罩1256,并向内膜盒1263施加保持压力,使模版1在传输过程中不会移动。也可以压颗粒密封装置1260。模版1的表面1302可以是玻璃的、镀铬(例如Cr镀覆)或者利用其他耐用材料镀覆的。在使用过程中,吊舱1250的盖子或者罩1256可以除去以拿取模版1。过滤的通道1304可以将包括在圆顶1264和板1266之间的空间与包括在吊舱1250内的其它空间相连,允许气体在两个空间之间流动,但是防止颗粒流动。过滤的通道1304的示例可以是穿过圆顶1264的壁覆盖有薄膜气体过滤器等的孔。另一个示例可以是穿过板1258塞有烧结的粉末金属气体过滤器等的孔。可以理解,可以使用其他的位置和过滤装置,如该领域所已知的。对准装置1306可以具有聚酰亚胺涂布的接触表面。
用于制造吊舱1250各部分的上述示例材料减少了颗粒的产生。可以理解,这些材料只是优选的示例,可以使用其他已知的材料。
吊舱1250可以分两个阶段打开,如以下关于系统使用技术所详细描述的。首先,罩1256升高预定的高度,以打破气体密封装置1262所形成的气体密封。这样使得气体流入到吊舱1250中,并且颗粒随着气体被传输。但是颗粒不会直接到达模版1。
气体流动通过该过滤的通道1304,将圆顶1264内的压力与外界的压力相平衡。第二,因为罩1256可以连续的升起,圆顶1264可以升高离开板1266。在前述步骤中圆顶1264内的压力已经与外界压力平衡之后,当圆顶1264升起离开时在圆顶1264内或者是外没有明显的气体或者颗粒的流动。在这些实施方案中,圆顶1264、板1266或者是这两者都是透气的,也就是它们允许气体流动以避免圆顶1264内外之间产生压力差。
负载锁(load lock)
图14-15分别表示本发明实施方案的负载锁的侧视图和分解视图。在一个实施方案中,模版1401(可以在支撑销1404的顶部上)和模版盖子1402设置在基部1403和圆顶1405之间。圆顶除去装置(例如圆顶抬升器)1406包括电机1407、导螺杆1408以及波纹管(bellow)1409。负载锁也包括用于大气侧和真空侧门阀的开口1410。所有的上述部件都设置在底部(例如真空壳)1411和顶部(例如真空壳顶)1412所形成的封套中。负载锁还可包括基本与吊舱圆顶的开口端一致的密封底座和/或颗粒密封装置,用于防止颗粒在圆顶和底座之间流动。负载锁还可包括过滤的通道1413(例如穿过圆顶壁的孔,并且覆盖有薄膜气体过滤器),它使圆顶内的气体压力与圆顶外的气体压力相平衡,还包括用于检测负载锁内空气运载(airborne)或者气体运载(以下都称为空气运载)颗粒的装置(例如传感器或者检测器)。
通常,负载锁是非常脏的,主要是因为密封以对负载锁进行抽空或者通风的门阀所致。每次进行密封或者开启密封时,产生了空气运载或气体运载的颗粒。而且,门阀是复杂的机械组件,具有许多导致移动、磨损和摩擦的部件以及润滑剂。这样导致污物集聚在负载锁的内部。在负载锁的通风过程中,气体流入负载锁并将其压力与大气压力相平衡,导致颗粒产生运动。而且,当抽空负载锁时,气体流出负载锁,导致了颗粒的流动。因此,根据本发明的实施方案,通过将模版封装在圆顶和板内,保护模版不受颗粒伤害。
模版搬运装置
图16-17分别表示本发明实施方案的模版搬运核心(reticle handlercore)1701和模版搬运系统。模版搬运系统包括:核心环境(例如真空和微环境)以及大气(空气)环境。核心环境基本位于模版搬运核心1701内。参考图16,模版搬运核心1701包括在真空腔1602内的模版1601。模版1601通过可以具有两个臂的真空自动装置1603移动通过真空腔1602。模版核心1701也包括在真空腔1602和加工腔之间的门阀1604。模版核心1701还包括具有负载锁涡轮泵1606和负载锁门阀1607的负载锁1605。模版核心1701还包括打开吊舱1609的脱吊舱器(depodder)1608。负载锁和脱吊舱器中的开口连接至清洁空气微环境腔1610,并可以通过微环境自动装置1611而进出。
在操作过程中,从打开的吊舱1609中通过微环境自动装置1611除去模版(该图中看不见)。然后该模版通过门阀(gate valve)1607放置在负载锁1605内。抽空负载锁,利用真空自动装置1603将模版从负载锁中除去。利用自动装置1603将模版1601传输通过真空腔1602,并通过门阀1604放置在加工腔(图中未显示)内。在加工之后,真空自动装置1603将模版从加工腔内通过门阀1604除去,并通过门阀1607放置在负载锁1605内。然后对该负载锁通风,模版1601在进入微环境腔1610之前从右至左穿过负载锁1605。微环境腔1610可以充有清洁的过滤和/或者干燥的气体(例如干燥氮气)。然后微环境自动装置1611将模版从负载锁中除去,并放置在位于脱吊舱器1608内的打开吊舱1609内。然后该脱吊舱器关闭吊舱。
参考图17,模版搬运系统也包括具有用于移动吊舱1703的大气自动装置1702的空气或者大气环境。吊舱1703可以存放在吊舱存储架上,如图所示。一般利用由操作者抓取的显示为越过吊舱预部的棒或手柄以及利用其外壳的与各种自动装置啮合的各部分来搬运吊舱1703。显示为处于上位的吊舱升降机1705将由操作者放置在输入位置1706的吊舱1703提升到自动装置1702的搬运平面。或者,可以将吊舱1703由将吊舱1703放置在自动装置1702可以直接到达的位置1707的架空轨道(未显示)传送给该工具。自动装置1702能够将吊舱1703在上部升降机止动器1712、吊舱存储架1714、架空位置1707和/或者脱吊舱器1609之间移动。一旦吊舱1703放置在脱吊舱器1609中,模版搬运核心1701打开吊舱1703,并加工该模版1601,如上所述。同样,在模版1601已经被加工之后,模版搬运核心1701将该模版再次放置在吊舱1703中,并关闭吊舱1703。因此,该工作在将模版搬运出吊舱1703的模版搬运核心1701和仅仅是搬运吊舱1703的搬运装置大气部分之间被划分。
这两个子系统通过脱吊舱器1609彼此转移对模版1601的搬运。模版搬运核心1701可以参考图16来描述,但是在图17中也可以看见,它位于大气自动装置1702之下。为了便于读者确定方向,指出了真空腔1602、微环境腔1610、脱吊舱器1609以及微环境自动装置1611。在大气环境和核心环境中都有存储能力。
在一些实施方案中,过滤的空气环境也可以包括识别站,用于阅读:在吊舱1703上编码的ID标记,连接至吊舱1703的智能标签等。
在一些实施方案中,气体微环境可以包括:(a)识别站,用于读出在掩模上编码的ID标记;(b)热调整站,用于使得输入掩模的温度与预定的加工温度相平衡;(c)掩模检验站,用于检测在掩模的至少一个表面上的污染物;(d)掩模清洁站,用于从掩模的至少一个表面上除去表面污染物;和/或(e)掩模定向站,用于相对于机械将掩模进行精确的定向。而且在一些实施方案中,微环境用选自以下的气体进行净化,包括:过滤的干燥空气、合成空气、干燥氮气和干燥氧气的混合物、和/或干燥氮气或者其他气体。
在一些实施方案中,真空部分包括:(a)识别站,用于读出在掩模上编码的ID标记;(b)库(library),用于暂时性存储至少一个掩模;(c)热调整站,用于使得输入掩模的温度与预定的加工温度相平衡;(d)掩模检验站;(e)用于检测在掩模的至少一个表面上的污染物;(f)掩模清洁站,用于从掩模的至少一个表面上除去表面污染物;(g)掩模定向站,用于相对于机械对掩模进行精确的定向;和/或(h)加工站,用于加工至少一个掩模。在一些实施方案中,加工站用于利用光将掩模表面上的图案光刻复制到涂有光刻胶的晶片上。在一些实施方案中,光波长对应于光谱的极紫外(EUV)部分,在10-15纳米之间,优选在13纳米。
方法
图18表示根据本发明的实施方案用于传输掩模的方法1800的流程图。在步骤1802,将掩模的第一部分用可移式颗粒盖子(particle cover)覆盖。这样形成一个暂时的掩模-盖子装置,它保护着第一部分不受空气运载的颗粒污染。在步骤1804,将掩模的第二部分留下不覆盖。在步骤1806,将该装置封闭在气密的盒子内。该盒子可以包括有掩模承载部分和能与该掩模承载部分分开的罩,用于保护掩模不受空气运载的分子污染。在步骤1806,传输盒子内的装置。
图19表示根据本发明的实施方案传输、搬运和加工掩模的方法1900的流程图。在步骤1902,将掩模的第一部分用可移式颗粒盖子覆盖。这样形成一个暂时的掩模-盖子装置,以保护第一部分不受空气运载的颗粒污染。在步骤1904,将掩模的第二部分留下不覆盖。在步骤1906,将该装置封闭在气密的盒子内。该盒子可以具有掩模承载部分和能与该掩模承载部分分开的罩,用于保护掩模不受空气运载的分子污染。
在步骤1908,将带有该装置的盒子传输到加工工具。该加工工具具有以下每种部件的至少一个,包括:脱吊舱器、微环境腔、微环境操纵器、负载锁、真空腔、真空操纵器以及掩模支座。在步骤1910,将包含有该装置的盒子放在脱吊舱器的第一开口上,使得盒子的罩防止气体通过该第一开口而流动。在步骤1912,用清洁气体净化脱吊舱器的内部。在步骤1914,将掩模运载部分和罩分开而打开该盒子,保持罩在原位,用于阻挡气体流动,将掩模运载部分和该装置移动到脱吊舱器的内部。在步骤1916,利用微环境操纵器通过第二脱吊舱器开口而将该装置从脱吊舱器抽取到微环境腔内,并将该装置通过第一负载锁开口放到负载锁内部。在步骤1918,抽空负载锁。在步骤1920,利用真空操纵器将该装置通过第二负载锁从该负载锁中抽出,并将该装置移动到真空腔内。在步骤1922,将该装置放置在掩模支座上,使得掩模的未覆盖部分与支座相接触。在步骤1924,利用该支座保持该掩模。在步骤1926,利用真空操纵器,将盖子与掩模分开,取走或者重新定位盖子。在步骤1928,加工掩模。
图20表示用于将掩模在负载锁中从大气压力传输到真空的方法2000的流程图。在步骤2002,将掩模放置在负载锁内。在步骤2004,将掩模用圆顶覆盖,以防止负载锁中的空气运载的颗粒到达掩模。在步骤2006,关闭负载锁。在步骤2008,抽空负载锁。在步骤2010,打开负载锁至真空。在步骤2012,缩回圆顶而使得掩模不被覆盖。在步骤2014,从负载锁中移开掩模。
图21表示用于将掩模在从负载锁中真空传输到大气压力的方法2100的流程图。在步骤2102,将掩模放置在负载锁内。在步骤2104,将掩模用圆顶覆盖。该覆盖步骤2014用于防止负载锁中变为空气运载的颗粒在随后的通风和打开步骤中到达掩模。在步骤2106,关闭负载锁。在步骤2108,对负载锁进行通风。在步骤2110,将负载锁的大气端打开至大气环境。在步骤2112,空气运载的颗粒沉降。在步骤2114,缩回圆顶而使得掩模不被覆盖。在步骤2116,从负载锁中移开掩模。
图22表示用于传输、搬运和加工掩模的方法2200的流程图。在步骤2202,将掩模封闭在一个气密的盒子中,该盒子具有掩模运载部分以及能与该掩模运载部分分开的罩,用于保护掩模不会受到空气运载的分子的污染。在步骤2204,将包含有该掩模的盒子传送到加工工具,该工具具有以下每个部件中的至少一种:(a)脱吊舱器;(b)微环境腔;(c)微环境操纵器;(d)负载锁;(e)真空腔;(f)真空操纵器以及掩模支座。在步骤2206,将包含有该掩模的盒子放在脱吊舱器的第一开口上,使得盒子的罩防止气体通过该第一开口而流动。在步骤2208,用清洁气体(例如干燥氮气)净化脱吊舱器的内部。在步骤2210,将掩模运载部分和罩分开而打开该盒子,保持罩在原位,用于阻挡气体流动,将掩模运载部分和该掩模移动到脱吊舱器的内部。在步骤2212,利用微环境操纵器,通过第二脱吊舱器开口而将该掩模从脱吊舱器抽取到微环境腔内,并将该掩模通过第一负载锁开口放到负载锁内部。在步骤2214,抽空负载锁。在步骤2216,利用真空操纵器将该掩模通过第二负载锁开口从该负载锁中抽出,并将该掩模移动到真空腔内。在步骤2218,将该掩模放置在掩模支座上。在步骤2220,加工掩模。
总之,在上述几个实施方案中,模版面临三个环境:吊舱环境(例如净化的干燥气体微环境)、脱吊舱器至负载锁环境(例如真空)、以及从负载锁至卡盘环境。在每个环境过渡中可以将模版封装。在一些实施方案中,通过如下步骤使用双重包套吊舱,包括:打开吊舱,净化脱吊舱器,等待气流稳定,打开膜盒,从膜盒中抽出模版和/或盖子。在另一些实施方案中,通过如下步骤使用带有圆顶的特殊设计的负载锁,包括:将模版和/或盖子放置在负载锁中,用圆顶覆盖模版,通风以净化负载锁,等待气流稳定,升高圆顶,将模版和/或盖子从负载锁中抽出。在另外的实施方案中,通过在压力过渡中控制气流或者在模版前侧上过滤气流(帘/阻挡件)而不使用物理阻挡件来防止在有图案的区域上的颗粒沉除。在另外的实施方案中,利用透气盖子采用不透过颗粒的物理阻挡件来保护模版,或者将模版盖着盖子存储在吊舱内,该模版和盖子放在负载锁内,盖着盖子进行压力过渡,当进入真空环境中时除去盖子。
通过采用上述实施方案,即使对各种系统和系统的各部件使用不理想的材料,也能减少颗粒的产生。这部分是通过使用保护性框架、盖子等以及使用了边缘处理策略来实现的。
结论
尽管以上已经描述了本发明的各实施方案,但是可以理解,这仅是一种示例,而不是对其的限制。相关领域的技术人员可以理解,在不脱离本发明精神和范围的前提下可以对形式和细节作各种改变。因此,本发明的范围应当不限于上述任何示例性的实施方案,只应当由所附的权利要求和其等同内容来限定。

Claims (24)

1.一种系统,包括:
一模版;以及
一与该模版连接的盖子,用于保护模版,该盖子包括:
一框架;以及
一可除去面板,该面板移动以使得光线在曝光过程中直接到达模版。
2.如权利要求1的系统,还包括:
一与盖子相连接的自动操纵机械手,它被构成为允许一自动装置利用该自动操纵机械手移动盖子和模版。
3.如权利要求2的系统,还包括:
一与自动操纵机械手和盖子连接的基板。
4.如权利要求1的系统,还包括一平台。
5.如权利要求4的系统,还包括用于将框架与平台可除去地连接的部件。
6.如权利要求3的系统,还包括:
用于将框架与该可除去面板对准的部件。
7.如权利要求3的系统,还包括:
用于将自动操纵机械手与该可除去面板相对准的部件。
8.如权利要求3的系统,还包括:
用于将基板和可除去面板相对准的部件。
9.如权利要求1的系统,还包括:
用于将框架与该可除去面板相对准的部件。
10.如权利要求1的系统,还包括一在传输至平台之前将模版进行对准的预对准装置。
11.如权利要求10的系统,还包括:
用于将预对准装置和框架进行对准的部件;以及
用于将框架和平台对准的部件。
12.如权利要求1的系统,还包括一用于将模版和盖子传输通过该系统的吊舱。
13.如权利要求1的系统,还包括一在将模版在吊舱内定位之前对模版进行对准的预对准装置。
14.如权利要求1的系统,其中对模版的预定区域进行局部加工以减少在与模版的接触过程中产生的颗粒。
15.一种系统,包括:
一具有一前侧和一后侧的掩模;以及
一可移式颗粒盖子,基本覆盖掩模的前侧,该可移式颗粒盖子包括:
一平板,与掩模的前侧尺寸基本相同,该平板具有一朝向掩模的第一侧和一背离掩模的第二侧;
与该板连接的垫片,从板的第一侧凸出,并将掩模和盖子保持分开;
与该板连接的掩模定位器,从板的第一侧凸出,并超出了掩模的周边,该掩模定位器将掩模封闭在盖子内。
16.一种气密的盒子,包括:
一掩模承载部分;
一罩;
一气体密封装置,防止气体在掩模承载部分和罩之间流动;以及
一闩锁,可除去的将罩固定在掩模承载部分上。
17.如权利要求16的盒子,还包括:
一与罩的内侧连接的顶,它基本防止盒子内的颗粒到达掩模;以及
一颗粒密封装置,它基本防止颗粒在顶和掩模承载部分之间流动。
18.一种方法,包括:
(a)用一可移式颗粒盖子覆盖一掩模的一第一部分,以形成一个系统,该系统保护该第一部分不会被污染,并且留下掩模的第二部分没有被覆盖;
(b)将该系统封闭在一气密的盒子内,该盒子具有一掩模承载部分和一能与该掩模承载部分分开的罩,所述盒子保护该掩模不被污染;以及
(c)传送盒子内的系统。
19.一种负载锁,包括:
一具有开口的封套;
一与封套的开口中的第一个连接的大气侧门阀;
一与封套的开口中的第二个连接的真空侧门阀;
一接收一掩模并设置在封套内侧的掩模保持器;
一覆盖掩模并设置在封套内侧的可移动的顶;以及
一移动该顶的顶促动器,从而将该顶定位成覆盖掩模。
20.如权利要求19的负载锁,还包括:
一与封套内侧连接的密封底座,该密封基本与顶的一开口端相一致;以及
一颗粒密封装置,防止颗粒在该顶和该底座之间流动。
21.如权利要求20的负载锁,还包括
一过滤的通道,允许气体从顶和底座之间形成的腔穴中流动到负载锁的其余内部空间以及返回,防止颗粒通过该通道流动。
22.一种方法,包括:
(a)将一掩模放置在一负载锁内;
(b)用一顶覆盖掩模,以防止负载锁内的颗粒到达掩模;
(c)关闭负载锁;
(d)降低负载锁内的压力;
(e)将该负载锁打开通向真空;
(f)收回该顶,以使掩模不被覆盖;以及
(g)从负载锁内除去掩模。
23.一种方法,包括:
(a)将一掩模封闭在一气密的盒子内,该盒子具有一能与一罩分开的掩模承载部分,所述罩用于保护掩模不被污染;
(b)将包含该掩模的盒子传输到一用于加工该盒子的加工工具;
(c)在盒子的加工步骤中,从加工工具内的盒子中除去模;以及
(d)加工掩模。
24.一种系统,包括:
一保持一掩模的盒子,该掩模可除去的固定在一个带一能分开的罩的装置上;
一含有基本处于大气压力下的过滤的空气的第一部分;
一将盒子移动到第一部分中的大气操纵器;
一用于将掩模在第一部分和一气体微环境部分之间过渡的脱吊舱器,该气体微环境部分用基本处于大气压力下的清洁气体进行净化,并且该气体微环境部分具有一将盒子在微环境部分内移动的微环境操纵器;
一将掩模在微环境部分和一真空部分之间过渡的负载锁;以及
一将掩模在真空部分中移动的真空操纵器。
CNB03142306XA 2002-02-22 2003-02-21 使用两件式盖子保护模版的系统和方法 Expired - Lifetime CN1323325C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US35835402P 2002-02-22 2002-02-22
US60/358,354 2002-02-22
US36412902P 2002-03-15 2002-03-15
US60/364,129 2002-03-15
US41435802P 2002-09-30 2002-09-30
US60/414,358 2002-09-30

Related Child Applications (3)

Application Number Title Priority Date Filing Date
CN2009101667153A Division CN101650528B (zh) 2002-02-22 2003-02-21 使用两件式盖子保护模版的系统和方法
CN2007101023496A Division CN101105637B (zh) 2002-02-22 2003-02-21 使用两件式盖子保护模版的系统和方法
CN200710102348A Division CN100592213C (zh) 2002-02-22 2003-02-21 使用两件式盖子保护模版的系统和方法

Publications (2)

Publication Number Publication Date
CN1456938A true CN1456938A (zh) 2003-11-19
CN1323325C CN1323325C (zh) 2007-06-27

Family

ID=27739178

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB03142306XA Expired - Lifetime CN1323325C (zh) 2002-02-22 2003-02-21 使用两件式盖子保护模版的系统和方法

Country Status (6)

Country Link
US (6) US7304720B2 (zh)
EP (1) EP1341042A3 (zh)
JP (3) JP2003257852A (zh)
KR (3) KR20040002437A (zh)
CN (1) CN1323325C (zh)
TW (1) TWI319123B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101825841A (zh) * 2010-03-30 2010-09-08 东莞宏威数码机械有限公司 掩膜存储清洗系统
CN103713468A (zh) * 2013-12-24 2014-04-09 京东方科技集团股份有限公司 一种掩模板盒
CN109633853A (zh) * 2018-12-25 2019-04-16 同济大学 一种自清洁旋转棱镜装置
TWI786306B (zh) * 2018-06-27 2022-12-11 日商村田機械股份有限公司 基材載具及基材載具堆疊體

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6847434B2 (en) * 2000-02-10 2005-01-25 Asml Holding N.V. Method and apparatus for a pellicle frame with porous filtering inserts
KR100597035B1 (ko) * 2001-03-01 2006-07-04 에이에스엠엘 네델란즈 비.브이. 마스크핸들링방법, 마스크, 그를 위한 그리퍼를 포함하는기구 또는 장치, 디바이스 제조방법 및 그 디바이스
US7304720B2 (en) * 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
SG107133A1 (en) * 2002-02-22 2004-11-29 Asml Holding Nv System and method for using a two part cover for protecting a reticle
US7008487B1 (en) * 2002-03-04 2006-03-07 Micron Technology, Inc. Method and system for removal of contaminates from phaseshift photomasks
JP2003315983A (ja) * 2002-04-22 2003-11-06 Mitsubishi Electric Corp フォトマスク
US7061589B2 (en) * 2002-09-03 2006-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for mounting a hard pellicle
US6822731B1 (en) * 2003-06-18 2004-11-23 Asml Holding N.V. Method and apparatus for a pellicle frame with heightened bonding surfaces
US7068347B2 (en) * 2002-12-20 2006-06-27 Intel Corporation Apparatus for reducing pellicle darkening
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
EP1434094A1 (en) * 2002-12-27 2004-06-30 ASML Netherlands B.V. Container for a mask
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
TWI234692B (en) * 2003-03-11 2005-06-21 Asml Netherlands Bv Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
TWI224719B (en) * 2003-05-28 2004-12-01 Gudeng Prec Ind Co Ltd Reinforced structure device of mask frame
US7084961B2 (en) * 2003-12-22 2006-08-01 Asml Netherlands B.V. Safety mechanism for a lithographic patterning device
EP1500980A1 (en) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7123344B2 (en) * 2003-09-29 2006-10-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005123292A (ja) * 2003-10-15 2005-05-12 Canon Inc 収納装置、当該収納装置を用いた露光方法
TWI288305B (en) * 2003-10-27 2007-10-11 Asml Netherlands Bv Assembly of a reticle holder and a reticle
EP1531363A1 (en) * 2003-10-27 2005-05-18 ASML Netherlands B.V. Reticle holder
US7236233B2 (en) * 2003-10-27 2007-06-26 Asml Netherlands B.V. Assembly of a reticle holder and a reticle
JP4332409B2 (ja) * 2003-10-31 2009-09-16 キヤノン株式会社 基板保持機構およびそれを用いた露光装置並びにデバイス製造方法
WO2005047981A2 (en) * 2003-11-10 2005-05-26 Nikon Corporation Thermophoretic techniques for protecting reticles from contaminants
US6862817B1 (en) * 2003-11-12 2005-03-08 Asml Holding N.V. Method and apparatus for kinematic registration of a reticle
KR101003666B1 (ko) * 2003-12-10 2010-12-23 엘지디스플레이 주식회사 정렬장치
US20050223973A1 (en) * 2004-03-30 2005-10-13 Infineon Technologies Ag EUV lithography system and chuck for releasing reticle in a vacuum isolated environment
FR2869452B1 (fr) * 2004-04-21 2006-09-08 Alcatel Sa Dispositif pour le transport de substrats sous atmosphere controlee
US7136151B2 (en) * 2004-07-29 2006-11-14 Asml Holding N.V. Reticle gripper barrier system for lithography use
JP2008512147A (ja) * 2004-09-07 2008-04-24 スミス アンド ネフュー インコーポレーテッド 最小厚さの骨プレート係止機構
JP2006078763A (ja) * 2004-09-09 2006-03-23 Fujitsu Ltd 露光用マスクの製造方法
US7477358B2 (en) * 2004-09-28 2009-01-13 Nikon Corporation EUV reticle handling system and method
US7551265B2 (en) 2004-10-01 2009-06-23 Nikon Corporation Contact material and system for ultra-clean applications
JP2006128188A (ja) * 2004-10-26 2006-05-18 Nikon Corp 基板搬送装置、基板搬送方法および露光装置
CN101006554A (zh) * 2004-10-29 2007-07-25 株式会社尼康 标线保护构件、标线运送装置、曝光装置及标线运送方法
JP4710308B2 (ja) * 2004-10-29 2011-06-29 株式会社ニコン レチクル搬送装置、露光装置、及びレチクルの搬送方法
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
JP4667018B2 (ja) * 2004-11-24 2011-04-06 ミライアル株式会社 レチクル搬送容器
JP2006173273A (ja) * 2004-12-14 2006-06-29 Miraial Kk レチクル搬送容器
JP4581681B2 (ja) * 2004-12-27 2010-11-17 株式会社ニコン レチクル保護装置および露光装置
US7528936B2 (en) * 2005-02-27 2009-05-05 Entegris, Inc. Substrate container with pressure equalization
US7607543B2 (en) * 2005-02-27 2009-10-27 Entegris, Inc. Reticle pod with isolation system
JP2006245257A (ja) * 2005-03-03 2006-09-14 Canon Inc 処理装置、当該処理装置を有する露光装置、保護機構
US20060243300A1 (en) * 2005-04-27 2006-11-02 Patrick Klingbeil Method for cleaning lithographic apparatus
JP4667140B2 (ja) * 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
EP1928764B1 (en) * 2005-09-27 2011-11-02 Entegris, Inc. Reticle pod
US20070076292A1 (en) * 2005-09-27 2007-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fully electric field shielding reticle pod
JP4789566B2 (ja) * 2005-09-30 2011-10-12 ミライアル株式会社 薄板保持容器及び薄板保持容器用処理装置
JP4692238B2 (ja) * 2005-11-15 2011-06-01 株式会社ニコン マスク収納容器開装置、露光装置
US7522263B2 (en) 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
US20070146658A1 (en) * 2005-12-27 2007-06-28 Asml Netherlands B.V. Lithographic apparatus and method
TWI417649B (zh) * 2005-12-28 2013-12-01 尼康股份有限公司 十字標記運送裝置、曝光裝置、十字標記運送方法以及十字標記的處理方法
US7808616B2 (en) * 2005-12-28 2010-10-05 Nikon Corporation Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
US7537114B2 (en) * 2006-01-25 2009-05-26 International Business Machines Corporation System and method for storing and transporting photomasks in fluid
US20070190430A1 (en) * 2006-02-10 2007-08-16 Heng-Chung Wu Photo-mask stage
US20080060974A1 (en) * 2006-02-21 2008-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Mask carrier treatment to prevent haze and ESD damage
US7773198B2 (en) * 2006-03-28 2010-08-10 Nikon Corporation Filtered device container assembly with shield for a reticle
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
WO2007149513A2 (en) * 2006-06-19 2007-12-27 Entegris, Inc. System for purging reticle storage
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
TW200809943A (en) * 2006-08-15 2008-02-16 Gudeng Prec Ind Co Ltd Cleaning device for photo mask
JP4863064B2 (ja) * 2006-08-22 2012-01-25 大日本印刷株式会社 露光用マスクの管理方法
US7933000B2 (en) * 2006-11-16 2011-04-26 Asml Netherlands B.V. Device manufacturing method, method for holding a patterning device and lithographic apparatus including an applicator for applying molecules onto a clamp area of a patterning device
US20080128303A1 (en) * 2006-12-05 2008-06-05 Nikon Corporation Device container assembly with adjustable retainers for a reticle
US7808612B2 (en) * 2007-04-05 2010-10-05 Asml Netherlands B.V. Lithographic apparatus and method for masking a substrate
US10586722B2 (en) * 2007-05-30 2020-03-10 Brooks Automation, Inc. Vacuum substrate storage
WO2008154907A2 (de) * 2007-06-21 2008-12-24 GeSIM Gesellschaft für Silizium-Mikrosysteme mbH Verfahren und vorrichtung zur übertragung von mikro- oder nanostrukturen durch kontaktstempeln
JP5169206B2 (ja) 2007-12-21 2013-03-27 日本電気株式会社 フォトマスク受納器並びにこれを用いるレジスト検査方法及びその装置
US8215510B2 (en) * 2008-03-24 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask storage apparatus
NL1036785A1 (nl) 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
US8424703B2 (en) * 2008-05-01 2013-04-23 Brooks Automation, Inc. Substrate container sealing via movable magnets
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
JP2010027810A (ja) * 2008-07-17 2010-02-04 Yaskawa Electric Corp 真空搬送装置
US8071262B2 (en) * 2008-11-05 2011-12-06 Micron Technology, Inc. Reticles with subdivided blocking regions
NL2003638A (en) 2008-12-03 2010-06-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
TWI344926B (en) * 2008-12-05 2011-07-11 Gudeng Prec Industral Co Ltd Reticle pod
KR102211255B1 (ko) * 2009-05-15 2021-02-02 가부시키가이샤 니콘 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법
US8159654B2 (en) * 2009-06-03 2012-04-17 Matsushita Seiki Co., Ltd. Pressure body and pellicle mounting apparatus
TWI412883B (zh) * 2009-06-04 2013-10-21 Matsushita Seiki Co Ltd 加壓體及薄膜黏貼裝置
TWI411563B (zh) 2009-09-25 2013-10-11 Gudeng Prec Industral Co Ltd 光罩盒
US8207504B2 (en) * 2009-11-19 2012-06-26 Applied Materials Israel, Ltd. Inspection of EUV masks by a DUV mask inspection tool
TWI450324B (zh) * 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd 微影設備之光罩清潔方法及微影設備之光罩清潔系統
TWI481835B (zh) * 2010-04-20 2015-04-21 Oto Photonics Inc 適用於光譜儀之狹縫座模組與光譜儀
TW201206787A (en) * 2010-04-30 2012-02-16 Fortrend Engineering Corp Opener for extreme ultra violet lithography reticle pods
TWI414464B (zh) 2011-01-11 2013-11-11 Gudeng Prec Ind Co Ltd 具有固定結構之極紫外光光罩儲存傳送盒
US8888086B2 (en) * 2011-05-11 2014-11-18 Sematech, Inc. Apparatus with surface protector to inhibit contamination
JP5665679B2 (ja) * 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
NL2008806A (en) * 2011-07-22 2013-01-24 Asml Holding Nv Lithographic apparatus and device manufacturing method.
US8925290B2 (en) * 2011-09-08 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Mask storage device for mask haze prevention and methods thereof
FR2986815B1 (fr) * 2012-02-13 2014-12-19 Micro Controle Spectra Physics Dispositif et systeme d'alignement et de maintien en position de poutres.
US9851643B2 (en) * 2012-03-27 2017-12-26 Kla-Tencor Corporation Apparatus and methods for reticle handling in an EUV reticle inspection tool
JP5943519B2 (ja) * 2012-09-20 2016-07-05 ヒューグルエレクトロニクス株式会社 基板ケース洗浄装置
EP2909110B1 (en) 2012-10-19 2017-08-30 Entegris, Inc. Reticle pod with cover to baseplate alignment system
US8939289B2 (en) * 2012-12-14 2015-01-27 Shenzhen China Star Optoelectronics Technology Co., Ltd Packing box for liquid crystal display panel and waterproof structure thereof
JP6217101B2 (ja) * 2013-03-22 2017-10-25 富士電機株式会社 半導体装置の製造方法及び取り付け治具
KR102218656B1 (ko) * 2013-05-08 2021-02-23 삼성디스플레이 주식회사 마스크 조립체 및 이의 제조 방법
KR101464706B1 (ko) * 2014-07-16 2014-11-28 마이다스시스템주식회사 스캔 앤 스텝 노광기
KR102205403B1 (ko) * 2014-10-08 2021-01-21 삼성디스플레이 주식회사 증착용 마스크 포장 용기
US10558129B2 (en) 2014-11-17 2020-02-11 Asml Netherlands B.V. Mask assembly
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
KR20230019229A (ko) * 2015-01-26 2023-02-07 가부시키가이샤 니콘 마스크 케이스, 보관 장치 및 방법, 반송 장치 및 방법, 그리고 노광 장치
CN105988303B (zh) * 2015-02-26 2018-03-30 上海微电子装备(集团)股份有限公司 一种掩模版传输装置及传输方法
JP2016170310A (ja) * 2015-03-13 2016-09-23 株式会社荏原製作所 レチクル搬送装置、検査装置およびレチクル搬送方法
USD761261S1 (en) * 2015-06-09 2016-07-12 Teco Image Systems Co., Ltd Handheld scanner
US9915867B2 (en) 2015-09-24 2018-03-13 International Business Machines Corporation Mechanical isolation control for an extreme ultraviolet (EUV) pellicle
KR102502727B1 (ko) * 2015-11-09 2023-02-23 삼성전자주식회사 레티클 및 그를 포함하는 노광 장치
US11249392B2 (en) 2017-01-25 2022-02-15 Gudeng Precision Industrial Co., Ltd EUV reticle pod
CN108375872B (zh) * 2017-01-25 2022-04-15 家登精密工业股份有限公司 极紫外光光罩容器
TWI634383B (zh) * 2017-01-26 2018-09-01 家登精密工業股份有限公司 光罩盒
CN110809736B (zh) * 2017-06-15 2023-10-24 Asml荷兰有限公司 表膜和表膜组件
KR102134639B1 (ko) * 2017-08-14 2020-07-17 구뎅 프리시젼 인더스트리얼 코포레이션 리미티드 기밀성 측정 방법과 시스템 및 이로 측정되는 용기
KR101880401B1 (ko) * 2017-09-15 2018-07-20 주식회사 미래솔루텍 마스크 어셈블리 케이스
US10658215B2 (en) * 2017-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle transportation container
US10684559B2 (en) 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage
TWI690771B (zh) * 2018-01-11 2020-04-11 家登精密工業股份有限公司 光罩壓抵單元及應用其之極紫外光光罩容器
KR102570321B1 (ko) * 2018-02-14 2023-08-24 삼성디스플레이 주식회사 표시 장치용 포장 용기
KR200488135Y1 (ko) * 2018-06-14 2018-12-17 박철규 섀도우 마스크 위치고정장치
KR102445717B1 (ko) * 2018-09-12 2022-09-21 주식회사 원익아이피에스 마스크, 그가 적재되는 마스크적재모듈, 마스크적재모듈을 가지는 기판처리시스템
WO2020088394A1 (en) * 2018-10-29 2020-05-07 Gudeng Precision Industrial Co., Ltd Reticle retaining system
US11137693B2 (en) * 2018-11-30 2021-10-05 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Pellicle holder, pellicle inspection apparatus, and pellicle inspection method
TWI705522B (zh) * 2019-07-30 2020-09-21 家登精密工業股份有限公司 基板容納裝置及其製造方法
TWD209117S (zh) * 2019-08-02 2021-01-01 家登精密工業股份有限公司 光罩傳送盒之上蓋
TWD209927S (zh) * 2019-08-02 2021-02-21 家登精密工業股份有限公司 光罩傳送盒之上蓋
WO2021104681A1 (en) * 2019-11-25 2021-06-03 Brooks Automation (Germany) Gmbh Euv reticle stocker and method of operating the same
US11555791B2 (en) 2019-12-03 2023-01-17 Corning Incorporated Chamber for vibrational and environmental isolation of thin wafers
JP7176165B2 (ja) * 2020-04-24 2022-11-22 家登精密工業股▲ふん▼有限公司 Euvレチクルポッド
TWI803860B (zh) * 2020-04-30 2023-06-01 美商恩特葛瑞斯股份有限公司 光罩盒密封
TWI767515B (zh) * 2020-05-14 2022-06-11 家登精密工業股份有限公司 提供有效密封之用於容納基板的容器
US20220100106A1 (en) * 2020-09-30 2022-03-31 Gudeng Precision Industrial Co., Ltd Workpiece container system
US11874596B2 (en) * 2020-09-30 2024-01-16 Gudeng Precision Industrial Co., Ltd Workpiece container system
EP4222778A1 (en) * 2020-10-02 2023-08-09 Entegris, Inc. Wafer container and size adaption system therefor
TWI770791B (zh) * 2021-01-28 2022-07-11 家登精密工業股份有限公司 具有快拆式支撐機構之光罩盒
US11822257B2 (en) * 2021-03-12 2023-11-21 Gudeng Precision Industrial Co., Ltd. Reticle storage pod and method for securing reticle
US20220404696A1 (en) * 2021-06-18 2022-12-22 Entegris, Inc. Bonded layer on extreme ultraviolet plate
CN114114824B (zh) * 2022-01-26 2022-05-20 上海传芯半导体有限公司 一种光掩模保护罩、具有保护结构的光掩模及其制备方法
EP4258330A1 (en) * 2022-04-08 2023-10-11 Brooks Automation (Germany) GmbH Stocker pod, method and stocker for storing a semiconductor fabrication article
CN114823370B (zh) * 2022-05-10 2022-10-14 山东汉旗科技有限公司 指纹识别芯片封装结构及其封装的方法

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US21781A (en) * 1858-10-12 Improved method o f lighting street-lamps by electricity
US3615006A (en) 1969-06-26 1971-10-26 Ibm Storage container
US4369475A (en) 1979-01-26 1983-01-18 Priam Enclosed disc drive with improved air flow
US4248508A (en) 1979-08-03 1981-02-03 The Perkin-Elmer Corporation Projection mask storage and carrier system
US4250388A (en) 1979-08-16 1981-02-10 General Electric Company Device for interfacing an x-ray image intensifier and spot film device
US4412739A (en) 1981-01-13 1983-11-01 Ppg Industries, Inc. Hinged glass photomask assembly
US4443098A (en) 1982-12-21 1984-04-17 General Signal Corporation Pellicle mounting fixture
US4549843A (en) * 1983-03-15 1985-10-29 Micronix Partners Mask loading apparatus, method and cassette
JPS6083032A (ja) 1983-10-13 1985-05-11 Asahi Chem Ind Co Ltd 光透過性に優れたフオトマスク用防塵カバ−
JPS61245163A (ja) 1985-04-23 1986-10-31 Nippon Kogaku Kk <Nikon> マスク保護装置
JPS6197924A (ja) 1984-10-19 1986-05-16 Nippon Sheet Glass Co Ltd 保護カバ−
US4724874A (en) 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4719705A (en) 1986-06-24 1988-01-19 The Perkin-Elmer Corporation Reticle transporter
US4760429A (en) 1986-11-05 1988-07-26 The Perkin-Elmer Corporation High speed reticle change system
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
US4973217A (en) 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4986007A (en) 1987-03-25 1991-01-22 Svg Lithography Systems, Inc. Reticle frame assembly
JPH0675188B2 (ja) 1988-02-29 1994-09-21 キヤノン株式会社 ペリクル着脱機構
JPH0298122A (ja) 1988-10-04 1990-04-10 Mitsubishi Electric Corp X線転写装置
JP3037745B2 (ja) 1990-11-29 2000-05-08 三井化学株式会社 ペリクル構造体
JP2534167B2 (ja) 1991-06-18 1996-09-11 株式会社斉木製作所 露光装置におけるマスク保持装置
JP3089590B2 (ja) 1991-07-12 2000-09-18 キヤノン株式会社 板状物収納容器およびその蓋開口装置
US5469963A (en) * 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US5422704A (en) * 1992-07-13 1995-06-06 Intel Corporation Pellicle frame
US5296893A (en) 1992-07-31 1994-03-22 Vlsi Technology, Inc. Box for an optical stepper reticle
EP0582018B1 (en) 1992-08-04 1995-10-18 International Business Machines Corporation Pressurized interface apparatus for transferring a semiconductor wafer between a pressurized sealable transportable container and a processing equipment
JP3200776B2 (ja) * 1992-08-06 2001-08-20 大日本印刷株式会社 基板保持用ケース
US5344677A (en) 1992-08-27 1994-09-06 Hong Gilbert H Photochemically stable deep ultraviolet pellicles for excimer lasers
US5308989A (en) 1992-12-22 1994-05-03 Eaton Corporation Fluid flow control method and apparatus for an ion implanter
US5453816A (en) 1994-09-22 1995-09-26 Micro Lithography, Inc. Protective mask for pellicle
JP3356897B2 (ja) * 1994-12-16 2002-12-16 信越化学工業株式会社 ペリクル収納容器
JPH0968792A (ja) 1995-08-31 1997-03-11 Shin Etsu Chem Co Ltd フィルター付ペリクル
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5727685A (en) 1995-10-19 1998-03-17 Svg Lithography Systems, Inc. Reticle container with corner holding
US6317479B1 (en) 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
JPH09320935A (ja) 1996-05-28 1997-12-12 Canon Inc X線マスク、該x線マスクを用いたx線露光装置、前記x線マスクを用いた半導体デバイスの製造方法、及び前記x線マスクを用いて製造した半導体デバイス
JPH09306820A (ja) * 1996-05-17 1997-11-28 Canon Inc X線マスク構造体とその製造方法、x線露光方法およびx線露光装置、並びに半導体デバイスとその製造方法
US5964561A (en) 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JPH11153855A (ja) * 1997-08-29 1999-06-08 Nikon Corp マスクケース、搬送装置及び搬送方法
KR19990019435A (ko) * 1997-08-29 1999-03-15 윤종용 반도체 소자 제조방법
TW510004B (en) 1997-08-29 2002-11-11 Nikon Corp Photomask case, conveying device, and conveying method
KR19990025656A (ko) 1997-09-13 1999-04-06 이종수 골프공 연속공급장치
KR19990025656U (ko) * 1997-12-17 1999-07-05 구본준 레티클 카세트
US5928817A (en) 1997-12-22 1999-07-27 Intel Corporation Method of protecting an EUV mask from damage and contamination
KR200195116Y1 (ko) * 1998-03-07 2000-09-01 김영환 레티클 공급장치
JPH11295880A (ja) 1998-04-07 1999-10-29 Seiko Epson Corp ペリクルフレーム
AU3731299A (en) * 1998-05-20 1999-12-06 Nikon Corporation Method and apparatus for wafer transportation, exposure system, micro device, and reticle library
JP2000019721A (ja) * 1998-07-03 2000-01-21 Canon Inc レチクル容器、露光方法及びデバイス製造方法
US6197454B1 (en) * 1998-12-29 2001-03-06 Intel Corporation Clean-enclosure window to protect photolithographic mask
US6216873B1 (en) * 1999-03-19 2001-04-17 Asyst Technologies, Inc. SMIF container including a reticle support structure
US6251543B1 (en) 1999-06-14 2001-06-26 Agere Systems Guardian Corp. Process for fabricating a projection electron lithography mask and a removable reusable cover for use therein
JP2001033943A (ja) * 1999-07-23 2001-02-09 Mitsubishi Electric Corp マスク装置
JP3647330B2 (ja) * 1999-09-02 2005-05-11 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6239863B1 (en) * 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6279249B1 (en) 1999-12-30 2001-08-28 Intel Corporation Reduced particle contamination manufacturing and packaging for reticles
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
AU2002218763A1 (en) * 2000-07-10 2002-01-21 Asyst Technologies, Inc. Smif container including an electrostatic dissipative reticle support structure
US6609632B2 (en) 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6734445B2 (en) 2001-04-23 2004-05-11 Intel Corporation Mechanized retractable pellicles and methods of use
US6569582B2 (en) 2001-04-23 2003-05-27 Intel Corporation Hinged pellicles and methods of use
US6566018B2 (en) * 2001-04-23 2003-05-20 Intel Corporation Dual-member pellicle assemblies and methods of use
US6734443B2 (en) 2001-05-08 2004-05-11 Intel Corporation Apparatus and method for removing photomask contamination and controlling electrostatic discharge
US6630988B2 (en) 2001-06-28 2003-10-07 Intel Corporation Reticle stop block apparatus and method
US6646720B2 (en) * 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
US6767674B2 (en) * 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
US7304720B2 (en) 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
US6803159B2 (en) 2002-03-28 2004-10-12 Intel Corporation Method of keeping contaminants away from a mask with electrostatic forces
US6733024B1 (en) * 2002-10-29 2004-05-11 Egidio Savegnago Commode convertible wheelchair apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101825841A (zh) * 2010-03-30 2010-09-08 东莞宏威数码机械有限公司 掩膜存储清洗系统
CN101825841B (zh) * 2010-03-30 2012-07-04 东莞宏威数码机械有限公司 掩膜存储清洗系统
CN103713468A (zh) * 2013-12-24 2014-04-09 京东方科技集团股份有限公司 一种掩模板盒
TWI786306B (zh) * 2018-06-27 2022-12-11 日商村田機械股份有限公司 基材載具及基材載具堆疊體
CN109633853A (zh) * 2018-12-25 2019-04-16 同济大学 一种自清洁旋转棱镜装置
CN109633853B (zh) * 2018-12-25 2020-11-27 同济大学 一种自清洁旋转棱镜装置

Also Published As

Publication number Publication date
US7304720B2 (en) 2007-12-04
US7209220B2 (en) 2007-04-24
US20030227605A1 (en) 2003-12-11
US8446570B2 (en) 2013-05-21
TW200304051A (en) 2003-09-16
KR20060026934A (ko) 2006-03-24
CN1323325C (zh) 2007-06-27
US20110001955A1 (en) 2011-01-06
JP2003257852A (ja) 2003-09-12
US7830497B2 (en) 2010-11-09
EP1341042A3 (en) 2004-03-31
EP1341042A2 (en) 2003-09-03
US20060087639A1 (en) 2006-04-27
JP2011138168A (ja) 2011-07-14
KR100868744B1 (ko) 2008-11-13
KR20070030253A (ko) 2007-03-15
US20130010277A1 (en) 2013-01-10
US20070258061A1 (en) 2007-11-08
US20030218728A1 (en) 2003-11-27
JP5186018B2 (ja) 2013-04-17
TWI319123B (en) 2010-01-01
US6906783B2 (en) 2005-06-14
KR100730676B1 (ko) 2007-06-21
KR20040002437A (ko) 2004-01-07
JP2008118146A (ja) 2008-05-22
JP4758410B2 (ja) 2011-08-31

Similar Documents

Publication Publication Date Title
CN1456938A (zh) 使用两件式盖子保护模版的系统和方法
KR101313460B1 (ko) 기판 반송 장치, 기판 반송 방법 및 노광 장치
KR20070069141A (ko) 기판 반송 장치, 기판 반송 방법 및 노광 장치
TWI289726B (en) Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
CN1592948A (zh) 曝光装置以及器件制造方法
EP2831673A1 (en) Apparatus and methods for reticle handling in an euv reticle inspection tool
KR20010112496A (ko) 홀더용 콘테이너, 노광장치 및 디바이스 제조방법, 그리고디바이스 제조장치
CN101650528B (zh) 使用两件式盖子保护模版的系统和方法
JP2005044882A (ja) 搬送装置及び露光装置
JP5263274B2 (ja) 露光装置及び方法
JP2009239055A (ja) 基板搬送装置、露光装置、及びデバイス製造方法
JP2009170662A (ja) 露光ユニット、露光装置、露光システム、露光方法、およびデバイス製造方法
SG193643A1 (en) System and method for using a two part cover for protecting a reticle
SG177023A1 (en) System and method for using a two part cover for protecting a reticle
JP2008076679A (ja) レチクル、レチクルケース、レチクル保持方法および露光装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ASML HOLDING CO., LTD.

Free format text: FORMER OWNER: ASML NETHERLAND B.V.

Effective date: 20050225

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20050225

Address after: Holland HOFEN feld

Applicant after: ASML HOLDING N.V.

Address before: Holland HOFEN feld

Applicant before: ASML Holding N.V

C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20070627

CX01 Expiry of patent term