JP5186018B2 - レチクルを保護する2部分カバーを用いるシステムおよび方法 - Google Patents

レチクルを保護する2部分カバーを用いるシステムおよび方法 Download PDF

Info

Publication number
JP5186018B2
JP5186018B2 JP2011086217A JP2011086217A JP5186018B2 JP 5186018 B2 JP5186018 B2 JP 5186018B2 JP 2011086217 A JP2011086217 A JP 2011086217A JP 2011086217 A JP2011086217 A JP 2011086217A JP 5186018 B2 JP5186018 B2 JP 5186018B2
Authority
JP
Japan
Prior art keywords
reticle
mask
frame
cover
pod
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2011086217A
Other languages
English (en)
Other versions
JP2011138168A (ja
Inventor
デル プエルト サンティアゴ
マッサー アンドリュー
アリカン アブドゥラー
エイチ. フェロース ジョナサン
アール. ループストラ エリック
ピー. キッシュ ドゥエイン
ジェイ. オルソン ウッドロウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of JP2011138168A publication Critical patent/JP2011138168A/ja
Application granted granted Critical
Publication of JP5186018B2 publication Critical patent/JP5186018B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67353Closed carriers specially adapted for a single substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Library & Information Science (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、概して、リソグラフィ、およびより具体的には、薄膜を用いないリソグラフィックレチクルの保護に関する。
リソグラフィは、基板の表面上に機構(features)を生成するために用いられる。この基板は、フラットパネルディスプレイ、回路基板、種々の集積回路等を製作する際に用いられるものを含み得る。半導体ウェハは、例えば、集積回路を製造するために基板として用いられ得る。
リソグラフィの間、レチクルは、基板上に所望のパターンを転写するために用いられる。レチクルは例えば、可視光の場合のガラス等、用いられるリソグラフィ波長に対して透明な材料の形態であり得る。レチクルは、さらに、用いられるリソグラフィ波長、例えば、極紫外(EUV)線を反射するように形成され得る。レチクルは、その上に印刷される像を有する。レチクルの大きさは、それが用いられる特定のシステムのために選択される。例えば、6インチ×6インチおよび1/4インチ厚さのレチクルが用いられ得る。リソグラフィの間、ウェハステージ上に配置されるウェハは、レチクル上に印刷される像と対応して、ウェハは露光されて、ウェハの表面上に像が投影される。
投影された像は、例えば、フォトレジスト層等、ウェハの表面上に配置された層の特性を変化させる。これらの変化は、露光中にウェハ上に投影された微細構造に対応する。露光に続いて、層がエッチングされてパターン形成された層が生成され得る。パターンは、露光中に、ウェハ上に投影されたそれらの微細構造に対応する。パターン形成された層は、その後、導電、半導体層、絶縁層といった、ウェハ内の下に重なる構造層の露光された部分を除去するために用いられる。このプロセスは、その後、所望の微細構造がウェハの表面上に形成されるまで、他の工程と共に繰返される。
上記の説明から明確なように、リソグラフィによって生成される微細構造の正確な位置および大きさは、ウェハ上に投影される像の精度および正確さと直接関係する。リソグラフィの位置を100nm以下の精度にしようとすると、リソグラフィツールだけでなく、レチクルにもその厳密さが要求される。レチクル上に沈降する空中浮遊粒子は、ウェハに欠陥をもたらし得る。レチクル平面内の像の少しの変形または変位が、限界寸法よりも大きくなり、かつ欠陥供給収支を積み重ね得る。従来の解決策は、レチクル用の薄膜として永久的に固定される透明な材料の薄片を用いることである。
この薄膜は、リソグラフィプロセスのすべてのステージの間、所定の場所に留まる。薄膜は、ウェハ上に投影される像の精度の向上において2重の役割を有する。第1に、薄膜は、微粒子汚染と直接的に接触することからレチクルを守ることに利用される。上述のように、レチクル上に沈降する粒子は、像のゆがみを生成し得るので、除去されなければならない。しかしながら、レチクルからの粒子の除去は、レチクルの損傷の原因となり得る。なぜなら、このような除去は、レチクルとの直接的な接触を含み得るからである。薄膜が用いられると、粒子は、レチクルではなく薄膜上に沈降する。従って、薄膜が洗浄されなければならない。レチクルではなく薄膜を洗浄することは、レチクルの完全性に危険を及ぼすことがより少ない。なぜなら、レチクルは、この洗浄中に、薄膜それ自体によって守られるからである。
薄膜によって果たされる第2の役割は、薄膜の離間(standoff)と関連する。露光中、焦点面は、レチクル上に印刷される像の位置と対応する。薄膜を備えることによって、システムにおける任意の粒子は、レチクルではなく薄膜上に沈降する。薄膜の厚さ、従って、薄膜の表面とレチクルのパターン形成された表面との間の距離によって、これらの粒子は、焦点面には存在しない。薄膜は、焦点面から粒子を持ち上げるので、基板上に投影される像がこれらの粒子を含む確率は大幅に低減される。
上述の解決策は、複数の従来のリソグラフィ処理技術において良好に機能する。このようにして、透明な薄膜およびレチクルを生成するための材料が利用可能であるため、このようなシステムの使用は、光がレチクルおよび薄膜の両方を通過するシステムにおいて有用である。しかしながら、薄膜アプローチは、EUV用途における使用にはあまり適切でない。なぜなら、用いられる光の波長が短いと、気体または固体を通って透過する際に、容易に吸収されるからである。
従って、薄膜を作製するために用いられ得るEUVに対して十分に透明な材料は現在存在しない。EUVリソグラフィにおいて、EUVは、レチクルを通過せず、レチクルの像の面で反射される。この技術は、反射リソグラフィとして公知である。薄膜が反射リソグラフィプロセスにおいて用いられるべき場合、EUVは、薄膜を必然的に2度通過する。1度目は、レチクルへの途中、そしてレチクルでの反射後に再び通過する。従って、薄膜と関連する光の損失の任意の量が、EUV処理技術を用いて効果として2倍にされる。
従って、必要とされるのは、レチクルを汚染から守るために、システムを通過するEUV線の質を実質的に低下させないことを可能にするシステムおよび方法である。
1. レチクルと、
該レチクルを保護するために該レチクルと結合されたカバーと、
を備え、該カバーは、
フレームと、
露光プロセスの間、該レチクルへの光の直接的なアクセスを可能にするように移動する、除去可能なパネルと、
を備えるシステム。
2. 前記カバーと結合されたロボットグリッパーであって、かつロボットが該カバーおよび該レチクルを、ロボットグリッパーを介して移動することを可能にするように構成される、項目1に記載のシステム。
3. 前記ロボットグリッパーおよび前記カバーと結合されるベースプレートをさらに備える、項目2に記載のシステム。
4. ステージをさらに備える、項目1に記載のシステム。
5. 前記フレームを前記ステージに除去可能に取り付ける手段をさらに備える、項目4に記載のシステム。
6. 前記フレームと前記除去可能なパネルとを位置合わせする手段をさらに包含する、項目3に記載のシステム。
7.
前記ロボットグリッパーと前記除去可能なパネルとを位置合わせする手段をさらに備える、項目3に記載のシステム。
8. 前記ベースプレートと前記除去可能なパネルとを位置合わせする手段をさらに備える、項目3に記載のシステム。
9. 前記フレームと前記除去可能なパネルとを位置合わせする手段をさらに備える、項目1に記載のシステム。
10. 前記レチクルを、移送の前にステージと位置合わせする事前位置合わせデバイスをさらに備える、項目1に記載のシステム。
11. 前記事前位置合わせデバイスと前記フレームとを位置合わせする手段と、
該フレームと前記ステージとを位置合わせする手段と、
をさらに備える、項目10に記載のシステム。
12. 前記レチクルおよび前記カバーを、前記システムを介して移送するために用いられるポッドをさらに備える、項目1に記載のシステム。
13. 前記レチクルを、ポッド内に配置される前に位置合わせする事前位置合わせデバイスをさらに備える、項目1に記載のシステム。
14. 前記レチクルの所定の領域は局所的に処理されて、該レチクルと接触している間、粒子の生成を低減する、項目1に記載のシステム。
15. 正面および裏面を有するマスクと、
該マスクの該正面を実質的に被覆する除去可能な粒子カバーと、
を備え、該除去可能な粒子カバーは、
該マスクの該正面と実質的に同じ大きさのフラットプレートであって、該マスクと面する第1の面および該マスクと面しない第2の面を有する、フラットプレートと、
該プレートの第1の面から突き出し、かつ該マスクと該カバーとの間の分離を維持する該プレートと結合されるスペーサと、
該プレートの該第1の面から該マスクの周囲長さを超えて突き出す該プレートと結合され、該マスクを該カバー内に封入するマスクロケータと、
を備える、システム。
16. マスク運搬部分と、
蓋と、
該マスク運搬部分と該蓋との間のガスフローを防ぐガスシーリングデバイスと、
該蓋を該マスク運搬部分に除去可能に固定するラッチと、
を備える気密ボックス。
17. 前記ボックス内の粒子が前記マスクに到達するのを実質的に防ぐ前記蓋の内部と結合されるドームと、
該ドームと前記マスク運搬部分との間の粒子の流れを実質的に防ぐ粒子シーリングデバイスと、
をさらに備える、項目16に記載のボックス。
18. (a)マスクの第1の部分を除去可能な粒子カバーで被覆し、第1の部分を汚染から保護し、かつ、該マスクの第2の部分を被覆されない状態にしておくシステムを形成する工程と、
(b)該システムをマスク運搬部分、および該マスク運搬部分から分離可能な蓋を有する気密ボックスの内側に封入し、該ボックスは、該マスクを汚染から保護する、工程と、
(c)該システムを該ボックスの内側に移送する工程と、
を包含する、方法。
19. 開口部を有する筐体と、
該筐体の該開口部の第1の開口部と結合される大気側ゲートバルブと、
該筐体の該開口部の第2の開口部と結合される真空側ゲートバルブと、
マスクを支持し、かつ該筐体の内側に配置されるマスクホルダと、該マスクを被覆し、かつ該筐体の内側に配置される除去可能なドームと、
該マスクを被覆するように配置されるように該ドームを移動するドームアクチュエータと、
をさらに備える、ロードロック。
20. 前記筐体の内部と結合されるシールシートであって、該シールは、実質的に前記ドームの開口端と合わせられる、シールシートと、
該ドームと該シートとの間の粒子の流れを防ぐ粒子シーリングデバイスと、
をさらに備える、項目19に記載のロードロック。
21. 前記ドームと前記シートとの間に形成される空隙から、前記ロードロックの内部容量の残り部分にガスが流れるか、または戻ることを可能にし、一方で粒子が通路を通って流れることを防ぐ、フィルタリングされた通路をさらに備える、項目20に記載のロードロック。
22. (a)マスクをロードロックの内側に配置する工程と、
(b)該マスクをドームで被覆し、該ロードロック内の粒子が、該マスクに到達することを防ぐ、工程と、
(c)該ロードロックを閉じる工程と、
(d)該ロードロック内の気圧を低減する工程と、
(e)吸引するために該ロードロックを開く工程と、
(f)該ドームを取り外して、該マスクの覆いを取る工程と、
(g)該マスクを該ロードロックから除去する工程と、を包含する、方法。
23. (a)蓋から分離可能であるマスク移送部分を有する気密ボックス内部にマスクを封入する工程であって、該蓋は、該マスクを汚染から守るために用いられる、工程と、
(b)該マスクを含む該ボックスを、該ボックスを処理するために用いられるプロセスツールに移送する工程と、
(c)該ボックスを処理する工程の間、該プロセスツール内で該マスクを該ボックスから除去する工程と、
(d)該マスクを処理する工程と、
を包含する、方法。
24. 分離可能な蓋を有するデバイスに除去可能に固定されるマスクを支持するボックスと、
実質的に大気圧で、フィルタリングされた空気を含む第1の部分と、
該第1の部分内で該ボックスを移動する大気マニピュレータと、
該マスクを該第1の部分とガスミニエンバイロメント部分との間を移動させるために用いられるポッド解除器であって、該ガスミニエンバイロメント部分は、実質的に大気圧で、清浄なガスを用いてパージされ、該ガスミニエンバイロメント部分は、該ミニエンバイロメント部分内で該ボックスを移動させるためにエンバイロメントマニピュレータを有する、ポッド解除器と、
該ミニエンバイロメント部分と真空部分との間でマスクを移動させるロードロックと、
該マスクを該真空部分内で移動させる真空マニピュレータと、
を備える、システム。
本発明の実施形態は、マスクを移送する方法を提供する。この方法は(a)マスクの第1の部分を除去可能な粒子カバーで被覆して、マスクカバー構成を生成する工程と、(b)この構成をマスク運搬部分、およびこのマスク運搬部分から分離可能な蓋を有する気密ボックスの内側に封入する工程、ならびに(c)この構成をボックスの内側に移送する工程を包含する。
本発明の実施形態は、マスクを移送する気密ボックスを提供する。この気密ボックスは、マスク運搬部分、蓋、マスク運搬部分と蓋との間のガスフローを防ぐためのガスシーリングデバイス、および蓋をガス運搬部分に一時的に取り付けかつ固定するためのラッチを備える。
本発明の実施形態は、マスクを移送、搬送および搬送および処理する方法を提供する。この方法は、(a)マスクの第1の部分を、除去可能な粒子カバーで被覆し、マスクカバー構成を生成する工程、(b)この構成をマスク運搬部分、およびこのマスク運搬部分から分離可能な蓋を有する気密ボックスの内側に封入する工程、(c)この構成を含むボックスを、各々のコンポーネント、すなわち、ポッド解除器、ミニエンバイロメントチャンバ、ミニエンバイロメントマニピュレータ、ロードロック、真空チャンバ、真空マニピュレータ、およびマスク台のうちの少なくとも1つを有するプロセスツールに移送する工程、(d)この構成を含むボックスをポッド解除器の第1の開口部上に配置して、ボックスの蓋が、第1の開口部をガスフローすることを防ぐようにする工程、(e)ポッド解除器の内部を清浄なガスで排出する工程、(f)マスク運搬部分を蓋から分離することによってボックスを開放し、ガスフローを阻止するために、蓋を所定の位置で保持し、かつマスク運搬部分および構成をポッド解除器の内部に移動させる工程、(g)ミニエンバイロメントマニピュレータを用いて、構成をポッド解除器から第2のポッド解除器の開口部を通ってミニエンバイロメントチャンバ内に抽出し、ミニエンバイロメントマニピュレータを用いて、構成を第1のロードロック開口部を通ってロードロック内部に配置する工程(h)ロードロックをポンプダウンする工程、(i)構成を第2のロードロック開口部を通ってロードロックから抽出し、真空マニピュレータを用いて、この構成を真空チャンバ内部に移動させる工程(j)構成をマスク台に配置し、マスクの被覆されない部分がその台と接触するようにする工程と、(k)マスクをその台と共に支持する工程、(l)真空マニピュレータを用いて、カバーをマスクから分離し、かつそのカバーを取り去る工程、ならびに(m)このマスクを処理する工程を包含する。
本発明の実施形態は、ロードロックを提供する。このロードロックは、少なくとも2つの開口部を有する筐体、この筐体の第1の開口部と結合された大気側ゲートバルブ、筐体の第2の開口部と結合された真空側ゲートバルブ、筐体の内部に配置され、マスクを支持するマスクホルダ、筐体の内部に配置されて、マスクを被覆する可動ドーム、およびドームを移動させ、ドームがマスクを被覆するように配置し得るドームアクチュエータを備える。
本発明の実施形態は、ロードロック内でマスクを大気圧から真空に移行させる方法を提供する。この方法は、(a)マスクをロードロック内に配置する工程、(b)ロードロック内の空中浮遊粒子がマスクに到達するのを防ぐために、マスクをドームで被覆する工程、(c)ロードロックを閉じる工程、(d)ロードロックをポンプダウンする工程、(e)ロードロックを開放して真空にする工程、(f)ドームを取り外すことによってマスクの覆いを取る工程、および(g)マスクをロードロックから除去する工程を包含する。
本発明の実施形態は、マスクを移送、搬送および処理する方法を提供する。この方法は、(a)マスク運搬部分、およびこのマスク運搬部分から分離可能な蓋を有する気密ボックスの内側にマスクを封入する工程、(b)マスクを含むボックスを、各々のコンポーネント、すなわち、ポッド解除器、ミニエンバイロメントチャンバ、ミニエンバイロメントマニピュレータ、ロードロック、真空チャンバ、真空マニピュレータ、およびマスク台のうちの少なくとも1つを有するプロセスツールに移送する工程、(c)マスクを含むボックスをポッド解除器の第1の開口部上に配置して、ボックスの蓋が、第1の開口部を通るガスフローを防ぐようにする工程、(d)ポッド解除器の内部を清浄なガスで一掃する工程、(e)マスク運搬部分を蓋から分離することによって、ボックスを開放し、蓋を所定の位置で保持する工程、(f)ミニエンバイロメントマニピュレータを用いて、マスクをポッド解除器から第2のポッド解除器開口部を通ってミニエンバイロメントチャンバ内に抽出し、このマスクを第1のロードロック開口部を通ってロードロック内部に配置する工程、(g)ロードロックをポンプダウンする工程、(h)マスクを第2のロードロック開口部を通ってロードロックから抽出し、真空マニピュレータを用いて、マスクを真空チャンバの内部に移動させる工程、(i)マスクをマスク台に配置する工程、ならびに(j)マスクを処理する工程を包含する。
本発明の実施形態は、ボックスの内側の機構の内外に移送されるマスクを処理する機構を提供する。この機構は、フィルタリングされた空気環境部分、少なくとも1つの大気マニピュレータ、少なくとも1つのポッド解除器、実質的に大気圧で、清浄なガスによって一掃されたガスミニエンバイロメント部分、少なくとも1つのミニエンバイロメントマニピュレータ、少なくとも1つのロードロック、真空部分、および少なくとも1つの真空マニピュレータを備える。
本発明の実施形態は、レチクル、およびこのレチクルと結合されるレチクルを保護するカバーを含むシステムを提供する。カバーは、フレームおよび可動パネルを備える。この可動パネルは、露光プロセスの間、レチクルへの光の直接的なアクセスを可能にするように移動する。レチクルおよびカバーは、ロボットグリッパーを用いてステージに移動される。レチクルおよびカバーは、移動される前にベースプレートと結合され得る。
本発明のさらなる実施形態、特徴および利点ならびに本発明の種々の実施形態の構造および動作は、添付の図面を参照して以下において詳細に説明される。
本明細書中に援用され、本明細書の一部分をなす添付の図面は、本発明を、説明と共に例示し、さらに、本発明の原理を説明し、かつ当業者が本発明を実施および用いることを可能にするために利用される。
本発明は、ここで、添付の図面を用いて説明される。図面において、いくつかの同様の参照符号は、等価または機能的に等価な素子を示す。さらに、ほとんどの参照符号の最左桁(単数または複数)は、その参照符号が最初に現れる図面を識別する。
図1は、本発明の実施形態による、レチクル上の位置における2部分のカバーの展開図である。 図2は、本発明の実施形態による、ロボットによるステージ上に装着された2部分のカバーにおけるレチクルを示す図である。 図3は、本発明の実施形態による、リソグラフィーのために露光されるレチクルを示す。 図4は、本発明の実施形態による2部分カバーを強調して示す外挿図である。 図5は、本発明の実施形態による、2部分カバーを用いる位置合わせおよび搬送の方法である。 図6は、本発明の実施形態による位置決め機構を示す図である。 図7は、本発明の実施形態による、位置決め機構を有する2部分カバーを強調して示す外挿図である。 図8は、本発明の実施形態によるレチクル領域を強化する方法である。 図9は、本発明の実施形態による例示的なレチクルカバーの平面図を示す。 図10は、本発明の実施形態による例示的なレチクルカバーの底面図を示す。 図11は、図9〜図10のレチクルカバーの分解図を示す。 図12は、本発明の実施形態による二重ラップポッド概念を示す。 図13は、図12の二重ラップポッドの分解図を示す。 図14は、本発明の実施形態によるロードロックを示す。 図15は、図14のロードロックの分解図を示す。 図16は、本発明の実施形態による、レチクルハンドラーコアを示す。 図17は、本発明の実施形態による、全体のレチクルハンドラを示す。 図18は、本発明の実施形態によるマスクを移送するための方法を示すフローチャートを示す。 図19は、本発明の実施形態による、マスクを移送、処置、処理するための方法を示すフローチャートを示す。 図20は、本発明の実施形態による、ロードロック内で大気圧から真空にマスクを移行するための方法を示すフローチャートを示す。 図21は、本発明の実施形態による、ロードロック内で真空から大気圧にマスクを移行するための方法を示すフローチャートを示す。 図22は、本発明の実施形態による、マスクを移送、処置、処理するための方法を示すフローチャートを示す。
(概要)
本発明の実施形態は、従来のシステムに関して改良されたレチクルを保護するためのカバーを提供する。本発明の他の実施形態は、カバーと適合するポッドまたはレチクル移送ボックスを提供する。ポッドまたは移送ボックスは、粒子に対してレチクルをさらに保護する。本発明のさらに他の実施形態は、カバーと適合するロードロック(loadlock)を提供する。大気圧と真空との間でレチクルを移行させる場合、ロードロックは、粒子に対してレチクルをさらに保護する。本発明のさらなる実施形態は、3つの別々の環境(例えばフィルターを設けた部屋の空気、ガスで浄化したミニエンバイロメント(mini−environment)および真空)を有するレチクルハンドラを提供し、各環境は、各処置工程に対してレチクルの汚染をコスト効率的に低減するために最良に適合される。本発明のさらなる実施形態では、レチクル汚染を最小化する上述の全てを有するレチクルを処理するための方法を提供する。
リソグラフィーは、従来、微粒子の汚染からレチクルのパターニングされた領域を保護するための薄膜に依存してきた。しかし、上述のように、超紫外線(EUV)光を透過する薄膜材料の不在は、このアプローチを除外する。さらに、内部アラインメントの制限は、全レチクルカバーの除去を修正することを困難にする。従って、本発明の実施形態によると、レチクルは、レチクルを支持するためのフレームを含むレチクルカバーおよび露出および洗浄の間、除去され得るパネルによって保護される。
リソグラフィーシステムが清浄な環境において動作すると、処理中に粒子が生成する。これらの粒子はレチクルを汚染し得る。このレチクルは、レチクル上の微粒子レベルが許容閾値未満に維持するように定期的に洗浄される。従って、リソグラフィーシステム内で粒子生成の原因を考察する必要がある。典型的には、他の清浄なシステム内の粒子は、磨耗の結果として生成される。従来のシステムでは、レチクルがある場所から別の場所に搬送された場合、粒子が生成される。従来のシステムでは、レチクルが移送中スライドすることが可能になるため、さらなる粒子は、移送中任意のレチクルがスライドする結果として生成され得る。最終的に従来のシステムにおける振動もまた摩擦およびこれに関連する粒子生成を引き起こす。
本発明の実施形態によると、除去可能なカバーの位置ロケータおよびリッジは、搬送およびレチクルスライド磨耗を除去するために含まれる。しかし、カバーの取り付けおよび除去は磨耗を生成し得る。同様に、従来のシステムのような振動もまた粒子形成に寄与する。従って、これらの粒子生成の原因の差異は、本発明の実施形態を実現する場合に考慮されてきた。
粒子生成に加えて、粒子沈下(settling)もまたリソグラフィーシステムを設計する際に考慮される。本発明の実施形態における除去可能なパネルの使用は、露光ステップの間を除いて、粒子が常にレチクル上に沈下する機会を除去する。露光間以外で著しい粒子沈下が発生するため、本発明の実施形態による除去可能なパネルの使用は、たとえカバーが露光ステップ間で除去されても、粒子沈下からのレチクルに対する著しい保護を提供する。
最終的には、粒子凝集も考慮しなければならない。粒子凝集は、高速運動および迅速な圧力変化によって引き起こされる乱流の間に発生する。EUVシステムでは、多くの運動が高真空環境下で発生する。従って、運動の間の乱流が最小である、例えば、ライブラリシェルフ(libraryshelf)から投影台までの運動の間の乱流が最小である。しかし圧力変化が含まれ、そのため乱流の原因が考察されなければならない。従って本発明の実施形態によると、粒子凝集のさらなる原因は、レチクルに配置されるフレームに結合された除去可能なパネルの使用によって実質的に除去される。
(2部分カバーおよびその擬似運動学的係合)
図1は、本発明の実施形態による2部分カバー102を含むシステム100の展開図を示す。2部分カバー102は、処置中にレチクル1を支持するフレーム2を含み、露光中にレチクル1およびステージ7との接触を維持する。フレーム2は開口部14を含む。この開口部14は、化学線が露光プロセス中に開口部14を通ることを可能にするためにレチクル1の視野よりも大きくあり得る。さらにフレーム2は、ステージ7に結合された取り付けデバイス9に対応する取り付けデバイス8を含む。従って、取り付けデバイス8は、フレーム2がステージ7上の取り付けデバイス9によって保持されることを可能にする。
さらに本実施形態は、リソグラフィー露光の直前にフレーム2から分離され、リソグラフィー露光の直後にフレーム2に再取り付けされたパネル3を含む。パネル3は、可視光を透過させる材料から作製され得、レチクル1の正面側の目視検査および目視識別を可能にする。
取り付けデバイス8および9は、ステージ7とフレーム2との間およびフレーム2とパネル3との間に示される。示されたように、機構対5aおよび5bは、ステージ7とフレーム2との間に含まれ得る。機構対5aおよび5bは、「中間部品」であり得、少なくとも本明細書中で説明された教示に基づいて当業者が理解するように、各部品における磁石および磁石ターゲット、機械的なファスナ(一体型のバネが装着されたラッチまたは双安定性ラッチおよび他の部品の突合せタブ等)、および重力依存デバイス(他の部品に配置された係合機構上に一体型で配置された静止機構等)を含む群から選択され得る。
本発明の実施形態によると、取り付けデバイス8および/または9は、以下の設計基準を有し得るが、これらの基準には限定されない。これらの基準は、a)取り付けデバイス8および/または9は、レチクル1をステージ7上にまたはステージ7自体に装着するロボットグリッパー4において装着され得る、2部分カバー102の外部にあるデバイスによって取り外し可能であり得、および/またはb)取り付けデバイス8および/または9の解除および再取り付けは、レチクル1の汚染を回避するために(この目的のために、非接触デバイスが使用されて取り付けデバイス8および/または9を作動させ得ることが好ましい)、最小の汚染粒子を生成すべきである、および/またはc)取り付けデバイス8および/または9は自己支持すべきであり、一旦この部品が最初に取り付けられると、付与された外部動作が互いにこれらの部品を保持するために必要とされない。
実施形態では、ロボットグリッパー4は、部品を解除するために2以上のバネが装着されたラッチを押し付けるように適応され得る。
別の実施形態では、ラッチを解除するために、ステージ7の電磁石はラッチ内の永久磁石と相互作用するように適応され得る。
さらなる実施形態では、ロボットグリッパー4の電磁石は、カバー102の両方の部品の永久磁石とターゲットとの間それぞれに磁気引力を過剰に与えることによってパネル3を解除し得る。同様にステージ7の電磁石は、フレーム102およびステージ7においてそれぞれに配置された永久磁石と磁性ターゲットとの間の磁気引力を克服するように一時的に付勢され得る。
ステージの代わりにグリッパーまたはグリッパーの代わりにステージの解除デバイス取り付け機構および配置の順序および組み合わせに限定されないが、多くの他の実施形態もまた可能である。これらの順序および組み合わせの全ては、本発明の範囲内にあることが企図される。
引き続いて、図1を参照すると、上述の実施形態の改変は、レチクル1、フレーム2、およびパネル3を互いに維持するように重力を使用し得る。詳細には、ロボットグリッパー4はパネル3を支持し、フレーム2はパネル3の上に置かれ、レチクル1はフレーム2に置かれ得る。対応する機構対5aおよび5bは、フレーム2をパネル3に対して位置合わせし、対応する機構対6aおよび6bは、パネル3をロボットグリッパー4に対して位置合わせし得る。
種々の実施形態では、機構対5aおよび5bならびに6aおよび6bは、動力学的な台(例えば溝のボールまたは円錐形の取り付け台)、穴およびスロットのだぼピン、および互いに一体型であるネスティングを含む群から選択され得る。図1に示されるように、ステージ7からのフレーム2を保持および解除するために付勢するためのデバイスがステージ7において配置されてもよいし、その代わりにロボットグリッパー4に組み込まれてもよい。
別の例示的実施形態によると、図2および図3を参照して以下に示され説明されるように、ステージ7に配置された2つ以上のバネが装着された機械的ラッチ9が使用されて、タブ8の使用によりフレーム2をステージ7に保持し得る。
さらなる実施形態では、例えばステージ7に取り付けられたソレノイド11を介して磁力を瞬間的に印加することによって、ばね10による復元力(closingforce)を克服し、それにより磁性材料で作製され得るラッチを引き込むことによってラッチの解除が達成され得る。
機構対14aおよび14bは、システム100(例えばレチクル/カバーアセンブリ)が配置される必要があるパネル3の下部側および他の表面に配置され得る。例えば、バキュームライブラリシェルフおよび標準的な機械的インターフェイス(SMIF)ポッドベースプレート上では、一般的にアイテム13として示され、以下でより詳細に説明される。
図2は、本発明の実施形態によるレチクル1およびカバー102の状態を示す。従って、図2に示された状態は、ロボットグリッパー4を用いてステージ7上に装着されたフレーム2およびパネル3を示す。一実施形態では、この状態は、ソレノイド11が付勢解除され、ラッチ9がタブ8に捕捉される場合である。この状態の間、フレーム2はその位置に固定される。この状態はロボットグリッパー4の引き込みの前に存在し得る。
図3は、本発明の実施形態によるシステム100の状態を示す。この状態の間、レチクル1およびフレーム2は、ロボットグリッパー4(図3に示されず)が引き込まれた後でステージ7によってのみ支持され、パネル3(図3には示されない)を取り出す。いくつかの実施形態では、リソグラフィー露光は、開口部14を通過するこの点において開始し得る。
ロボットグリッパー4がパネル3の代わりにフレーム2を保持する実施形態はより簡単であり得、従って他の実施形態よりも好ましい。これはパネル3およびフレーム2を互いに保持するために重力が利用されるためである。ロボットグリッパー4の下方向の運動は、フレーム2がステージ7によって捕捉された後、実質的にフレーム2からパネル3を取り外す必要があることだけである。
ステージ7に対するレチクル1のアラインメントをさらに容易にする代替の実施形態およびアラインメントに対して使用された方法の説明が以下に説明される。
本明細書の他の場所で説明されたように、レチクル1は、ウエハと一致して配置および配向されるべきである。これは、実質的には、ウエハ上にレチクルパターンから現在転写されている回路の層がウエハ上の予め存在している層に並べられることを確実にする。
いくつかの実施形態では、以下により詳細に説明されるが、レチクル1が、例えばポッド等のコンテナ(その一部はアイテム13であり得る)におけるリソグラフィーシステム(または「リソツール(lithotool)」に移送され得る。ポッドは、レチクル1と、移送の間にレチクル1から汚染粒子を分離するパネルとを支持するフレームを含み得る。これらの実施形態では、ポッドフレームの下側は、リソツールに対するSMIFポッドの配向が一義的に決定されるように、リソツールにおける整合位置調整機構に対応する位置調整機構を有し得る。
図1を再度参照すると、レチクル1は、フレーム2に組み込まれた静止点およびストップとパネル3に組み込まれたばねとの組み合わせによってフレーム2の上面の位置で安全に保持され得る。レチクル1が特定ではない位置調整機構を有する平坦な矩形であり得るため、静止点、ストップ、およびバネによって形成されたネスト内に適合する8つの態様があり得る。
レチクル1をポッドに装着する場合、パターニングされた面を有するレチクル1を、ポッドに対して所望の方向(例えば、上面を上にする)および所望の配向(例えば90°)に向けさせるように配置することに注意を払うべきである。例えば、パターンの上部エッジがポッドの正面に向けられ得る。次いで、リソツールに対するレチクル1の位置および配向は、レチクルポッドがリソツールに配置される場合に公知であり得る。典型的には、位置(X,Y)の不確定性は、約1mm(ミリメータ)のオーダーであり、角配向(θz)の不確定性は約1°のオーダーである。しかし、この精度は電流リソグラフィーに対しては十分ではない。この位置不確定性はたった数マイクロメータに低減され、角方位不確定性は1アーク未満に低減されなければならない。
従って、本発明の実施形態によると、リソグラフィーツールはプリアライナ(pre−aligner)が装備され得る。このプリアライナは、その位置および配向を修正する必要がある場合、レチクルパターン上のターゲットを目視し、レチクル1を移動させることによってリソグラフィーツールにレチクル1のパターンを精密に位置決定および位置合わせする。ロボット4または任意の他の専用移動機構は、典型的には、レチクル1をフレーム2からプリアライナに搬送し、プリアライナからステージ7に搬送する。プリアライナからステージ7への搬送は、非常に正確でなければならない。なぜなら、搬送しているデバイスによって導入された任意の位置調整誤差は、ステージ7上のレチクル1の配置精度を低減させるからである。従って、非常に正確な繰り返し可能なロボットまたは搬送機構は、プリアライナからステージ7にレチクル1を搬送する重要なステップに対して使用されるべきである。
精密運動ロボットは、深紫外線(DUV)リソグラフィーに適応するリソグラフィーシステムのプリントステージにおいて配置され得る。しかし、これはEUVリソグラフィーとして作用しないかもしれない。なぜならEUVプロセスは、真空中で行われなければならないためである。これは、上述のように常圧でEUV光の全吸収によるものである。従って、真空に適応可能なロボットが使用されなければならない。モータおよび電子機器が、真空中では除去するのが非常に困難である熱および排出ガス汚染物を生成するため、真空適合可能なロボットが真空チャンバ外部にモータおよび電子機器を有するように設計される。チャンバ内側では、長い機械的な結合が使用されて、処置されるべき物体に対するこの運動を搬送する。この配置は清浄であり、チャンバ内部に熱を生成しないが、結合のかなりの長さ、低剛性、および「遊び(play)」のため、固有の低位置決定精度および反復性を受ける。従って、利用可能な真空ロボットは、プリアライナからステージまでレチクルを搬送する重要なステップを実行するのに適している。ロボットの精度および反復性を重要でないものにする代替の解決策が望ましいことが明らかである。
図4は、パネル3が最終搬送において正確かつ反復可能な位置決定のために使用され、クリーナーおよび必然的に不正確なロボットの使用を可能にし得るシステム100の実施形態を示す。プリアライナに対するパネル3の精密な位置は、プリアライナに対する動力学的なドッキングパネル3によって達成され得る。より低いV字溝15aは円形チップピン15bと係合する。このV字溝は本実施形態ではプリアライナに設けられる。より低いハーフボール6aは、チップピン15bと同様であり得、上部のV字溝16bはV字溝15aと同様であり得る。物体を動力学的にドッキングするV字溝15aおよび16bおよび円形チップピン15bおよび16aの使用は周知であり、さらに等価で効果的な動力学的ドッキングの他の周知の設計が存在する。本発明はV字溝および円形チップピンの使用によって限定されないが、動力学的ドッキングの全ての周知の設計によって原則的に実現され得る。
次いでロボットグリッパー4はパネル/フレーム/レチクルアセンブリを取り出し、それをステージ7の真下に移動させる。同様に、ステージ7に対するパネル3の正確な動力学的位置は、ロボットグリッパー4がパネル/フレーム/レチクルアセンブリを上方に移動させる場合、ステージ7のハーフボール16aとパネル3の上部V字溝16bとを係合させることによって達成され得る。パネル3がステージ7を動力学的に係合した後、ラッチ9および静電気的なチャック17が付勢されて、それぞれタブ8によってフレーム2をクランプし、レチクル1をステージ7に対して引っ張り得る。次いでロボットグリッパー4は、ステージ7からパネル3を下方に移動させ、それを引き出し得る。
動力学的ドッキングに固有の特性は、最初のアラインメントが係合機構の捕捉範囲内であることを必要とするのみである、数ミクロンの範囲内で反復可能であり得ることである。例えば、各上部V字溝16bに対する各ハーフボール16aのアラインメントは、各ボール16aが対応する溝16bの任意の部分を最初に接触させるように存在しなければならない。この条件が満たされる場合、最初の誤アラインメントにもかかわらず、同一の最終相対位置に達する。この捕捉範囲は、係合機構の大きさに依存し得る。例えば、図1〜4に示された機構サイズを用いて、約±1mmの捕捉範囲が容易に達成可能である。この範囲は真空ロボットの典型的な反復可能性誤差よりも大きいため、意図された機能的な結合除去が達成され得る。ドッキング機構の相互作用に最終軌道を定義させるが、動力学的ドッキングに応じてロボットグリッパー4によって確立された運動軌道を強制しないように、いつロボットグリッパー4が(X,Y)平面内で追従するステージ7にパネル3を動力学的に係合させるかが必要である。ロボット機構内のかなりの長さ、低剛性、および遊びが必要とされた少量のコンプライアンスを提供し得る。
これまで説明されたように、本発明の実施形態は、精度および反復性を有するロボットを用いてプリアライナからステージ7にフレーム2を正確に搬送させる問題を解決する。さらに利用可能な搬送に対して、レチクル1は、レチクル1がプリアライナからモーメントチャック17まで持ち上げた瞬間からパネル3に対して正確に同じ位置に維持されなければならず、レチクル1は付勢される。これを保証するための1つの方法は、フレーム2内でレチクル1を緊密に固定させ、フレーム位置調整機構5aが対応する位置調整機構5bと非常に緊密なクリアランスを有することである。しかし、これは、最も望ましいシナリオではあり得ない。なぜなら緊密な固定部品は、引っ張られた場合、多くの粒子を生成する傾向があるためである。幸運にも、本発明のほとんどの実施形態では、緊密な固定が必要とされ得ない。なぜなら、この部品間の磨耗は、互いの部品に対する位置を保持するのに十分であるためである。
真空内部のモータに関連する困難のために、そして吸引グリップが真空で作用しないために、真空で適用可能なロボットが、重力および摩擦力によって3つのピン上にウエハを純粋に保持する簡単な受動グリッパーの使用を可能にするのに十分遅く加速および減速するように設計され得る。真空ロボット製造業者は、簡単なグリッパーを用いて実質的にスライドすることがないことを提供する。
従って、これまでに最終的な搬送精度問題に接近してきた。本発明の他の実施形態は、どのようにしてカバー2がレチクル1をステージ7に対して位置合わせする作業もまた容易にし得るかを示す。概して、上述のように、ポッド内でツールに入るレチクルは、パネルに対して約1mmの位置決定誤差およびパネルに対して約1°の配向誤差を有し得る。これらの誤差は数ミクロンに低減され、約1アーク未満の角度誤差に低減され得る。このようにするために、プリアライナが相対的なアラインメントおよびレチクル1のパネル3に対する位置決定を測定および修正することが適切である。なぜなら、ステージに対するパネルの動力学的ドッキングが上記に非常に正確に示されるからである。好ましくは、再位置調整は、レチクル1をフレーム2から除去することなく為されるべきであり、その結果、粒子が任意の表面上に生成されない。
種々の実施形態では、パネル1をレチクル3に位置合わせする方法は、2部分カバー102によって簡略化される。ロボットは、パネル/フレーム/レチクルアセンブリをプリアライナに導き、これにより一組の円形チップピン15bが装備される。従って、アセンブリは、円形チップピン15bに下部V字溝15aを係合させることによってプリアライナに動力学的にドッキングされる。従って、パネル3は、プリアライナに対して正確に位置合わせおよび位置決定される。従って、パネル3に対してレチクル1を正確に位置決定および位置合わせするために必要な全ては、プリアライナに対してレチクル1を正確に位置決定し、それを位置合わせすることである。こうすることによって、誤差がまず測定され、次いで修正されるべきである。
本発明の一実施形態に従って、誤差を測定する1つの方法は、プリアライナをカメラベースの視覚システムに装備することである。このシステムは、レチクルパターンのターゲットと、プリアライナに永久的に取り付けられ、円形チップピンに対して較正されたターゲットとの間の角度誤差および位置誤差を測定し得る。このパターンは、レチクル1の下部側上にあるため、カメラは、カメラが動作する波長において透過性であるパネル3を通して見る必要がある。位置誤差および角度誤差を測定するための他の周知の方法が存在し、本発明はカメラおよびターゲットのセットを用いることに限定されない。
実施形態では、プリアライナに対するレチクル1の位置配向および角度配向を修正するために、プリアライナは、X、Y、Zおよびθzの自由度を有する精密なマニピュレータが設けられ得る。このプリアライナはまた、下方からタブ8を係合することによってフレーム2をリフトすることを可能にするグリッパーを有し得る。この精密マニピュレータは、フレーム/レチクルをパネル3から最初にリフトし、次いでX、Yおよびθzを修正して、次いでフレーム/レチクルを再度パネル3に下げる。この時点では、レチクル1は、パネル3に対して位置合わせされ、ステージ7への移動の準備ができている。パネル3に対してフレーム2の再位置決定を可能にすることは、位置決定機構5aと5bとの間の十分なクリアランスが存在することを必要とする。
真空ロボットが滑りなしで物体を搬送させることを可能にすることが公知であるため、種々の機構対が精密な位置に対する精度を増大し得ることが理解されるべきである。さらに種々の機構対が安全な機構であり得、急激なロボット停止の場合に、衝突またはパワー故障によって引き起こされ得るひどい偶発的なスライドを回避し得る。その場合、精密な位置合わせが失われるが、種々の機構対は、レチクル1がロボットグリッパー4から落ちることを回避する。
最後に、1つの長距離自由度を有するスキャニングリソグラフィーシステムにおいて使用される実施形態(例えば、Y軸に沿ってスキャンする)では、プリアライナは、ステージ7のスキャン軸に一致する自由度に沿う位置誤差を修正することが必ずしも必要でない場合がある。位置誤差を測定し、その位置誤差をステージコントローラに伝達させることのみが必要であり、スキャンの間にそれに従ってステージYをオフセットすることによって位置誤差を補償し得る。
従って、種々の実施形態では、種々の機構対が、1つの水平方向の並進自由度Xのみを有するプリアライナにおける精密なマニピュレータを生じ得るが、本例の場合、Zおよびθzもさらに必要とされる。従って、プリアライナにおける精密なマニピュレータの設計は、スキャニングリソグラフィーツールのために簡略化され得る。
図5は、本発明の実施形態による方法500を示すフローチャートを示す。方法500は、2部品カバーを用いて位置合わせおよび移動させる方法であり得る。ステップ501では、パネル/フレーム/レチクルアセンブリは、パネル中の機構対およびプリアライナ中の対応する機構対の第1のセットを用いてプリアライナに動力学的にドッキングされ得る。ステップ502では、プリアライナに対するレチクルの位置オフセットおよび角度オフセットが測定される。ステップ503では、フレームが測定されたオフセットを修正するために操作され、これによりパネルに対してレチクルを再位置決定する。ステップ504では、パネル/フレーム/レチクルアセンブリがプリアライナから取り出される。ステップ505では、パネル/フレーム/レチクルアセンブリが、実質的に相対的な滑りがほとんどないステージ装着位置に移動される。ステップ506では、パネル/フレーム/レチクルアセンブリは、パネルの機構対およびこのステージに対応する機構の第2のセットを用いてステージに動力学的にドッキングされる。ステップ507では、レチクルおよびフレームがステージに組み込まれたクランピングデバイスに固定される(例えば、静電チャックおよび機械的ラッチにそれぞれ固定される)。ステップ508では、パネルはレチクルを露光するように除去される。
以前に説明されたように、本発明の実施形態は、EUVツールにおけるレチクルを処置および位置合わせする場合、微粒子汚染物生成を実質的に低減することに対して利用可能である。カバーを使用しない従来のシステムでは、レチクルがSMIFポッドから除去される/SMIFポッドにおいて置換される度に、レチクルが真空におけるライブラリにおいて置換/真空におけるライブラリから除去される度に、そしてレチクルがステージにおいて装着/脱着される度に、レチクルコンタクトが形成され/破壊される。
上記および下記の本発明の実施形態によると、従来のシステムの改良が2部品カバーを用いて実現され得る。レチクルとフレームとの間のコンタクトは、フレームが露光中であってもレチクルと接触を維持しているために決して破壊されない。いくつかの粒子がレチクル表面に生成される一方で、レチクルを処理することがレチクル表面との機械的接触が形成/破壊される回数によって直接変更されることが想定される。リソグラフィーツール内でレチクルとの接触を形成/破壊する必要性を完全に除去することによって2部品のカバーは、従来の技術において教示されたような一体型カバーを超えた重要な改良であり、これはグリッパーによるレチクルの直接処置と比較して、レチクルの表面に直接的に関連する粒子生成イベントの数を単に低減させるだけである。
本発明の実施形態による2部品カバーはまた、軟らかい材料の耐久性に関してではなく、レチクルを接触させるための軟らかい材料の使用を可能にするため、反復された磨耗動作が原則的に除去される。恐らく、十分に選択された軟らかい材料の使用によって、フレーム内のレチクルの初期の配置の間の表面損傷および粒子生成を低減させる。例えば軟らかいポリマー等の軟らかい材料は、レチクルの繊細な磨かれた表面を引っ掻くのではなくこの表面に適合させるために流れる傾向があり得る。
対照的に、2部分カバーを使用せず、ロボットグリッパーでレチクルを直接処置する従来のシステムは、グリッパーの受容可能な耐久性のためにグリッパーの接触点において硬い材料を必要とする。多くは発生しないがいくつかの接触イベントがさらに発生するので、一体型のカバーの接触点の最良な硬度は、他の場所で低下する。しかし、本発明の実施形態による2部分カバーの使用によって、変形が受け入れられない精度になる場合、2部分カバーが置換されることを可能にする。
本発明の実施形態による2部分カバーはまた、ステージに対するレチクルの予備位置合わせを容易にする。これは、低精度のロボットを用いて、プリアライナからステージまでの精密な最終搬送を可能にする。
また本発明の2部分カバーは、ロボットグリッパーよりも清潔さを保つことがより簡単であり得る。侵入型のメンテナンスがリソグラフィーツールおよび真空において深く位置されたロボットグリッパーを清潔にするように必要とされる一方で、レチクルがリソツールから排出される度にさらにより簡便にカバーを清潔にするまたは置換する機会がある。
本発明はSMIFポッドを用いてこの例示的なリソグラフィー環境の点において説明される。これらの点に関する説明は、簡便さのみのために提供される。本発明は、これらの例示的な環境における用途に限定されることを意図しない。実際には、以下の説明を読むと、現在公知であるかまたは将来開発された代替的な環境において本発明をいかにして実現するかが当業者に明らかになる。
従って、本発明の実施形態によると、システムおよび方法が使用されて、保護カバーに対するレチクルを擬似動力学的に係合する。これは、予備位置合わせ測定の間、レチクルの精密な相対位置を維持し、レチクルステージに搬送するように行われ得、それによって、リソグラフィーツールにおけるレチクルの予備位置合わせの間、機械的にレチクルを再位置決定することに対する必要性を除去する。第2に本発明は、レチクルコンタクト領域を硬化する方法を開示し、レチクルがカバーと接触するようになる場合、この方法によって、より少ない粒子を生成するようになる。
図6は、本発明の実施形態による2部分カバー102を示す。レチクル1は、フレーム2の係合機構602に対して精密な係合を可能にし得るエッジ601を有する。一実施形態では、動力学的係合機構602を接触させるレチクル1のエッジ601の少なくとも一部上で面取りが実行される。別の実施形態では、レチクル1のエッジ601の一部は、面取りではなく曲面部(radius)が形成される。さらなる実施形態では、レチクル1のコーナーにおいて改変されたエッジ部の交点が、各コーナーにおいて球面部またはトロイド部を生成する(球またはトロイドの8番目の部分)を生成し、フレーム2の各コーナーにおいて適合可能な係合機構602に対してインターフェイスを取る。ユーザは、改変されたレチクルエッジ601のどの部分が接触されるかおよびどの部分が避けられるかを選択し得る。
フレーム2における係合特性602を参照すると、配置が図6に示されるようなフレームのコーナーに限定されない。しかし、好適な実施形態では、これは有利な配置であり得る。例えば、フレーム2は、各面の中央において係合機構602を有し得る。係合機構602の実際の形状は、最良の適応レチクルエッジ601に変更され得ることが明らかである。例えば、一実施形態では、係合機構602はV字形状溝であり得る。溝602の各表面603は平坦である。この特定の形状は、レチクルエッジ601における半径に適応するように適応される。他の実施形態では、レチクルエッジ601が面取りされる場合、レチクルエッジは係合機構602における凸状溝(平坦ではなく)表面によって最良に適応されることが理解される。
本発明の実施形態によると、係合機構602の使用は、図5におけるステップ503を取り除き得る。これは非常に有利である。なぜなら、実質的に複雑なプリアライナ機構は、フレーム2を操作するために必要とされるためである。パネル3に対してフレーム2を再位置決定する必要性を取り除くことによって、リソグラフィーツールの設計が簡略化される。
図7は、本発明の実施形態によるシステム100を示す。フレーム2は、レチクル1を保持し得、動力学的機構対201aおよび201bの第1のセットを介してパネル3に動力学的に係合され得る。同様にパネル3は、動力学的機構対202aおよび202bの第2のセットを介してロボットグリッパー4に動力学的に係合され得る。さらに、動力学的機構対203aおよび203bの第3のセットを使用して、一般的にアイテム13によって示された真空ライブラリシェルフおよびSMIFポッドベースプレートに対するパネル3を動力学的に係合し得る。
図7に示される実施形態では、動力学的機構202aおよび203bは、同じ溝を共有する。202aは溝の最も内側の部分内において202bにインターフェイスを取り、203aは溝の最も外側の部分内において203bにインターフェイスを取る。この相対的な配置が逆転され得ることが当業者に明らかである。別の溝がこれらの動力学的機構のそれぞれを実現するために使用され得ることも明らかである。
別の実施形態では、一体型のカバーが使用され得る。この実施形態では、フレーム2が一体型のカバーを生成するためにパネル3に固定され得る(例えば、パネル3と同じ材料のブロックから接着または作製される)。この一体型カバーは、レチクル1のリソグラフィー露光に対して完全に除去される。従って、機構対201aおよび201bは、この一体型カバーの実施形態において必要とされ得ない。
(硬化されたレチクル)
レチクル1のEUV反射コーティングが実質的には繊細かつ軟らかくてもよいことが一般的に公知である。従って、接触された場合はいつでも、コーティングは粒子を生成する傾向があり得る。従って、レチクル1の反射面によってレチクル1を支持するかまたは処置する目的のために使用され得るEUV反射コーティングのない指定された領域を有することが望ましい。従って、これを行うために、より硬い基板材料は、被覆されていない(以後「露光された」)表面をもたらす。不幸にも、むきだしのレチクル基板(以後「むきだしのスポット」と呼ぶ)を露光する領域を生成することが実用的に非常に困難であるように見える。むきだしのスポットを生成する1つの公知の方法は、EUV反射コーティングを堆積するために使用されるイオンビームの堆積プロセスの間、むきだしのスポットを被覆するマスクを使用することである。このアプローチに関する問題は、マスクがプロセスの終了時に除去される場合、堆積プロセスの性質のために、緩い粒子またはフレークがマスク上に形成されそして破壊される傾向があり、いくつかの粒子またはフレークがレチクル上に堆積され、レチクルを汚染する。基板の露光領域のための別の公知の方法は、処置のために指定された領域からEUV反射コーティングを選択的にエッチングすることである。このアプローチに関する問題は、このエッチングプロセスもまたレチクルの残存領域を損傷する傾向があることである。
この開示の他の箇所で説明されたように、レチクルを支持する問題は曲面に切断されたエッジまたは面取りされたエッジを用いることによってのみ解決され得ることも明らかであり得る。しかしこれは真実ではない。なぜなら、EUV反射コーティングの脆弱性は、未処理(blank)の基板が、コーティングの前、そして均一(すなわちコーティングを堆積するスパッタリングプロセスによって生成された非選択的カバレッジ)にするために、最終形状に機械加工されたエッジを既に有さなければならないことが示されるため、マスクされていない面取りされたエッジまたは曲面に切断されたエッジはまた、脆弱な材料でコーティングされるようになるからである。
上記問題を解決するために、EUV反射材料をより硬い材料でコーティングすることが提案されてきた。この材料の通常の選択は、レチクルパターンを生成すなわち「書き込む」ために、EUV反射層の上部上に堆積されおよび選択的にエッチングされたEUV遮光層である。不幸にも修正された光特性を有するために、この層は非常に薄くなければならない。軟らかい反射材料の上部上の薄い遮光層は、機械的処置接触の高い水平方向の応力下において破壊される可能性がある。遮光層の上面上に薄い層を追加することおよび選択的にエッチングすることが可能であるが、高価であり確認されていない。
従って、必要とされることは、むきだしのスポットを生成するためにコーティングのマスキングまたは除去を必要とせず、反射コーティングの本来の軟らかさおよび脆弱性を改善し得るプロセスである。さらに必要とされることは、選択されたコンタクト領域におけるむきだしのスポットもこの領域をさらなる保護層で被覆することも必要としない方法である。
EUV反射層の本来の軟らかさがその多層の性質のためであることが推測される。当該分野で公知のように、EUV反射層は、以後「多層構造」または簡単に「多層」と呼び、これはモリブデンおよびシリコンの約100の交互の層を含み得、この層を構成する層は、たった約数ナノメータの厚さである。シリコンもモリブデンも通常は軟らかい材料ではない。従って、本発明の実施形態によると、これらの材料は、所望の接触スポットにおいて互いに局所的に溶融され、この軟らかい多層構造をより硬い合金化された材料の均一な層に変換し得る。純粋に簡便さのために、以後、多層のより硬い物質への局所的な変換を生成するプロセスを「局所化された熱処理」と呼ぶ。
いくつかの実施形態では、所望の硬さを達成するために多層を完全に溶融することが必ずも必要ではないかもしれない。これは、熱によって、多層の各材料を1つ以上の他の材料への速やかな拡散を引き起こし、より均一な層を形成することが公知であるためである。これは、多層の構成要素の任意の融点未満の十分な温度であっても発生し得る。従って、局所化された熱処理も、多層を溶融の代わりに内部拡散によって均一な層に多層を変換するように適用され得ることが明らかである。
他の実施形態では、層上の異種物質の堆積および拡散は、この層を強化するために使用され得る。従って、このような物質を層に添加することを意図した異種物質の存在する接触領域の局所化された加熱は、熱処理プロセスとして実行される。
図8は、本発明の実施形態による方法800を示すフローチャートを示す。方法800は、多層構造のEUV反射コーティングを局所的に変換することによってコンタクトを処置することが意図されたレチクル内の強化領域のために使用され得る。ステップ802では、レチクル基板をEUV反射多層構造(現在の技術では、モリブデン−シリコンまたはモリブデン−ルテニウム−シリコン多層)でコーティングする動作が実施される。ステップ804では、多層構造の局所的に加熱された部分をより強い(より硬い、より強靭な)材料に変換するために、コンタクトを処理することが意図された領域を局所的熱処置する動作が実施される。
実施形態では、例えば、恐らく反応剤および触媒を含む化学物質の存在下で、強力なレーザビームをレチクルの指定された領域上に集光させることによってステップ804が実施され得る。放射エネルギーの他のタイプは、例示のレーザビームと置換され得る。無線周波数電磁場を使用する例示的な誘導加熱などの他の局所化された加熱方法が使用され得る。
典型的なEUVレチクル基板材料および多層自体の低い熱伝導率は、所望の領域に対して排他的に多層変換の局所化を容易にする。これは、レチクルのパターニングされたフィールドの内部または付近においてEUV反射材料を意図的でなく変更する恐れのないように行われ得る。多層構造はその独特の光特性を維持するために未処理のままであるべきである。本開示において他の箇所で提案されたように、レチクル1のコーナーにおけるコンタクト領域の配置は、フィールドまでの距離を最大化し、それにより、コンタクト領域を局所的に加熱処理する効果を、レチクルのパターニングされたフィールドの光特性に関する限りは無視できるようにする。
(実質的に平坦なレチクルカバー)
図9〜11は、本発明の実施形態によるレチクルカバー902を示す。所定のイベント間で除去可能なレチクルカバー902は、レチクル(例えばマスク)901を保護する。光の所定の波長に対して透過性であり得るレチクル901は、支持パッドまたはスペーサー903、ネスティングピン904、動力学的ロケータ(例えばマスクロケータ)905、およびホール906を含む。ホール906は、カバー902とレチクル901との間の加圧されたガス掃引の注入を可能にするために使用され得、そしてガスフィルタを含み得る。種々の材料がパッド903およびピン904を作製するために使用され得、その結果これらの材料はレチクル901に損傷を与えないかまたはレチクルとの接触を形成または破壊する場合に粒子を削減する。いくつかのクリアランスが、カバー902を除去するために、レチクル901とネスティングピン904との間で必要とされるため、レチクルはカバー902に関して少量スライドし得る。上述の実施形態にわたる改良は、カバー902が実質的に平坦であることである。実質的に平坦な設計を利用することによって、ほとんどの液体は洗浄中にトラップされない。なぜなら、この液体をトラップし得るポケットまたはキャビティが存在しないためである。従って、カバー902は、洗浄または「超洗浄」することが簡単である。いくつかの実施形態では、浴内での超音波洗浄、すすぎ、およびスピン乾燥は、カバー902を洗浄する。従って、洗浄することが困難な従来の複雑なカバーとは対照的に、カバーの構成のために、カバー902は洗浄することが非常に容易である。
(二重ラップされたレチクルボックス(例えばレチクルポッド))
図12〜図13は、本発明の実施形態によるレチクルボックスまたはポッド1250(以後「ポッド」と呼ぶ)の側面図および分解図をそれぞれ示す。例示的なポッド1250のより多くの機構は、図17に示され、以下に詳細に説明される。ポッド1250は、カバーまたは蓋1256に固定された(ラッチ(図示せず)によって固定され得る)ベース1254を有するガス気密可能な外部ボックス1252を含む。上記カバー902と同様のプレート1258は、穴またはキャビティを有さない実質的に平坦であり得る。これにより、粒子の生成を低減し、プレート1258の洗浄をより容易にする。さらに、粒子生成はスクリュー等を必要とすることなくさらに低減され得る。粒子シーリングデバイス1260(例えば内部または第1のラップ)は、粒子に対してレチクル1を保護するために使用され得、ガスシーリングデバイス1262(例えば外部または第2のラップ)は外部ボックス1252をガス気密にするために使用され得、それにより分子汚染物に対して内部または第1のラップ1263を保護する。粒子シーリングデバイス1260は、ポッド1250が閉じる場合、ガスシーリングデバイス1262より前に係合し、ポッド1250が開く場合、ガスシーリングデバイス1262より後に係合解除する。これは両方のガスシーリングデバイスがない従来のシステムとは対照的であり得る。なぜなら、従来のシステムは真空および粒子シーリングデバイスを使用しないからである。
外部ボックス1252は、ガス透過性内部カプセル1263を内部に固定し、このカプセルは微粒子汚染物に対して保護し、簡単な洗浄のために取り外し可能な部分を有する。内部カプセル1263はドーム1264(例えばPyrex(R)ガラスドーム)を含む。これは、プレート1266(例えば、ポリイミド、ESDグレードポリエーテルイミド等から形成またはコーティングされる)に結合された薄壁(例えば2mm)を有し得る。レチクル1およびレチクルカバー102(例えばPyrex(R)ガラス等から形成される)は、ロボットグリッパー(図示しない)と相互作用し得る内部カプセル1263の内側に位置決定される。デバイス1268(例えばバネ等)を使用して、ドーム1264を蓋1256に結合し得、移動中のレチクル1を固定するために内部カプセル1263に押し付ける圧力を付与する。これはまた、粒子シーリングデバイス1260を圧縮する。レチクル1の表面1302は、ガラス、クロムメッキ(例えばCrメッキ)、または耐久性材料を用いるメッキであり得る。使用中に、ポッド1250のカバーまたは蓋1256は、レチクル1に近づけるために除去される。フィルタリングされた通路1304は、ドーム1264とプレート1266との間に含まれた量をポッド1250内に含まれた残りの量に接続させ、ガスが2つの量間に流れることを可能にするが粒子の流れを妨げ得る。例示的なフィルタリングされた通路1304は、膜ガスフィルタ等で被覆されたドーム1264の壁を通るホールであり得る。別の例は、焼成されたパウダー金属ガスフィルタ等で充填されたプレート1258を通るホールであり得る。当該分野で公知のように、他の位置およびフィルタリングデバイスが使用され得ることが理解されるべきであり得る。アラインメントデバイス1306は、ポリイミドがコーティングされた表面を有し得る。
ポッド1250の種々の部品を製造するために使用された上記例示的な材料は、粒子の生成を低減させる。これらの材料は好適な例として使用されるのみであり、他の公知の材料が使用され得ることが理解されるべきであり得る。
このシステムを使用する方法論に関して以下により詳細に説明されたように、ポッド1250は2つのステージで開かれ得る。第1に蓋1256を所定量だけリフトし、ガスシーリングデバイス1262によって引き起こされたガスシールを破壊する。これはガスをポッド1250に流し、粒子をガスを用いて移動させる。しかし、粒子はレチクル1に直接到達することができない。
ドーム1264の内部の圧力と大気圧とを等しくするために、ガスはファイルタリングされた通路1304を通って流れる。第2に、蓋1256が連続的にリフトされ得る場合、ドーム1264は、プレート1266からリフトされ得る。ドーム1264内部の圧力が以前のステップで大気圧に等しくされた後、蓋がリフトされた場合、ドーム1264の内部または外部のガスまたは粒子の著しい流れが存在し得ない。これらの実施形態では、ドーム1264、プレート1266のいずれか、またはこれらの両方はガス透過性である。すなわち、これらは、ガスフローがドーム1264の内部または外部間の圧力差を除去することを可能にする。
(ロードロック)
図14〜図15は、本発明の実施形態によるロードロックの側面図および分解図をそれぞれ示す。一実施形態では、レチクル1401(支持ピン1404の上面上にあり得る)およびレチクルカバー1402は、ベース1403とドーム1405との間で位置決定される。ドーム除去デバイス(例えばドームリフタ)1406はモータ1407、親ねじ1408、およびベロー1409を含む。ロードロックはまた、大気側および真空側ゲートバルブのための開口部1410を含む。上述の部分の全ては、下部セクション(例えば真空シェル)1411および上部セクション(例えば真空シェルフルーフ)1412によって形成された密閉物の内側に位置決定される。さらにロードロックは、ドームとシートとの間の粒子フローを妨げるために、ポッドおよび/または粒子シーリングデバイスのドームの開口端と実質的に一致するシールシートを含み得る。このロードロックは、ドーム内部のガス圧とドーム外部のガス圧とを等しくするフィルタリングされた通路1413((例えば、膜ガスフィルタで被覆されたドーム壁を貫通する穴))と、ロードロックにおける空気中またはガス中(以後両方とも「空気中」と呼ぶ)の粒子を検出するためのデバイス(例えばセンサまたは検出器)とをさらに含み得る。
典型的には、ロードロックは、主にロードロックをポンプダウンまたは排気するためにシールするゲートバルブのためにかなり汚れている。シールが形成または破壊される度に、空気中またはガス中の粒子となる粒子が生成される。あるいは、ゲートバルブは、多くの移動、摩擦、部品によって生じる磨耗、および潤滑物を有する複雑な機構アセンブリである。これは、不純物をロードロックの内部に蓄積させる。ロードロックを排出する間、ガスはロードロックに流れ、圧力を大気圧に等しくし、これにより粒子の運動を引き起こす。あるいは、ロードロックをポンプダウンする場合、ガスがロードロックの外部から流れ、これにより粒子の運動を引き起こす。従って、本発明の実施形態によるドームおよびプレートの内側のレチクルのカプセル化を用いることによってレチクルは粒子から保護される。
(レチクルハンドラ)
図16〜図17は、本発明の実施形態によるレチクルハンドラーコア1701およびレチクル処理システムをそれぞれ示す。レチクル処理システムはコア環境(例えば真空およびミニエンバイロメント)および大気(空気)環境を含む。このコア環境は実質的にレチクルハンドラーコア1701に位置決定される。図16を参照すると、レチクルハンドラーコア1701は、真空チャンバ1602内にレチクル1601を含む。レチクル1601は、2つのアームを有し得る真空ロボット1603を介して真空チャンバ1602によって移動される。レチクルコア1701はまた、真空チャンバ1602とプロセスチャンバとの間にゲートバルブ1604を含む。レチクルコア1701は、ロードロックターボポンプ1606およびロードロックゲートバルブ1607を有するロードロック1605をさらに含む。レチクルコア1701は、ポッド1609を開けるポッド解除器(de−podder)1608をさらに含む。ロードロックおよびポッド解除器の開口部は、清浄なガスミニエンバイロメントチャンバ1610に接続し、ミニエンバイロメントロボット1611を介してアクセス可能である。
動作中では、レチクル(この図では見えない)がミニエンバイロメントロボット1611によって開いたポッド1609から除去される。次いで、レチクルはゲートバルブ1607を介して内側のロードロック1605に配置される。ロードロックがポンプダウンされ、レチクルが真空ロボット1603によってロードロックから除去される。レチクル1601は、ロボット1603を用いて真空チャンバ1602を介して移送され、プロセスチャンバ(この図では示されない)の内側のゲートバルブ1604を介して配置される。処理後、真空ロボット1603は、ゲートバルブ1604を貫通するプロセスチャンバからレチクルを除去し、レチクルをゲートバルブ1607を介したゲートロック1605の内側に配置させる。次いでこのロードロックが排出され、ミニエンバイロメントチャンバ1610を入れる前に、レチクル1601が右から左にロードロック1605を通過する。ミニエンバイロメントチャンバ1610は、清浄な、フィルタ処理された、および/または乾燥したガス(例えば乾燥窒素)で満たされ得る。次いでミニエンバイロメントロボット1611は、レチクルをロードロックから除去し、レチクルをポッド解除器1608内に配置された開いたポッド1609に配置させる。次いでこのポッド解除器はポッドを閉じる。
ここで図17を参照すると、レチクルハンドラシステムはまた、ポッド1703を移動させるために使用される大気ロボット1702を有する空気環境または大気環境を含む。ポッド1703は、示されたようにポッド収容ラックに収容され得る。ポッド1703は、典型的には、オペレータが掴む上部部分にわたって示されたバーまたはハンドルを使用し、種々のロボットが係合するハウジングの種々の部分を使用して処理される。上方部分において示されたポッドエレベータ1705は、投入位置1706においてオペレータによって配置されたポッド1703をロボット1702の処置面に上げる。あるいは、ポッド1703は、ロボット1702によって直接到達され得る位置1707にポッド1703を配置させるオーバーヘッドトラック(図示せず)によってツールに送達され得る。ロボット1702は、上部エレベータストップ1712、ポッド収容ラック1714、オーバヘッド位置1707、および/またはポッド解除器1609間のポッド1703を移動させることが可能である。一旦ポッド1703がポッド解除器1609内に配置されると、レチクルハンドラコア1701は、上記で詳細に説明されたようにポッド1703を開いてレチクル1601を処理する。同様に、レチクル1601が処理された後、レチクルハンドラコア1701はポッド1703にレチクル1601を置換し、ポッド1703を閉じる。従って作業は、ポッド1703の外部でレチクル1601を処理するレチクルハンドラコア1701とポッド1703のみを処置するハンドラの大気圧部分との間で分割される。
これらの2つのサブシステムは、ポッド解除器1609によって互いにレチクル1601の処理を変換する。図16に示されるレチクルハンドラコア1701は、この図(図17)においても見える大気ロボット1702の下にある。読み手の理解を助けるために、真空チャンバ1602、ミニエンバイロメントチャンバ1610、ポッド解除器1609、およびミニエンバイロメントロボット1611が指摘される。大気の環境およびコア環境の両方において収容能力が存在し得る。
いくつかの実施形態では、フィルタ処理された空気環境は、ポッド1703上に符号化されたIDマーク、ポッド1703の取り付けられたスマートタグ等を読み出すための識別ステーションをさらに含み得る。
いくつかの実施形態では、ガスミニエンバイロメントは、(a)マスク上で符号化されたIDマークを読み出すための識別ステーション、(b)入来マスクの温度を所定の処理温度に等しくするための熱調整ステーション、(c)マスクの表面の少なくとも1つ上の汚染物を検出するためのマスク検査ステーション、(d)マスクの表面の少なくとも1つから表面汚染物を除去するためのマスク洗浄ステーション、(e)機械に対してマスクを正確に配向するためのマスク配向ステーションを含み得る。あるいは、いくつかの実施形態では、ミニエンバイロメントは、フィルタ処理された乾燥空気、合成空気、乾燥窒素および乾燥酸素の混合物、および/または乾燥窒素、あるいは他のガスを含む群から選択されたガスを用いて浄化する。
いくつかの実施形態では、真空部分は、(a)マスク上で符号化されたIDマークを読み出すための識別ステーション、(b)少なくとも1つのマスクを一時的に格納するためのライブラリ、(c)入来マスクの温度を所定の処理温度に等しくするための熱調整ステーション、(d)マスクの少なくとも1つの表面上の汚染物を検出するマスク検査ステーション、(f)マスクの表面の少なくとも1つから表面汚染物を除去するためのマスク洗浄ステーション(g)機械に対するマスクを正確に配向するためのマスク配向ステーション、および/または(h)少なくとも1つのマスクを処理するための処理ステーションを含む。いくつかの実施形態では、処理ステーションは、光を用いてマスクの表面上のパターンをフォトレジストでコーティングされたウエハ上にフォトリソグラフィカルに再生するためのものである。いくつかの実施形態では、光の波長がスペクトルの超紫外線(EUV)部分に対応し、この光の波長は10〜15ナノメータであり、好適には13nmである。
(方法論)
図18は、本発明の実施形態によるマスクを移送する方法1800を示すフローチャートを示す。ステップ1802では、マスクの第1の部分が除去可能な粒子カバーを用いて被覆される。これは、第1の部分が空気中の粒子によって汚染されることから保護される一時的なマスクカバー構成を生成する。ステップ1804では、マスクの第2の部分が被覆されていないままである。ステップ1806では、この構成はガス気密ボックスの内側に封入される。空気中の分子汚染物からマスクを保護するために、このボックスは、マスク運搬部分およびマスク運搬部分から分離可能な蓋を有し得る。ステップ1806では、ボックスの内側の構成が移送される。
図19は、本発明の実施形態によるマスクを移送、処置、および処理するための方法1900を示すフローチャートを示す。ステップ1902では、マスクの第1の部分は、除去可能な粒子カバーを用いて被覆される。これは、第1の部分がガス中の粒子によって汚染されることから保護される、一時的なマスクカバー構成を生成する。ステップ1904では、マスクの第2の部分がカバーされていないままである。ステップ1906では、この構成がガス気密ボックスの内側に封入される。空気中の分子汚染物からマスクを保護するために、このボックスは、マスク運搬部分およびスク運搬部分から分離可能な蓋を有し得る。
ステップ1908では、この構成を含むボックスは、処理ツールに移送される。この処理ツールは、以下の各コンポーネントの少なくとも1つを有し得る。ポッド解除器、ミニエンバイロメントチャンバ、ミニエンバイロメントマニピュレータ、ロードロック、真空チャンバ、真空マニピュレータ、およびマスク台を有し得る。ステップ1910では、この構成を含むボックスは、ポッド解除器の第1の開口部上に配置され、その結果ボックスの蓋は、ボックスの蓋が第1の開口部を通るガスフローを遮断する。ステップ1912では、ポッド解除器の内側は、清浄なガスによって排気される。ステップ1914では、このボックスは蓋からマスク運搬部分を分離し、蓋を、ガスフローを遮断するための位置に保持し、そしてマスク運搬部分およびポッド解除器の内側構成を移動させることによって開けられる。ステップ1916では、ミニエンバイロメントマニピュレータを用いて、そして第1のロードロック開口部を介してこの構成をロードロックの内側に配置することによって、この構成は、ポッド解除器から第2のポッド解除器の開口部を通ってミニエンバイロメントチャンバに抽出される。ステップ1918では、ロードロックはポンプダウンされる。ステップ1920では、この構成は、ロードロックから第2のロードロック開口部を通ってロードロックから抽出され、真空マニピュレータを用いて真空チャンバの内側に移動される。ステップ1922では、この構成はマスク台上に配置され、その結果マスクの被覆されていない部分は台と接触する。ステップ1924では、この台はマスクを保持する。ステップ1926では、カバーがマスクから分離され、真空マニピュレータを用いて除去または再位置調整される。ステップ1928では、マスクが処理される。
図20は、ロードロックにおいて、マスクを大気圧から真空に移行するための方法2000を示すフローチャートを示す。ステップ2002では、マスクがロードロック内部に配置される。ステップ2004では、マスクがドームを用いて被覆され、ロードロックにおける空気中の粒子がマスクに到達することを妨げる。ステップ2006では、ロードロックが閉じられる。ステップ2008では、ロードロックがポンプダウンされる。ステップ2010では、ロードロックは真空に向かって開く。ステップ2012ではマスクはドームを引っ張ることによって取り除かれる。ステップ2014では、マスクはフィードロックから除去される。
図21は、ロードロックにおいて真空から大気圧にマスクを移動させるための方法2100を示すフローチャートを示す。ステップ2012では、マスクはロードロック内部に配置される。ステップ2104では、マスクはドームで被覆される。被覆ステップ2104は、以後の排気ステップおよび開放ステップの間、ロードロック内側で空気中の粒子になる粒子がマスクに到達することを妨げるためのステップである。ステップ2106では、ロードロックが閉じられる。ステップ2108では、ロードロックが排気される。ステップ2110では、ロードロックの大気端が大気環境に開放される。ステップ2112では、空気中の粒子が沈降する。ステップ2114では、マスクはドームを引っ張ることによって除去される。ステップ2116では、マスクはロードロックから除去される。
図22は、マスクを移送、処置、および処理するための方法2200を示すフローチャートを示す。ステップ2202では、マスクは、空気中の分子汚染物から保護されるためにマスク運搬部およびマスク運搬部から分離可能な蓋を有するガス気密ボックスの内側にマスクが封入される。ステップ2204では、マスクを含むボックスが、以下の各コンポーネントの内の少なくとも1つを有する処理ツールに移送される。(a)ポッド解除器、(b)ミニエンバイロメントチャンバ、(c)ミニエンバイロメントマニピュレータ、(d)ロードロック、(e)真空チャンバ、(f)真空マニピュレータおよびマスク台。ステップ2206では、マスクを含むボックスは、ポッド解除器の第1の開口部上に配置され、その結果ボックスの蓋は、第1の開口部を通るガスフローを遮断する。ステップ2208では、ポッド解除器の内側は、清浄なガス(例えば乾燥窒素)を用いて排気される。ステップ2210では、このボックスは蓋からマスク運搬部分を分離し、蓋をガスフローを遮断するための位置に保持し、そしてマスク運搬部分およびマスクをポッド解除器の内側構成に移動させることによって開けられる。ステップ2212では、ミニエンバイロメントマニピュレータを用いて、そして第1のロードロック開口部を介してマスクをロードロックの内側に配置することによって、マスクは、ポッド解除器から第2のポッド解除器の開口部を通ってミニエンバイロメントチャンバに抽出される。ステップ2214では、ロードロックはポンプダウンされる。ステップ2216では、マスクは第2のロードロック開口部を通ってロードロックから抽出され、真空マニピュレータを用いて真空チャンバの内側に移動される。ステップ2218では、このマスクはマスク台上に配置される。ステップ2220では、マスクが処理される。
要約すると、上述のいくつかの実施形態においてレチクルは3つの環境に遭遇する。すなわちポッド環境(例えば、排気された乾燥ガスミニエンバイロメント)、ロードロックへのポッド解除器環境(例えば真空)、およびロードロックからチャックへの環境である。レチクルは各環境の移動の間カプセル化され得る。いくつかの実施形態では、2重ラップポッドは、ポッドを開くことによって、ポッド解除器を排気することによって、空気フローが安定化するのを待つことによって、カプセルを開くことによって、カプセルからレチクルおよび/またはカバーを抽出することによって使用される。他の実施形態では、ドームを有する特別の設計のロードロックは、レチクルおよび/またはカバーをロードロック内に配置することによって、レチクルをドームで被覆することによって、ロードロック排気するために排出することによって、空気フローが安定することを待つことによって、このドームをリフトすることによって、ロードロックからレチクルおよび/またはカバーを抽出することによって使用される。さらなる実施形態では、粒子沈降は圧力移動間のガスフローを制御することによってまたはレチクル前面のガスフロー(カーテン/バリア)をフィルタリングすることによって、物理障壁を用いることなくパターニングされた領域上で防がれる。なおさらなる実施形態では、レチクルは、ガス透過性カバーを用いた粒子不透過性の物理バリアで保護される。レチクルは、カバーを付けた状態でポッド内側に格納される。レチクルおよびカバーはロードロック内に配置され、圧力がカバーを付けた状態で遷移され、カバーは真空環境内部で一度に除去される。
上述の実施形態を使用することによって、種々のシステムおよびシステムの一部に対して非理想的な材料を使用する場合であっても粒子生成が低減される。これは、保護フレーム、カバーなどを用いることおよび操作スキームを用いることによって部分的に達成される。
結論として、本発明によれば、空気中の粒子による汚染からマスクを保護するためのシステムおよび方法が使用される。このシステムおよび方法は、2部分カバーにおいて固定されたレチクルを提供することを含む。2部分カバーは、レチクルを汚染から保護するために使用された除去可能な保護デバイスを含む。このカバーは、ポッドまたはボックス内側に保持されて、カバーをリソグラフィーシステムによって大気セクションから真空セクションまで移送するために使用得る。除去可能なカバーが真空セクションにあるが、このカバーは露光プロセスの間移動され得、この間、レチクル上のパターンがウエハ上に形成され得る。
本発明の種々の実施形態が上記で示されてきたが、これらの実施形態は、例示のみによって示され、限定されないことが理解されるべきである。形態および詳細の種々の変形が本発明の精神および範囲を逸脱することなく為され得ることが当業者に明らかである。従って、本発明の広さおよび範囲は、上述された例示的な実施形態のいずれかによって限定されないが、以下の特許請求の範囲およびその等価物によってのみ限定されるべきである。

Claims (11)

  1. 矩形状の反射型マスクを保持するためのフレームであって、前記マスクの反射面を開放した状態で前記マスクの端部周囲を実質的に囲むとともに前記マスクの前記反射面の端部で前記マスクを支持するリム部を含む、フレームを備える、装置。
  2. 前記フレームには、ラッチとの連携に適したタブが設けられている、請求項1に記載の装置。
  3. 前記フレームには、前記マスクに接触する合わせ機構であって前記フレームに対して前記マスクを位置付ける合わせ機構が設けられている、請求項1又は2に記載の装置。
  4. 前記合わせ機構は、前記フレームの少なくとも1つのコーナーに設けられている、請求項3に記載の装置。
  5. 前記フレームには、動力学的ロケータと連携するための穴が設けられている、請求項1〜4のいずれかに記載の装置。
  6. 前記マスクの前記反射面と実質的に同一サイズを有し、前記マスク側を向く第1の面および前記マスク側を向かない第2の面を有する、プレートをさらに備える、請求項1〜5のいずれかに記載の装置。
  7. 前記プレートには、前記プレートの前記第1の面から突起するとともに前記フレームと接触する動力学的ロケータが設けられている、請求項6に記載の装置。
  8. 前記プレートは、前記マスクの前記反射面の検出を可能にするために透過性を有する、請求項6又は7に記載の装置。
  9. 反射面を有するレチクルを覆うカバーであって、
    前記反射面と実質的に同一サイズであって、使用時に前記反射面と実質的に平行に維持され、かつ前記レチクルの前記反射面の検出を可能にするプレートと、
    前記プレートに付随するフレーム部であって、前記レチクルに当該レチクルの端部で接触する合わせ機構であって前記プレートに対して前記レチクルを位置付ける合わせ機構を含む、フレーム部と、
    を備える、カバー。
  10. 前記フレームには、動力学的ロケータの一部が設けられている、請求項9に記載のカバー。
  11. レチクルを保護するカバーを備え、
    前記カバーは、
    前記カバーを前記レチクルに結合し、少なくとも前記レチクルの一部を内部に収容するフレームと、
    露光プロセスの間前記レチクルに光が直接的にアクセスすることを可能にするように、露光位置に前記レチクルがあるときに前記レチクルから離される再配置可能なパネルと、
    を有し、
    前記フレームは前記露光プロセスの間前記レチクルへ結合されており、
    前記レチクルの少なくとも一部を収容し支持する前記フレームの一部は内部延在部である、
    システム。
JP2011086217A 2002-02-22 2011-04-08 レチクルを保護する2部分カバーを用いるシステムおよび方法 Expired - Lifetime JP5186018B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US35835402P 2002-02-22 2002-02-22
US60/358,354 2002-02-22
US36412902P 2002-03-15 2002-03-15
US60/364,129 2002-03-15
US41435802P 2002-09-30 2002-09-30
US60/414,358 2002-09-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007293776A Division JP4758410B2 (ja) 2002-02-22 2007-11-12 実質的に気密であるボックス

Publications (2)

Publication Number Publication Date
JP2011138168A JP2011138168A (ja) 2011-07-14
JP5186018B2 true JP5186018B2 (ja) 2013-04-17

Family

ID=27739178

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2003046630A Pending JP2003257852A (ja) 2002-02-22 2003-02-24 レチクルを保護する2部分カバーを用いるシステムおよび方法
JP2007293776A Expired - Lifetime JP4758410B2 (ja) 2002-02-22 2007-11-12 実質的に気密であるボックス
JP2011086217A Expired - Lifetime JP5186018B2 (ja) 2002-02-22 2011-04-08 レチクルを保護する2部分カバーを用いるシステムおよび方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2003046630A Pending JP2003257852A (ja) 2002-02-22 2003-02-24 レチクルを保護する2部分カバーを用いるシステムおよび方法
JP2007293776A Expired - Lifetime JP4758410B2 (ja) 2002-02-22 2007-11-12 実質的に気密であるボックス

Country Status (6)

Country Link
US (6) US7304720B2 (ja)
EP (1) EP1341042A3 (ja)
JP (3) JP2003257852A (ja)
KR (3) KR20040002437A (ja)
CN (1) CN1323325C (ja)
TW (1) TWI319123B (ja)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6847434B2 (en) * 2000-02-10 2005-01-25 Asml Holding N.V. Method and apparatus for a pellicle frame with porous filtering inserts
KR100597035B1 (ko) * 2001-03-01 2006-07-04 에이에스엠엘 네델란즈 비.브이. 마스크핸들링방법, 마스크, 그를 위한 그리퍼를 포함하는기구 또는 장치, 디바이스 제조방법 및 그 디바이스
US7304720B2 (en) * 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
SG107133A1 (en) * 2002-02-22 2004-11-29 Asml Holding Nv System and method for using a two part cover for protecting a reticle
US7008487B1 (en) * 2002-03-04 2006-03-07 Micron Technology, Inc. Method and system for removal of contaminates from phaseshift photomasks
JP2003315983A (ja) * 2002-04-22 2003-11-06 Mitsubishi Electric Corp フォトマスク
US7061589B2 (en) * 2002-09-03 2006-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for mounting a hard pellicle
US6822731B1 (en) * 2003-06-18 2004-11-23 Asml Holding N.V. Method and apparatus for a pellicle frame with heightened bonding surfaces
US7068347B2 (en) * 2002-12-20 2006-06-27 Intel Corporation Apparatus for reducing pellicle darkening
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
EP1434094A1 (en) * 2002-12-27 2004-06-30 ASML Netherlands B.V. Container for a mask
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
TWI234692B (en) * 2003-03-11 2005-06-21 Asml Netherlands Bv Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
TWI224719B (en) * 2003-05-28 2004-12-01 Gudeng Prec Ind Co Ltd Reinforced structure device of mask frame
US7084961B2 (en) * 2003-12-22 2006-08-01 Asml Netherlands B.V. Safety mechanism for a lithographic patterning device
EP1500980A1 (en) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7123344B2 (en) * 2003-09-29 2006-10-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005123292A (ja) * 2003-10-15 2005-05-12 Canon Inc 収納装置、当該収納装置を用いた露光方法
TWI288305B (en) * 2003-10-27 2007-10-11 Asml Netherlands Bv Assembly of a reticle holder and a reticle
EP1531363A1 (en) * 2003-10-27 2005-05-18 ASML Netherlands B.V. Reticle holder
US7236233B2 (en) * 2003-10-27 2007-06-26 Asml Netherlands B.V. Assembly of a reticle holder and a reticle
JP4332409B2 (ja) * 2003-10-31 2009-09-16 キヤノン株式会社 基板保持機構およびそれを用いた露光装置並びにデバイス製造方法
WO2005047981A2 (en) * 2003-11-10 2005-05-26 Nikon Corporation Thermophoretic techniques for protecting reticles from contaminants
US6862817B1 (en) * 2003-11-12 2005-03-08 Asml Holding N.V. Method and apparatus for kinematic registration of a reticle
KR101003666B1 (ko) * 2003-12-10 2010-12-23 엘지디스플레이 주식회사 정렬장치
US20050223973A1 (en) * 2004-03-30 2005-10-13 Infineon Technologies Ag EUV lithography system and chuck for releasing reticle in a vacuum isolated environment
FR2869452B1 (fr) * 2004-04-21 2006-09-08 Alcatel Sa Dispositif pour le transport de substrats sous atmosphere controlee
US7136151B2 (en) * 2004-07-29 2006-11-14 Asml Holding N.V. Reticle gripper barrier system for lithography use
JP2008512147A (ja) * 2004-09-07 2008-04-24 スミス アンド ネフュー インコーポレーテッド 最小厚さの骨プレート係止機構
JP2006078763A (ja) * 2004-09-09 2006-03-23 Fujitsu Ltd 露光用マスクの製造方法
US7477358B2 (en) * 2004-09-28 2009-01-13 Nikon Corporation EUV reticle handling system and method
US7551265B2 (en) 2004-10-01 2009-06-23 Nikon Corporation Contact material and system for ultra-clean applications
JP2006128188A (ja) * 2004-10-26 2006-05-18 Nikon Corp 基板搬送装置、基板搬送方法および露光装置
CN101006554A (zh) * 2004-10-29 2007-07-25 株式会社尼康 标线保护构件、标线运送装置、曝光装置及标线运送方法
JP4710308B2 (ja) * 2004-10-29 2011-06-29 株式会社ニコン レチクル搬送装置、露光装置、及びレチクルの搬送方法
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
JP4667018B2 (ja) * 2004-11-24 2011-04-06 ミライアル株式会社 レチクル搬送容器
JP2006173273A (ja) * 2004-12-14 2006-06-29 Miraial Kk レチクル搬送容器
JP4581681B2 (ja) * 2004-12-27 2010-11-17 株式会社ニコン レチクル保護装置および露光装置
US7528936B2 (en) * 2005-02-27 2009-05-05 Entegris, Inc. Substrate container with pressure equalization
US7607543B2 (en) * 2005-02-27 2009-10-27 Entegris, Inc. Reticle pod with isolation system
JP2006245257A (ja) * 2005-03-03 2006-09-14 Canon Inc 処理装置、当該処理装置を有する露光装置、保護機構
US20060243300A1 (en) * 2005-04-27 2006-11-02 Patrick Klingbeil Method for cleaning lithographic apparatus
JP4667140B2 (ja) * 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
EP1928764B1 (en) * 2005-09-27 2011-11-02 Entegris, Inc. Reticle pod
US20070076292A1 (en) * 2005-09-27 2007-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fully electric field shielding reticle pod
JP4789566B2 (ja) * 2005-09-30 2011-10-12 ミライアル株式会社 薄板保持容器及び薄板保持容器用処理装置
JP4692238B2 (ja) * 2005-11-15 2011-06-01 株式会社ニコン マスク収納容器開装置、露光装置
US7522263B2 (en) 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
US20070146658A1 (en) * 2005-12-27 2007-06-28 Asml Netherlands B.V. Lithographic apparatus and method
TWI417649B (zh) * 2005-12-28 2013-12-01 尼康股份有限公司 十字標記運送裝置、曝光裝置、十字標記運送方法以及十字標記的處理方法
US7808616B2 (en) * 2005-12-28 2010-10-05 Nikon Corporation Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
US7537114B2 (en) * 2006-01-25 2009-05-26 International Business Machines Corporation System and method for storing and transporting photomasks in fluid
US20070190430A1 (en) * 2006-02-10 2007-08-16 Heng-Chung Wu Photo-mask stage
US20080060974A1 (en) * 2006-02-21 2008-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Mask carrier treatment to prevent haze and ESD damage
US7773198B2 (en) * 2006-03-28 2010-08-10 Nikon Corporation Filtered device container assembly with shield for a reticle
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
WO2007149513A2 (en) * 2006-06-19 2007-12-27 Entegris, Inc. System for purging reticle storage
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
TW200809943A (en) * 2006-08-15 2008-02-16 Gudeng Prec Ind Co Ltd Cleaning device for photo mask
JP4863064B2 (ja) * 2006-08-22 2012-01-25 大日本印刷株式会社 露光用マスクの管理方法
US7933000B2 (en) * 2006-11-16 2011-04-26 Asml Netherlands B.V. Device manufacturing method, method for holding a patterning device and lithographic apparatus including an applicator for applying molecules onto a clamp area of a patterning device
US20080128303A1 (en) * 2006-12-05 2008-06-05 Nikon Corporation Device container assembly with adjustable retainers for a reticle
US7808612B2 (en) * 2007-04-05 2010-10-05 Asml Netherlands B.V. Lithographic apparatus and method for masking a substrate
US10586722B2 (en) * 2007-05-30 2020-03-10 Brooks Automation, Inc. Vacuum substrate storage
WO2008154907A2 (de) * 2007-06-21 2008-12-24 GeSIM Gesellschaft für Silizium-Mikrosysteme mbH Verfahren und vorrichtung zur übertragung von mikro- oder nanostrukturen durch kontaktstempeln
JP5169206B2 (ja) 2007-12-21 2013-03-27 日本電気株式会社 フォトマスク受納器並びにこれを用いるレジスト検査方法及びその装置
US8215510B2 (en) * 2008-03-24 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask storage apparatus
NL1036785A1 (nl) 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
US8424703B2 (en) * 2008-05-01 2013-04-23 Brooks Automation, Inc. Substrate container sealing via movable magnets
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
JP2010027810A (ja) * 2008-07-17 2010-02-04 Yaskawa Electric Corp 真空搬送装置
US8071262B2 (en) * 2008-11-05 2011-12-06 Micron Technology, Inc. Reticles with subdivided blocking regions
NL2003638A (en) 2008-12-03 2010-06-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
TWI344926B (en) * 2008-12-05 2011-07-11 Gudeng Prec Industral Co Ltd Reticle pod
KR102211255B1 (ko) * 2009-05-15 2021-02-02 가부시키가이샤 니콘 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법
US8159654B2 (en) * 2009-06-03 2012-04-17 Matsushita Seiki Co., Ltd. Pressure body and pellicle mounting apparatus
TWI412883B (zh) * 2009-06-04 2013-10-21 Matsushita Seiki Co Ltd 加壓體及薄膜黏貼裝置
TWI411563B (zh) 2009-09-25 2013-10-11 Gudeng Prec Industral Co Ltd 光罩盒
US8207504B2 (en) * 2009-11-19 2012-06-26 Applied Materials Israel, Ltd. Inspection of EUV masks by a DUV mask inspection tool
TWI450324B (zh) * 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd 微影設備之光罩清潔方法及微影設備之光罩清潔系統
CN101825841B (zh) * 2010-03-30 2012-07-04 东莞宏威数码机械有限公司 掩膜存储清洗系统
TWI481835B (zh) * 2010-04-20 2015-04-21 Oto Photonics Inc 適用於光譜儀之狹縫座模組與光譜儀
TW201206787A (en) * 2010-04-30 2012-02-16 Fortrend Engineering Corp Opener for extreme ultra violet lithography reticle pods
TWI414464B (zh) 2011-01-11 2013-11-11 Gudeng Prec Ind Co Ltd 具有固定結構之極紫外光光罩儲存傳送盒
US8888086B2 (en) * 2011-05-11 2014-11-18 Sematech, Inc. Apparatus with surface protector to inhibit contamination
JP5665679B2 (ja) * 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
NL2008806A (en) * 2011-07-22 2013-01-24 Asml Holding Nv Lithographic apparatus and device manufacturing method.
US8925290B2 (en) * 2011-09-08 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Mask storage device for mask haze prevention and methods thereof
FR2986815B1 (fr) * 2012-02-13 2014-12-19 Micro Controle Spectra Physics Dispositif et systeme d'alignement et de maintien en position de poutres.
US9851643B2 (en) * 2012-03-27 2017-12-26 Kla-Tencor Corporation Apparatus and methods for reticle handling in an EUV reticle inspection tool
JP5943519B2 (ja) * 2012-09-20 2016-07-05 ヒューグルエレクトロニクス株式会社 基板ケース洗浄装置
EP2909110B1 (en) 2012-10-19 2017-08-30 Entegris, Inc. Reticle pod with cover to baseplate alignment system
US8939289B2 (en) * 2012-12-14 2015-01-27 Shenzhen China Star Optoelectronics Technology Co., Ltd Packing box for liquid crystal display panel and waterproof structure thereof
JP6217101B2 (ja) * 2013-03-22 2017-10-25 富士電機株式会社 半導体装置の製造方法及び取り付け治具
KR102218656B1 (ko) * 2013-05-08 2021-02-23 삼성디스플레이 주식회사 마스크 조립체 및 이의 제조 방법
CN103713468A (zh) * 2013-12-24 2014-04-09 京东方科技集团股份有限公司 一种掩模板盒
KR101464706B1 (ko) * 2014-07-16 2014-11-28 마이다스시스템주식회사 스캔 앤 스텝 노광기
KR102205403B1 (ko) * 2014-10-08 2021-01-21 삼성디스플레이 주식회사 증착용 마스크 포장 용기
US10558129B2 (en) 2014-11-17 2020-02-11 Asml Netherlands B.V. Mask assembly
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
KR20230019229A (ko) * 2015-01-26 2023-02-07 가부시키가이샤 니콘 마스크 케이스, 보관 장치 및 방법, 반송 장치 및 방법, 그리고 노광 장치
CN105988303B (zh) * 2015-02-26 2018-03-30 上海微电子装备(集团)股份有限公司 一种掩模版传输装置及传输方法
JP2016170310A (ja) * 2015-03-13 2016-09-23 株式会社荏原製作所 レチクル搬送装置、検査装置およびレチクル搬送方法
USD761261S1 (en) * 2015-06-09 2016-07-12 Teco Image Systems Co., Ltd Handheld scanner
US9915867B2 (en) 2015-09-24 2018-03-13 International Business Machines Corporation Mechanical isolation control for an extreme ultraviolet (EUV) pellicle
KR102502727B1 (ko) * 2015-11-09 2023-02-23 삼성전자주식회사 레티클 및 그를 포함하는 노광 장치
US11249392B2 (en) 2017-01-25 2022-02-15 Gudeng Precision Industrial Co., Ltd EUV reticle pod
CN108375872B (zh) * 2017-01-25 2022-04-15 家登精密工业股份有限公司 极紫外光光罩容器
TWI634383B (zh) * 2017-01-26 2018-09-01 家登精密工業股份有限公司 光罩盒
CN110809736B (zh) * 2017-06-15 2023-10-24 Asml荷兰有限公司 表膜和表膜组件
KR102134639B1 (ko) * 2017-08-14 2020-07-17 구뎅 프리시젼 인더스트리얼 코포레이션 리미티드 기밀성 측정 방법과 시스템 및 이로 측정되는 용기
KR101880401B1 (ko) * 2017-09-15 2018-07-20 주식회사 미래솔루텍 마스크 어셈블리 케이스
US10658215B2 (en) * 2017-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle transportation container
US10684559B2 (en) 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage
TWI690771B (zh) * 2018-01-11 2020-04-11 家登精密工業股份有限公司 光罩壓抵單元及應用其之極紫外光光罩容器
KR102570321B1 (ko) * 2018-02-14 2023-08-24 삼성디스플레이 주식회사 표시 장치용 포장 용기
KR200488135Y1 (ko) * 2018-06-14 2018-12-17 박철규 섀도우 마스크 위치고정장치
DE202019101794U1 (de) * 2018-06-27 2019-10-09 Murata Machinery, Ltd. Vorrichtungen zum mindestens einen aus Substrat-Handhabung, Substrat-Lagerung, Substrat-Behandlung und Substrat-Verarbeitung
KR102445717B1 (ko) * 2018-09-12 2022-09-21 주식회사 원익아이피에스 마스크, 그가 적재되는 마스크적재모듈, 마스크적재모듈을 가지는 기판처리시스템
WO2020088394A1 (en) * 2018-10-29 2020-05-07 Gudeng Precision Industrial Co., Ltd Reticle retaining system
US11137693B2 (en) * 2018-11-30 2021-10-05 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Pellicle holder, pellicle inspection apparatus, and pellicle inspection method
CN109633853B (zh) * 2018-12-25 2020-11-27 同济大学 一种自清洁旋转棱镜装置
TWI705522B (zh) * 2019-07-30 2020-09-21 家登精密工業股份有限公司 基板容納裝置及其製造方法
TWD209117S (zh) * 2019-08-02 2021-01-01 家登精密工業股份有限公司 光罩傳送盒之上蓋
TWD209927S (zh) * 2019-08-02 2021-02-21 家登精密工業股份有限公司 光罩傳送盒之上蓋
WO2021104681A1 (en) * 2019-11-25 2021-06-03 Brooks Automation (Germany) Gmbh Euv reticle stocker and method of operating the same
US11555791B2 (en) 2019-12-03 2023-01-17 Corning Incorporated Chamber for vibrational and environmental isolation of thin wafers
JP7176165B2 (ja) * 2020-04-24 2022-11-22 家登精密工業股▲ふん▼有限公司 Euvレチクルポッド
TWI803860B (zh) * 2020-04-30 2023-06-01 美商恩特葛瑞斯股份有限公司 光罩盒密封
TWI767515B (zh) * 2020-05-14 2022-06-11 家登精密工業股份有限公司 提供有效密封之用於容納基板的容器
US20220100106A1 (en) * 2020-09-30 2022-03-31 Gudeng Precision Industrial Co., Ltd Workpiece container system
US11874596B2 (en) * 2020-09-30 2024-01-16 Gudeng Precision Industrial Co., Ltd Workpiece container system
EP4222778A1 (en) * 2020-10-02 2023-08-09 Entegris, Inc. Wafer container and size adaption system therefor
TWI770791B (zh) * 2021-01-28 2022-07-11 家登精密工業股份有限公司 具有快拆式支撐機構之光罩盒
US11822257B2 (en) * 2021-03-12 2023-11-21 Gudeng Precision Industrial Co., Ltd. Reticle storage pod and method for securing reticle
US20220404696A1 (en) * 2021-06-18 2022-12-22 Entegris, Inc. Bonded layer on extreme ultraviolet plate
CN114114824B (zh) * 2022-01-26 2022-05-20 上海传芯半导体有限公司 一种光掩模保护罩、具有保护结构的光掩模及其制备方法
EP4258330A1 (en) * 2022-04-08 2023-10-11 Brooks Automation (Germany) GmbH Stocker pod, method and stocker for storing a semiconductor fabrication article
CN114823370B (zh) * 2022-05-10 2022-10-14 山东汉旗科技有限公司 指纹识别芯片封装结构及其封装的方法

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US21781A (en) * 1858-10-12 Improved method o f lighting street-lamps by electricity
US3615006A (en) 1969-06-26 1971-10-26 Ibm Storage container
US4369475A (en) 1979-01-26 1983-01-18 Priam Enclosed disc drive with improved air flow
US4248508A (en) 1979-08-03 1981-02-03 The Perkin-Elmer Corporation Projection mask storage and carrier system
US4250388A (en) 1979-08-16 1981-02-10 General Electric Company Device for interfacing an x-ray image intensifier and spot film device
US4412739A (en) 1981-01-13 1983-11-01 Ppg Industries, Inc. Hinged glass photomask assembly
US4443098A (en) 1982-12-21 1984-04-17 General Signal Corporation Pellicle mounting fixture
US4549843A (en) * 1983-03-15 1985-10-29 Micronix Partners Mask loading apparatus, method and cassette
JPS6083032A (ja) 1983-10-13 1985-05-11 Asahi Chem Ind Co Ltd 光透過性に優れたフオトマスク用防塵カバ−
JPS61245163A (ja) 1985-04-23 1986-10-31 Nippon Kogaku Kk <Nikon> マスク保護装置
JPS6197924A (ja) 1984-10-19 1986-05-16 Nippon Sheet Glass Co Ltd 保護カバ−
US4724874A (en) 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4719705A (en) 1986-06-24 1988-01-19 The Perkin-Elmer Corporation Reticle transporter
US4760429A (en) 1986-11-05 1988-07-26 The Perkin-Elmer Corporation High speed reticle change system
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
US4973217A (en) 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4986007A (en) 1987-03-25 1991-01-22 Svg Lithography Systems, Inc. Reticle frame assembly
JPH0675188B2 (ja) 1988-02-29 1994-09-21 キヤノン株式会社 ペリクル着脱機構
JPH0298122A (ja) 1988-10-04 1990-04-10 Mitsubishi Electric Corp X線転写装置
JP3037745B2 (ja) 1990-11-29 2000-05-08 三井化学株式会社 ペリクル構造体
JP2534167B2 (ja) 1991-06-18 1996-09-11 株式会社斉木製作所 露光装置におけるマスク保持装置
JP3089590B2 (ja) 1991-07-12 2000-09-18 キヤノン株式会社 板状物収納容器およびその蓋開口装置
US5469963A (en) * 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US5422704A (en) * 1992-07-13 1995-06-06 Intel Corporation Pellicle frame
US5296893A (en) 1992-07-31 1994-03-22 Vlsi Technology, Inc. Box for an optical stepper reticle
EP0582018B1 (en) 1992-08-04 1995-10-18 International Business Machines Corporation Pressurized interface apparatus for transferring a semiconductor wafer between a pressurized sealable transportable container and a processing equipment
JP3200776B2 (ja) * 1992-08-06 2001-08-20 大日本印刷株式会社 基板保持用ケース
US5344677A (en) 1992-08-27 1994-09-06 Hong Gilbert H Photochemically stable deep ultraviolet pellicles for excimer lasers
US5308989A (en) 1992-12-22 1994-05-03 Eaton Corporation Fluid flow control method and apparatus for an ion implanter
US5453816A (en) 1994-09-22 1995-09-26 Micro Lithography, Inc. Protective mask for pellicle
JP3356897B2 (ja) * 1994-12-16 2002-12-16 信越化学工業株式会社 ペリクル収納容器
JPH0968792A (ja) 1995-08-31 1997-03-11 Shin Etsu Chem Co Ltd フィルター付ペリクル
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5727685A (en) 1995-10-19 1998-03-17 Svg Lithography Systems, Inc. Reticle container with corner holding
US6317479B1 (en) 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
JPH09320935A (ja) 1996-05-28 1997-12-12 Canon Inc X線マスク、該x線マスクを用いたx線露光装置、前記x線マスクを用いた半導体デバイスの製造方法、及び前記x線マスクを用いて製造した半導体デバイス
JPH09306820A (ja) * 1996-05-17 1997-11-28 Canon Inc X線マスク構造体とその製造方法、x線露光方法およびx線露光装置、並びに半導体デバイスとその製造方法
US5964561A (en) 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JPH11153855A (ja) * 1997-08-29 1999-06-08 Nikon Corp マスクケース、搬送装置及び搬送方法
KR19990019435A (ko) * 1997-08-29 1999-03-15 윤종용 반도체 소자 제조방법
TW510004B (en) 1997-08-29 2002-11-11 Nikon Corp Photomask case, conveying device, and conveying method
KR19990025656A (ko) 1997-09-13 1999-04-06 이종수 골프공 연속공급장치
KR19990025656U (ko) * 1997-12-17 1999-07-05 구본준 레티클 카세트
US5928817A (en) 1997-12-22 1999-07-27 Intel Corporation Method of protecting an EUV mask from damage and contamination
KR200195116Y1 (ko) * 1998-03-07 2000-09-01 김영환 레티클 공급장치
JPH11295880A (ja) 1998-04-07 1999-10-29 Seiko Epson Corp ペリクルフレーム
AU3731299A (en) * 1998-05-20 1999-12-06 Nikon Corporation Method and apparatus for wafer transportation, exposure system, micro device, and reticle library
JP2000019721A (ja) * 1998-07-03 2000-01-21 Canon Inc レチクル容器、露光方法及びデバイス製造方法
US6197454B1 (en) * 1998-12-29 2001-03-06 Intel Corporation Clean-enclosure window to protect photolithographic mask
US6216873B1 (en) * 1999-03-19 2001-04-17 Asyst Technologies, Inc. SMIF container including a reticle support structure
US6251543B1 (en) 1999-06-14 2001-06-26 Agere Systems Guardian Corp. Process for fabricating a projection electron lithography mask and a removable reusable cover for use therein
JP2001033943A (ja) * 1999-07-23 2001-02-09 Mitsubishi Electric Corp マスク装置
JP3647330B2 (ja) * 1999-09-02 2005-05-11 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6239863B1 (en) * 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6279249B1 (en) 1999-12-30 2001-08-28 Intel Corporation Reduced particle contamination manufacturing and packaging for reticles
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
AU2002218763A1 (en) * 2000-07-10 2002-01-21 Asyst Technologies, Inc. Smif container including an electrostatic dissipative reticle support structure
US6609632B2 (en) 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6734445B2 (en) 2001-04-23 2004-05-11 Intel Corporation Mechanized retractable pellicles and methods of use
US6569582B2 (en) 2001-04-23 2003-05-27 Intel Corporation Hinged pellicles and methods of use
US6566018B2 (en) * 2001-04-23 2003-05-20 Intel Corporation Dual-member pellicle assemblies and methods of use
US6734443B2 (en) 2001-05-08 2004-05-11 Intel Corporation Apparatus and method for removing photomask contamination and controlling electrostatic discharge
US6630988B2 (en) 2001-06-28 2003-10-07 Intel Corporation Reticle stop block apparatus and method
US6646720B2 (en) * 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
US6767674B2 (en) * 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
US7304720B2 (en) 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
US6803159B2 (en) 2002-03-28 2004-10-12 Intel Corporation Method of keeping contaminants away from a mask with electrostatic forces
US6733024B1 (en) * 2002-10-29 2004-05-11 Egidio Savegnago Commode convertible wheelchair apparatus

Also Published As

Publication number Publication date
US7304720B2 (en) 2007-12-04
US7209220B2 (en) 2007-04-24
US20030227605A1 (en) 2003-12-11
US8446570B2 (en) 2013-05-21
TW200304051A (en) 2003-09-16
KR20060026934A (ko) 2006-03-24
CN1323325C (zh) 2007-06-27
CN1456938A (zh) 2003-11-19
US20110001955A1 (en) 2011-01-06
JP2003257852A (ja) 2003-09-12
US7830497B2 (en) 2010-11-09
EP1341042A3 (en) 2004-03-31
EP1341042A2 (en) 2003-09-03
US20060087639A1 (en) 2006-04-27
JP2011138168A (ja) 2011-07-14
KR100868744B1 (ko) 2008-11-13
KR20070030253A (ko) 2007-03-15
US20130010277A1 (en) 2013-01-10
US20070258061A1 (en) 2007-11-08
US20030218728A1 (en) 2003-11-27
TWI319123B (en) 2010-01-01
US6906783B2 (en) 2005-06-14
KR100730676B1 (ko) 2007-06-21
KR20040002437A (ko) 2004-01-07
JP2008118146A (ja) 2008-05-22
JP4758410B2 (ja) 2011-08-31

Similar Documents

Publication Publication Date Title
JP5186018B2 (ja) レチクルを保護する2部分カバーを用いるシステムおよび方法
JP2023143925A (ja) マスクアセンブリ
KR100562803B1 (ko) 레티클 이송 시스템 및 그 방법과 기판 이송 시스템 및 그방법
US9851643B2 (en) Apparatus and methods for reticle handling in an EUV reticle inspection tool
US20060291982A1 (en) Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
KR20070083524A (ko) 기판 반송 장치, 기판 반송 방법 및 노광 장치
JP2004214658A (ja) マスク用コンテナ、リソグラフ・マスクをコンテナ内へ移送する方法及びコンテナ内のマスクを走査する方法
US6862817B1 (en) Method and apparatus for kinematic registration of a reticle
JP2007141925A (ja) マスク収容容器、露光装置
CN101650528B (zh) 使用两件式盖子保护模版的系统和方法
SG177023A1 (en) System and method for using a two part cover for protecting a reticle
SG193643A1 (en) System and method for using a two part cover for protecting a reticle

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110411

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130118

R150 Certificate of patent or registration of utility model

Ref document number: 5186018

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term