KR100730676B1 - 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법 - Google Patents

레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법 Download PDF

Info

Publication number
KR100730676B1
KR100730676B1 KR1020060019943A KR20060019943A KR100730676B1 KR 100730676 B1 KR100730676 B1 KR 100730676B1 KR 1020060019943 A KR1020060019943 A KR 1020060019943A KR 20060019943 A KR20060019943 A KR 20060019943A KR 100730676 B1 KR100730676 B1 KR 100730676B1
Authority
KR
South Korea
Prior art keywords
mask
delete delete
reticle
box
station
Prior art date
Application number
KR1020060019943A
Other languages
English (en)
Other versions
KR20060026934A (ko
Inventor
산티아고 델 푸에르토
에릭 알. 루프스트라
안드레 마사
두아네 피. 키스
압둘라 알리칸
우드로우 제이. 올슨
요나단 에이치. 페로체
Original Assignee
에이에스엠엘 홀딩 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 홀딩 엔.브이. filed Critical 에이에스엠엘 홀딩 엔.브이.
Publication of KR20060026934A publication Critical patent/KR20060026934A/ko
Application granted granted Critical
Publication of KR100730676B1 publication Critical patent/KR100730676B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67353Closed carriers specially adapted for a single substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Library & Information Science (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

마스크가 공기로 운반되는 파티클에 의한 오염되는 것을 방지하기 위한 시스템 및 방법을 제공한다. 이 시스템 및 방법은, 2개 파트 커버에 고착된 레티클을 제공한다. 2개 파트 커버는 오염물로부터 레티클을 보호하는데 사용되는 탈착가능한 보호 장치를 포함한다. 이 커버는 리소그래피 시스템을 통해 대기 영역으로부터 진공 영역으로 커버를 이송하는데 사용될 수 있는 포드(pod) 또는 박스내에 수용될 수 있다. 진공 영역내에 있는 동안, 탈착가능한 커버는 레티클 상의 패턴이 웨이퍼 상에 형성될 수 있는 노광 공정 동안 이동될 수 있다.
로드록, 디포더, 마스크 수용부, 리드

Description

레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템 및 방법{SYSTEM AND METHOD FOR USING A TWO PART COVER FOR PROTECTING A RETICLE}
도 1은 본 발명의 일실시예에 따라 레티클 상에서 위치되는 2개 파트 커버의 전개도.
도 2는 본 발명의 일실시예에 따라 스테이지 상으로 로봇에 의해 로딩되는 2개 파트 커버내의 레티클을 도시하는 설명도.
도 3은 본 발명의 일실시예에 따른 리소그래피에 노광되는 중인 레티클을 보여주는 다이어그램.
도 4는 본 발명의 일실시예에 따라 2개 파트 커버를 뚜렷하게 외삽한 설명도.
도 5는 본 발명의 일실시예에 따라 2개 파트 커버를 이용하는 정렬 및 이송 방법을 나타내는 흐름도.
도 6은 본 발명의 일실시예에 따라 정합 피쳐(registration feature)를 나타내는 설명도.
도 7은 본 발명의 일실시예에 따라 정합 피쳐를 갖는 2개 파트 커버를 뚜렷하게 외삽한 도.
도 8은 본 발명의 일실시예에 따라 레티클내의 영역을 강화하는 방법을 나타 내는 흐름도.
도 9 및 도 10은 각각 본 발명의 실시예에 따른 레티클 커버의 상면도 및 저면도.
도 11은 도9 및 도10의 레티클 커버의 확대도.
도 12는 본 발명의 실시예에 따른 이중 랩 포드(wrap pod) 개념을 나타내는 설명도.
도 13은 도 12의 이중 랩 포드의 전개도.
도 14는 본 발명의 실시예에 따른 로드록을 나타낸 설명도.
도 15는 도 14의 로드록의 전개도.
도 16은 본 발명의 실시예에 따른 레티클 핸들러 코어를 나타낸 설명도.
도 17은 본 발명의 실시예에 따른 전체 레티클 핸들러를 나타낸 설명도.
도 18은 본 발명의 실시예에 따라 마스크를 이송하는 방법을 나타낸 흐름도.
도 19는 본 발명의 실시예에 따라 마스크를 이송하고, 취급하며, 처리하는 방법을 나타내는 흐름도.
도 20은 본 발명의 실시예에 따라 마스크를 대기압으로부터 로드록내의 진공 상태로 전환하는 방법을 나타내는 흐름도.
도 21은 본 발명의 실시예에 따라 마스크를 진공으로부터 로드록내의 대기압으로 전환하는 방법을 나타내는 흐름도.
도 22는 본 발명의 실시예에 따라 마스크를 이송하고, 취급하며, 처리하는 방법을 나타내는 흐름도.
* 도면의 주요 부분에 대한 부호 설명 *
1 레티클 2 프레임
3 패널 4 로봇 그리퍼
7 스테이지 8,9 부착 장치
102 커버
본 발명은 일반적으로 리소그래피에 관한 것으로서, 보다 상세히는 펠리클을 이용하지 않는 리소그래피 레티클의 보호에 관한 것이다.
리소그래피는 기판 표면 상에 형상을 생성하는데 사용하는 프로세스이다. 그 기판은 평판 패널 디스플레이, 회로 기판, 다양한 집적 회로 등의 제조에서 사용되는 것을 포함할 수 있다. 예를 들어, 반도체 웨이퍼를 집적 회로를 제조하기 위해 기판으로서 사용할 수 있다.
리소그래피에서, 원하는 패턴을 기판 상에 전사하기 위해 레티클을 이용한다. 이 레티클은 사용되는 리소그래피 파장에 대하여 투과성을 갖는 재료로 형성될 수 있으며, 예를 들어, 가시광의 경우라면 유리로 형성될 수 있다. 레티클은 사용되는 리소그래피 파장, 예를 들어 극자외선(EUV)을 반사하도록 또한 형성될 수 있다. 레티클은 자신의 표면 상에 인쇄된 이미지를 갖는다. 레티클의 사이즈는 사용되는 특정 시스템에 맞게 선택된다. 예를 들어, 6x6 인치 크기와 1/4 인치의 두께를 갖는 레티클을 사용할 수 있다. 리소그래피에서, 웨이퍼 스테이지 상에 배치되어 있는 웨이퍼는, 레티클 상에 인쇄되어 있는 이미지에 대응하여 웨이퍼의 표면 상으로 투영되는 이미지에 의해 노광된다.
투영된 이미지는, 층의 특성, 예컨대 웨이퍼의 표면 상에 증착된 포토레지스트층의 특성에 변화를 일으킨다. 이러한 변화는 노광 동안 웨이퍼 상으로 투영되는 형상에 대응한다. 노광 단계에 이어서, 이 층을 에칭하여 패턴층을 생성할 수 있다. 이 패턴은 노광 동안 웨이퍼 상으로 투영되는 형상에 대응한다. 이러한 패턴층을 사용하여 도전층, 반도체층, 또는 절연층과 같이 웨이퍼내의 기저 구조층의 노광된 부분을 제거한다. 이후, 이 프로세스를, 원하는 형상을 웨이퍼 표면 상에 형성할 때까지, 다른 단계들과 함께 반복한다.
상기한 설명에서 명백하듯이, 리소그래피로 인해 발생하는 형상의 정밀한 위치 및 크기는 웨이퍼 상으로 투영되는 이미지의 정확도 및 정밀도와 직접적으로 관련있다. 서브-100nm 리소그래피는 리소그래피 툴뿐만 아니라 레티클에도 엄격한 조건을 요구한다. 레티클 상에 쌓이는 공기 중의 파티클 및 먼지로 인하여 웨이퍼 상에 결함이 발생할 수 있다. 레티클 면 내의 작은 이미지의 왜곡 또는 변위는 임계 체적(critical dimension) 및 오버레이 에러 허용치보다 클 수 있다. 종래의 해결방법은 영구적으로 고정된 투과성을 갖는 박막을 레티클용 펠리클로서 이용하는 것이다.
이 펠리클은 리소그래피 프로세스의 모든 단계 동안 제 위치에 있다. 펠리클은 웨이퍼 상으로 투영되는 이미지의 정밀도를 개선하는 데 있어서 이중적인 역 할을 수행한다. 첫째, 이 펠리클은 레티클이 미립자 오염물과 직접 접촉하지 않도록 레티클을 보호하는 역할을 수행한다. 상기한 바와 같이, 레티클 상에 쌓이는 파티클은 이미지 왜곡을 발생시킬 수 있기 때문에 제거되어야 한다. 그러나, 레티클로부터 파티클들을 제거하는 것은 이러한 제거가 레티클과의 직접적인 접촉에 관련될 수 있기 때문에 레티클 손상을 발생시킬 수 있다. 펠리클을 사용하면, 파티클들은 레티클이 아닌 펠리클 상에 쌓일 것이다. 따라서, 세정해야만 하는 것은 펠리클이 된다. 레티클이 아닌 펠리클을 세정하는 것은, 그 펠리클이 세정되는 동안 펠리클 자체에 의해 레티클이 보호되기 때문에 레티클의 청정도에 있어서 보다 적은 위험을 내포한다.
펠리클이 수행하는 두번째 역할은 펠리클의 스탠드오프(standoff)와 관련있다. 노광 동안, 초점면은 레티클 상에 인쇄된 이미지 위치에 대응한다. 펠리클을 포함함으로써, 시스템내의 어떠한 파티클들이라도 레티클이 아닌 펠리클 상에 쌓일 것이다. 펠리클의 두께, 펠리클의 표면 및 레티클의 패턴화 간의 거리로 인하여, 이러한 파티클은 초점면 내에 존재하지 않을 것이다. 펠리클은 파티클을 초점면으로부터 벗어나게 하기 때문에, 기판 상으로 투영되는 이미지가 이러한 파티클을 포함할 가능성은 크게 감소된다.
상기한 해결책은 종래의 많은 리소그래피 처리 기술에서 기능을 충분히 발휘한다. 따라서, 이러한 시스템을 이용하는 것은, 투과성 펠리클과 레티클을 제조하기 위한 재료가 이용가능하기 때문에 광이 레티클과 펠리클을 통해 진행하는 시스 템에서 편리하다. 그러나, 펠리클을 이용하는 방식은 EUV 응용의 경우에는 적절하지 못하며 그 이유는 사용되는 광의 단 파장이 기체 또는 고체를 통해 전달될 때 쉽게 흡수되기 때문이다.
따라서, 현재로서는 페리클을 제조하는데 사용될 수 있는 재료 중 EUV에 대하여 충분히 투과성을 갖는 재료가 없다. EUV 리소그래피에서, EUV는 레티클을 통과하지 못하고, 레티클의 이미지 측으로부터 반사된다. 이러한 기술은 반사성 리소그래피(reflective lithography)로 공지되어 있다. 펠리클이 반사 리소그래피 프로세스에서 사용된다면, EUV는, 우선 레티클 측을 향하여 진행 중에 한 번, 그리고 다시 레티클에서 반사된 후에 한 번, 이렇게 펠리클을 반드시 두 번 통과할 것이다. 따라서, EUV 처리 기술에서는 펠리클과 연관된 어떠한 양의 광 손실이라도 실제로는 두 배로 된다.
따라서, 시스템을 통과하는 EUV 광의 품질을 실질적으로 감소시키지 않으면서 오염물로부터 레티클을 보호할 수 있는 시스템 및 방법이 필요하다.
본 발명의 실시예는 마스크를 이송하는 방법을 제공하며, 이 방법은, (a) 마스크 커버 장치를 형성하기 위해 탈착가능한 파티클 커버로 마스크의 제1부를 커버하는 단계, (b) 마스크 수용부(mask-carrying portion)와 마스크 수용부로부터 분리가능한 리드를 갖는 기밀 박스내에 그 장치를 밀폐하는 단계, (c) 박스내의 장치를 이송하는 단계를 포함한다.
본 발명의 실시예는 마스크를 이송하는 기밀 박스(gas-tight box)를 제공하 며, 이 박스는 마스크 수용부, 리드(lid), 가스가 상기 마스크 수용부와 리드간에 흐르는 것을 방지하는 가스 밀폐 장치(gas sealing device), 및 리드를 마스크 수용부에 임시 부착하여 고정시키는 래치(latch)를 포함한다.
본 발명의 실시예는 마스크를 이송하고 취급하며 처리하는 방법을 제공하며, 이 방법은 (a) 마스크 커버 장치를 형성하기 위해 탈착가능한 파티클 커버로 마스크의 제1부를 커버하는 단계, (b) 마스크 수용부와 마스크 수용부로부터 분리가능한 리드를 갖는 기밀 박스내에 장치를 밀폐하는 단계, (c) 디포더(de-podder), 소형 환경 챔버(mini-environment chamber), 소형 환경 조정기(mini-environment manipulator), 로드록(loadlock), 진공 챔버, 진공 조정기, 및 마스크 장착대의 각각중 적어도 하나를 갖는 프로세스 툴로 상기 장치를 포함하는 박스를 이송하는 단계, (d) 박스의 리드로 인하여 가스가 제1개구를 통해 흐르는 것을 방지하도록 디포더의 제1개구 상에 상기 장치를 포함하는 박스를 배치하는 단계, (e) 디포더의 내부를 청정 가스로 퍼지하는 단계, (f) 마스크 수용부를 리드로부터 분리함으로써 박스를 열고, 가스 흐름을 차단하기 위해 리드를 제 위치에 두며, 마스크 수용부와 상기 장치를 디포더의 내부로 이동시키는 단계, (g) 소형 환경 조정기를 이용하여, 디포더로부터 제2 디포더 개구를 통해 소형 환경 챔버로 장치를 추출하고, 제1 로드록 개구를 통해 로드록내에 장치를 배치하는 단계, (h) 로드록을 펌프 다운(pump down)하는 단계, (i) 로드록으로부터 제2 로드록 개구를 통해 장치를 추출하여 진공 챔버의 내부로 이동시키는 단계, (j) 마스크의 열린 부분이 마스크 장착대와 접촉하도록 장치를 마스크 장착대 상에 배치하는 단계, (k) 마스크를 장착대로 유지 하는 단계, (l) 진공 조정기를 이용하여, 커버를 마스크로부터 분리하여 제거하는 단계, 및 (m) 마스크를 처리하는 단계를 포함한다.
본 발명의 실시예는 로드록을 제공하며, 이 로드록은, 2개 이상의 개구를 갖는 인클로저(enclosure), 인클로저의 제1개구에 연결된 대기측 게이트 밸브, 인클로저의 제2개구에 연결된 진공측 게이트 밸브, 인클로저 내부에 위치하며 마스크를 수용하기 위한 마스크 홀더, 인클로저 내부에 위치하며 마스크를 덮는 이동가능한 돔, 및 돔이 마스크를 덮도록 배치될 수 있는 돔을 이동시키는 돔 액츄에이터를 포함한다.
본 발명의 실시예는 로드록내에서 대기압으로부터 진공 상태로 마스크를 전환하는 방법을 제공하며, 이 방법은, (a) 마스크를 로드록 내에 배치하는 단계, (b) 로드록내의 공기로 운반되는 파티클들이 마스크에 도달하는 것을 방지하기 위해 마스크를 돔으로 커버하는 단계, (c) 로드록을 밀폐시키는 단계, (d) 로드록을 펌프 다운하는 단계, (e) 로드록을 진공 상태로 개방하는 단계, (f) 돔을 철회함으로써 마스크를 여는 단계, 및 (g) 로드록으로부터 마스크를 제거하는 단계를 포함한다.
본 발명의 실시예는 마스크를 이송하고 취급하며 처리하는 방법을 제공하며, 이 방법은, (a) 마스크 수용부와 마스크 수용부로부터 분리가능한 리드를 갖는 기밀 박스 내에 마스크를 밀폐시키는 단계, (b) 디포더, 소형 환경 챔버, 소형 환경 조정기, 로드록, 진공 챔버, 진공 조정기, 및 마스크 장착대의 각각중 적어도 하나 이상을 갖는 프로세스 툴에 마스크를 포함하는 박스를 이송하는 단계, (c) 박스의 리드로 인하여 가스가 제1개구를 통해 흐르지 않도록 디포더의 제1개구 상에 마스크를 포함하는 박스를 배치하는 단계, (d) 디포더의 내부를 청정 가스로 퍼지하는 단계, (e) 리드로부터 마스크 수용부를 분리하고 리드를 제 위치에 두는 단계, (f) 소형 환경 조정기를 이용하여, 디포더로부터 제2 디포더 개구를 통해 소형 환경 챔버로부터 마스크를 추출하고, 제1 로드록 개구를 통해 로드록내에 마스크를 배치하는 단계, (g) 로드록을 펌프 다운하는 단계, (h) 소형 환경 조정기를 이용하여, 로드록으로부터 제2 로드록 개구를 통해 마스크를 추출하여 진공 챔버의 내부로 이동시키는 단계, (i) 마스크를 마스크 장착대 상에 배치하는 단계, 및 (j) 마스크를 처리하는 단계를 포함한다.
본 발명의 실시예는 박스내부의 기계로부터 및 기계로 이송되는 마스크를 처리하는 기계를 제공하며, 여과 공기 환경부, 하나 이상의 대기압 조정기, 하나 이상의 디포더, 실질적으로 대기압에서 청정 가스로 퍼지되는 가스 소형 환경부, 하나 이상의 소형 환경 조정기, 하나 이상의 로드록, 진공부, 및 하나 이상의 조정기를 포함한다.
본 발명의 실시예는 레티클과 레티클을 보호하기 위해 레티클에 연결된 커버를 구비하는 시스템을 제공한다. 커버는 프레임, 및 노광 공정동안 광이 레티클에 직접 액세스될 수 있도록 하는 이동가능한 패널을 구비한다. 이 경우, 로봇 그리퍼(robot gripper)를 이용하여 레티클과 패널을 스테이지로 이동시킨다. 레티클과 커버를 이동시키지 전에 베이스판에 연결할 수 있다.
본 발명의 다양한 실시예들의 구조와 동작 뿐만 아니라 본 발명의 다른 실시 예들, 특성, 및 이점들은 첨부한 도면들을 참조하여 이하에서 상세히 설명한다.
실시예
이하, 첨부한 도면을 참조하여 본 발명을 설명한다. 도면에서, 일부 유사한 도면 번호는 동일하거나 기능적으로 유사한 소자를 나타낸다. 또한, 대부분의 도면 번호의 최 좌측 자리수는 그 도면 번호가 처음 등장한 도면의 번호를 나타낸다.
본 발명의 실시예들은 종래의 시스템에 비교할 때 개선된, 레티클을 보호하기 위한 커버를 제공한다. 본 발명의 다른 실시예들은 파티클들로부터 레티클을 더 보호하는 커버와 호환성있는 포드 또는 레티클 이송 박스를 제공한다. 본 발명의 또 다른 실시예들은 대기압과 진공 상태 사이에서 레티클을 전환시킬 때 파티클들로부터 레티클을 더 보호하는 커버와 호환성있는 로드록을 제공한다. 본 발명의 또 다른 실시예들은, 각 환경이 각 취급 단계에서 레티클의 오염원을 효율적인 비용으로 감소시키는데 최적화된 3개의 개별적인 환경(예를 들어, 여과 룸, 가스로 세정되는 소형 환경, 및 진공)을 갖는 레티클 핸들러를 제공한다. 본 발명의 또다른 실시예들은 레티클 오염원을 최소화하는 상기한 모든 것을 갖는 레티클을 취급하는 방법을 제공한다.
리소그래피는 통상적으로 미립자 오염원으로부터 레티클의 패턴화 영역을 보호하기 위해 펠리클에 의존해 왔다. 그러나, 상기한 바와 같이, 극자외선(Extreme Ultra Violet; EUV)에 대하여 투과성을 갖는 펠리클 재료가 없음으로 인하여 이러한 접근 방식을 어렵게 하고 있다. 또한, 내부 정렬의 제한성으로 인해 전체 레티클 커버를 제거하여 정정하는 것이 어렵다. 따라서, 본 발명의 실시예에 의하면, 노광과 세정 단계동안 제거될 수 있는 패널 및 레티클을 지지하기 위한 프레임을 포함하는 레티클 커버에 의해 레티클을 보호한다.
리소그래피 시스템이 청정 환경에서 동작하는 동안, 처리 단계동안 파티클이 발생한다. 이러한 파티클은 레티클을 오염시킬 수 있다. 이 레티클을 주기적으로 세정하여 레티클 상의 파티클 레벨을 허용가능한 임계치 이하로 유지한다. 따라서, 리소그래피 시스템내에서의 미립자 발생원을 고려할 필요가 있다. 주로, 다른 청정 시스템내의 파티클은 마찰로 인하여 발생한다. 종래의 시스템에서, 레티클을 한 곳으로부터 다른 곳으로 이송할 때 파티클이 발생한다. 종래의 시스템에서 레티클이 이송되는 동안 미끄러질 가능성이 있기 때문에, 레티클의 이송동안 이 레티클의 미끄러짐으로 인하여 파티클이 추가로 발생할 수 있다. 결국, 종래 시스템에서의 진동으로 인하여 마찰 및 관련된 파티클 발생도 야기될 수 있다.
본 발명의 실시예에 따르면, 레티클 이송 및 미끄러짐 마찰을 제거하기 위하여 탈착가능한 커버 상의 리지(ridge) 및 위치 로케이터가 포함된다. 그러나, 커버를 탈착하는 것은 마찰을 발생시킬 수 있다. 유사하게, 종래 시스템에서와 같이 진동도 파티클 형성을 야기시킬 수 있다. 따라서, 본 발명의 실시예를 구현할 때 파티클 발생 원인에 있어서의 이러한 차이점들을 고려하였다.
파티클 발생과 더불어, 리소그래피 시스템을 설계할 때 파티클 낙하(settling)도 고려대상이다. 본 발명의 실시예에서 탈착가능한 패널을 이용함으로써 노광 단계동안 파티클이 레티클 상으로 낙하할 가능성을 제거한다. 파티클 낙하는 노광 단계가 아닌 다른 단계에서 발생하며, 이에 따라 본 발명의 실시예에 따 른 탈착가능한 패널을 이용함으로써 노광 단계동안 커버를 제거하더라도 파티클들이 레티클에 가라앉는 것을 방지할 수 있다.
마지막으로, 파티클 이동(migration)도 고려해야 한다. 파티클 이동은 고속 운동 및 급격한 압력 변화에 의해 발생하는 난류 동안 발생한다. EUV 시스템에서, 이동이 높은 진공 환경에서 주로 발생한다. 따라서, 예를 들어, 라이브러리 선반으로부터 투영 장착대로의 이동 동안 난류는 최저이다. 그러나, 압력 변화가 관련되기 때문에, 이러한 난류의 원인을 고려해야 한다. 따라서, 본 발명의 실시예에 의하면, 레티클에 설치되는 프레임에 연결된 탈착가능한 패널을 이용함으로써 파티클 이동의 추가 원인을 실질적으로 제거한다.
2개 파트 커버 및 이의 의사 운동학적 정합 (Two part cover and Pseudo-Kinematic registration of same)
도 1은 본 발명의 일실시예에 따라 2개 파트 커버(102)를 포함하는 시스템(100)의 확대도이다. 2개 파트 커버(102)는, 취급중 레티클(1)을 지지하고 노광 동안 레티클(1)과 스테이지(7)와 접촉하는 프레임(2)을 구비한다. 프레임(2)은 광선이 노광 공정 동안 개구(14)를 통과할 수 있도록 레티클(1) 영역보다 넓을 수 있는 개구(14)를 구비한다. 프레임(2)은 스테이지(7)에 연결된 부착 장치(9)에 대응하는 부착 장치(8)를 또한 구비한다. 따라서, 부착 장치(8)로 인하여 프레임(2)은 스테이지(7)상의 부착 장치(9)에 의해 지지될 수 있다.
이 실시예는, 리소그래피 노광 바로 전에 프레임(2)으로부터 분리되고 리소 그래피 노광 후 바로 프레임(2)에 다시 부착되는 패널(3)을 또한 구비한다. 패널(3)은 레티클(1) 전면의 식별 및 육안 검사가 가능하도록 가시광에 대하여 투과성을 갖는 재료로 제조할 수 있다.
부착 장치(8, 9)는, 프레임(2)과 패널(3) 뿐만 아니라 스테이지(7)와 프레임(2) 사이에도 도시되어 있다. 도시된 바와 같이, 피쳐 쌍(feature pair; 5a, 5b)이 스테이지(7)와 프레임(2) 사이에 포함될 수 있다. 피쳐 쌍(5a, 5b)은, 적어도 본 명세서에서 설명하는 교시에 기초하여 당업자가 알 수 있듯이, 각 부품내의 자석 및 자성 타겟; 하나의 부품내에 스프링으로 로드되는 래치 또는 쌍안정 래치(bi-stable latch) 그리고 다른 부품내에 매칭 탭(matching tab)과 같은 기계적 파스너(mechanical fastner); 및 하나의 부품내에 위치하는 레스팅 피쳐(resting feature)과 다른 부품내에 위치하는 메이팅 피쳐(mating feature)과 같은 중력 의존성 장치(gravity dependent device)를 포함하는 군으로부터 선택할 수 있는 "사이 부품(between pieces)"일 수 있다.
본 발명의 실시예에 따라, 부착 장치(8) 및/또는 부착 장치(9)는 다음의 설계 기준들을 가질 수 있지만 이러한 기준들로 제한되지 않는다. (a) 부착 장치(8, 9)는 스테이지(7) 상으로 또는 스테이지(7) 자체 내에 레티클(1)을 로딩하는 로봇 그리퍼(4)내에 위치할 수 있는 2개 파트 커버(102) 외부의 장치에 의해 탈착될 수 있는 기준, 및/또는 (b) 부착 장치(8) 및/또는 부착 장치(9)의 분리 및 재부착은 레티클(1)이 오염되지 않도록 오염 파티클을 최소로 발생시켜야 한다는 기준 (이러한 목적을 위해, 부착 장치(8) 및/또는 부착 장치(9)를 동작시키기 위해 사용될 수 있는 비접촉식 장치들이 선호된다), 및/또는 (c) 부착 장치(8) 및/또는 부착 장치(9)가 자립적(self-sustaining)이어야 하며 따라서 이들이 초기에 부착된 후 부품을 결합하기 위해 어떠한 외부 동작도 필요없는 기준.
일실시예에서, 부품들을 분리하도록 2개 이상의 스프링으로 로드되는 래치를 압착하기 위해 로봇 그리퍼(4)를 조절할 수 있다.
다른 실시예에서, 영구 자석들을 분리하기 위해 래치내의 영구 자석들과 상호작용하도록 스테이지(1)내의 전자석을 조절할 수 있다.
또다른 실시예에서, 로봇 그리퍼(4)내의 전자석은 커버(102)의 양측 소자들에 각각 영구 자석들과 타겟들 간에 과다한 자성 응력을 가함으로써 패널(3)을 분리시킬 수 있다. 유사하게, 프레임(102)과 스테이지(7)내에 각각 위치하는 영구 자석들과 자성 타겟들간의 자성 인력을 극복하기 위해 스테이지(7)내의 전자석에 에너지를 임시로 공급할 수 있다.
스테이지 대신에 그리퍼내의 분리 장치들의 부착 피쳐 및 배치의 변형과 조합에 의해 그리고 반대의 경우에 의해서도 다른 많은 실시예들도 가능하지만 이에 제한되지 않는다. 이러한 모든 변형 및 조합들은 본 발명의 범위 내에서 존재한다.
도 1을 참조하면, 상기한 실시예의 변형으로서 레티클(1), 프레임(2), 및 패널(3)을 결합하기 위해 중력을 이용할 수 있다. 구체적으로, 로봇 그리퍼(4)는 패널(3)을 지지할 수 있고, 프레임(2)은 패널(3)상에 배치될 수 있고, 레티클(1)은 프레임(2)내에 배치된다. 대응하는 피쳐 쌍(5a, 5b)은 프레임(2)을 패널(3)에 정 렬시킬 수 있고 대응하는 피쳐 쌍(6a, 6b)은 패널(3)을 로봇 그리퍼(4)에 정렬시킬 수 있다.
다양한 실시예에서, (예를 들어, 홈이나 원뿔형 시트 내의 볼을 가진) 운동학적 장착대, 홀과 슬롯내의 은못 핀(dowel pin), 하나의 부품내에 자리잡은 다른 부품을 포함하는 군으로부터 피쳐 쌍(5a, 5b 및 6a, 6b)들을 선택할 수 있다. 스테이지(7)로부터 프레임(2)을 지지하고 분리하기 위해 에너지를 공급하는 장치는 도 1에 나타낸 바와 같이 스테이지(7) 내에 위치할 수 있거나, 로봇 그리퍼(4) 내부에 설치할 수 있다.
또 다른 실시예에 따라, 스테이지(7) 내에 설치되는 2개 이상의 스프링으로 로딩되는 기계적 래치(9)는, 도 2와 도 3과 관련하여 이하 설명되는 바와 같이 탭(8)을 이용함으로써 프레임(2)을 스테이지(7)에 지지시키는데 사용될 수 있다.
또 다른 실시예에서, 래치는, 예를 들어 스프링(10)에 의해 인가되는 폐쇄력(closing force)을 극복하기 위해 자력을 스테이지(7)에 연결된 솔레노이드(11)를 통해 순간적으로 인가함으로써, 자성 재료로 이루어질 수 있는 래치가 후퇴되어 분리될 수 있다.
피쳐 쌍(14a, 14b)은 패널(3)의 밑면과 시스템(100)(예를 들어, 레티클/커버 어셈블리)이 배치되어야 하는 다른 표면 상에 배치될 수 있다. 예를 들어, 일반적으로 아이템(13)으로 표시되는, 진공 라이브러리 선반(vacuum library shelf) 및 표준 기계적 인터페이스(standard mechanical interface; SMIF) 포드 베이스판 상에 배치될 수 있으며, 이하 상세히 설명한다.
도 2는 본 발명의 일실시예에 따른 레티클(1)과 커버(102) 상태를 나타낸다. 따라서, 도 2의 상태는 로봇 그리퍼(4)를 사용하여 스테이지(7)상으로 로드되는 패널(3)과 프레임(2)을 나타낸다. 일실시예에서, 그 상태는 솔레노이드(11)에 에너지 공급이 중단되고 래치(9)가 탭(8)을 포획할 때를 나타낸 것이다. 이러한 상태동안 프레임(2)은 제 위치에 고착되어 있다. 이 상태는 로봇 그리퍼(4)를 후퇴하기 전일 수 있다.
도 3은 본 발명의 실시예에 따른 시스템(100)의 한 상태를 도시한다. 이 상태동안, 로봇 그리퍼(4)(도 3에 도시하지 않았음)가 후퇴하여 패널(3)을 옮긴 후 레티클(1) 및 프레임(2)은 스테이지(7)에 의해서만 지지된다. 일부 실시예에서, 리소그래피 노광은 이 시점에서 개구(14)를 통해 시작할 수 있다.
로봇 그리퍼(4)가 패널(3) 대신에 프레임(2)을 지지하는 일실시예는 보다 간단할 수 있으며, 따라서 다른 실시예들보다 선호된다. 이것은 패널(3)과 프레임(2)을 결합하기 위해 중력을 이용하고 있기 때문이다. 로봇 그리퍼(4)의 하향 운동은, 프레임(2)이 스테이지(7)에 의해 포획된 후 프레임(2)으로부터 패널(3)을 분리하기 위해 실질적으로 필요한 것이다.
레티클(1)을 스테이지(7)에 용이하게 정렬하는 다른 실시예들, 및 정렬을 위해 사용되는 방법을 이하 설명한다.
본 명세서에서 설명하는 바와 같이, 레티클(1)은 웨이퍼에 대하여 배치되어야 하며 웨이퍼와 동일하게 배향되어야 한다. 이것은 실질적으로 레티클 패턴으로부터 웨이퍼 상으로 현재 복사되고 있는 회로층이 웨이퍼 상의 존재하고 있던 층들 과 정렬되는 것을 보장한다.
이하 보다 상세히 설명되는 여러 실시예에서, 레티클(1)을 컨테이너(예를 들어, 포드)내의 리소그래피 시스템(또는 리소 툴; litho tool)으로 이송할 수 있으며, 이 시스템의 일부는 아이템(13)일 수 있다. 포드는 레티클(1)을 지지하는 프레임 및 이송 동안 레티클(1)로부터 오염 파티클들을 회피하는 패널을 포함할 수 있다. 이러한 실시예에서, 포드 프레임의 밑면은, 리소 툴에 대한 SMIF 포드의 배향이 독특하게 결정되도록, 리소 툴 내의 매칭 위치 지정 피쳐에 대응하는 위치 지정 피쳐를 가질 수 있다.
도 1을 다시 참조하면, 프레임(2)에 내장된 레스팅 지점들과 정지 지점들과 패널(3)에 내장된 스프링의 조합에 의해 레티클(1)을 프레임(2)의 최상위면 상의 제 위치에 단단히 고정시킬 수 있다. 레티클(1)은 특별한 위치 지정 피쳐가 없는 편평한 사각형일 수 있고, 레스팅 지점들, 정지 지점들, 및 스프링에 의해 형성되는 네스트(nest)내에 레티클이 끼워지는 8가지 방식이 존재할 수 있다.
레티클(1)을 포드 내에 로딩할 때, 원하는 방향(예를 들어, 오른쪽 위)을 향하는 패턴면과 포드에 대하여 원하는 배향(예를 들어, 90도)으로 레티클(1)을 조심스럽게 배치해야 한다. 예를 들어, 패턴의 최상위 에지는 포드의 전면을 향할 수 있다. 이후, 레티클 포드가 리소 툴내에 배치될 때 리소 툴에 대한 레티클(1)의 위치 및 배향을 알 수 있다. 전형적으로, 위치(X, Y)의 불확실성은 약 1mm 범위 내에 있으며 각 배향(Θz)의 불확실성은 약 1°의 범위 내에 있다. 그러나, 이러한 정밀도는 현재의 리소그래피에 대하여 충분하지 않다. 위치의 불확실성은 몇 ㎛ 내로 감소시켜야 하며 배향의 불확실성은 1 arc/sec 미만으로 감소시켜야 한다.
따라서, 본 발명의 실시예에 따라, 리소그래피 툴은 프리 얼라이너(pre-aligner)를 구비할 수 있다. 프리 얼라이너는 레티클 패턴 상의 타겟을 관측하고 필요할 때마다 레티클의 위치와 배향을 정정하도록 레티클(1)을 이동시킴으로써 리소그래피 툴에 대하여 레티클(1)을 정밀하게 배치하고 정렬시킨다. 특히, 로봇(4), 또는 다른 어떠한 전용 이송 메카니즘이라도 레티클(1)을 프레임(2)으로부터 프리 얼라이너로 그리고 프리 얼라이너로부터 스테이지(7)로 이송한다. 프리 얼라이너로부터 스테이지(7)로 레티클을 이송하는 것은 매우 정밀해야 하며, 그 이유는 이송 장치에 의해 야기되는 어떠한 위치 지정 에러에 의해서도 스테이지(7) 상의 레티클(1) 배치 정밀도가 감소되기 때문이다. 따라서, 프리 얼라이너로부터 스테이지(7)로 레티클(1)을 이송하는 중요 단계에 있어서는 매우 정밀하고도 반복가능한 로봇 또는 이송 기구를 이용해야 한다.
리소그래피 시스템의 인쇄 스테이지에 정밀 운동 로봇을 배치할 수 있으며, 극 자외선(DUV) 리소그래피에 적절하다. 그러나, 이것은, EUV 프로세스가 진공 상태에서 발생해야 하기 때문에 EUV 리소그래피에 대해서는 효과적이지 못할 수 있다. 이는 상기한 바와 같이 정상 압력에서 EUV 광의 전체적인 흡수 때문이다. 따라서, 진공 친화적인 로봇을 이용해야 한다. 모터 및 전자 장치는 열을 발생시키고 진공 상태에서는 제거하기 매우 어려운 기체 오염물을 발생시키기 때문에, 로봇의 모터 및 전자 장치를 진공 챔버 외부에 두도록 진공 친화적인 로봇을 설계한다. 챔버 내부에서는, 긴 기계적 연결 장치를 이용하여 대상 물체에 운동을 전달한다. 이러한 구성은 깨끗하며 챔버 내부에 어떠한 열도 발생시키지 않지만, 상당한 길이, 낮은 강성도, 및 연결 장치의 동작(play)으로 인하여 고유의 열악한 위치 지정 정밀도 및 낮은 반복가능성의 문제에 봉착한다. 따라서, 이용가능한 진공 로봇은 프리 얼라이너로부터 스테이지로 레티클을 이송하는 중요 단계를 수행하는데 있어서 부적절한다. 로봇의 정밀도 및 반복가능성이 문제되지 않도록 하는 다른 해결책이 필요하다는 것은 명백하다.
도 4는, 최종 이송에서 정밀하고 반복가능한 위치 지정을 위해 패널(3)을 이용할 수 있고 이에 따라 보다 깨끗하고 부정확한 로봇을 사용할 수 있는 시스템(100)의 일실시예를 도시한다. 패널(3)을 프리 얼라이너에 운동학적으로(kinematically) 결합함으로써 프리 얼라이너에 대한 패널(3)의 정밀 위치를 얻을 수 있다. 하부 V 홈(15a)은 둥근 팁을 가진 핀(15b)과 결합할 수 있으며, 일실시예에서 이 핀은 프리 얼라이너 내에 배치한다. 하부 반구(half ball; 6a)는 둥근 팁을 가진 핀(15b)과 유사할 수 있고 상부 V 홈(16a)은 V 홈(15a)과 유사할 수 있다. 물체를 운동학적으로 결합하기 위해 V 홈(15a, 16b) 및 둥근 팁을 가진 핀(15b, 16a)을 이용하는 것은 공지되어 있으며, 동일한 효과를 갖는 운동학적 결합에 대한 다른 디자인들도 공지되어 있다. 본 발명은 V 홈 및 둥근 팁을 가진 핀을 사용하는 것에 제한되지 않으며, 대체로 공지되어 있는 모든 운동학적 결합 설계로 실현될 수 있다.
로봇 그리퍼(4)는 패널/프레임/레티클 어셈블리를 집어 스테이지(7) 바로 밑으로 이동시킬 수 있다. 유사하게, 로봇 그리퍼(4)가 패널/프레임/레티클 어셈블 리를 위쪽으로 이동시킬 때 패널(3)내의 상부 V 홈(16b)을 스테이지(7) 내의 반구(16a)와 결합함으로써 스테이지(7)에 대한 패널(3)의 정밀한 운동학적 위치를 얻을 수 있다. 패널(3)이 스테이지(7)와 운동학적으로 결합된 후, 래치(9)와 정전 척(17)을 기동시켜, 각각 탭(8)을 이용해 프레임(2)을 클랩핑하고, 스테이지(7)에 대해 레티클(1)을 끌어 당긴다. 이후, 로봇 그리퍼(4)는 패널(3)을 아래쪽으로 이동시키고 나서, 스테이지(7)로부터 멀어지는 방향으로 패널을 가져 올 수 있다.
운동학적 결합에 대한 고유 특성은 이 결합이 몇 마이크론 내에서 반복가능하다는 것이며, 다만 초기 정렬이 결합 피쳐(mating feature)의 포획 범위 내이어야 한다. 예를 들어, 각 상부 V 홈(16b)에 대한 각 반구(16a)의 정렬은, 각 볼(16a)이 초기에 홈(1b)의 모든 대응 부분과 접촉해야 한다. 이러한 조건이 충족된다면, 초기 오정렬(misalignment)에 상관없이, 동일한 최종 상대 위치를 얻을 수 있다. 포획 범위는 결합 피쳐의 크기에 의존할 수 있다. 예를 들어, 도 1 내지 도 4에 나타낸 특성 크기를 이용하면 약 ±1mm 의 포획 범위를 쉽게 얻을 수 있다. 이 범위는 진공 로봇의 전형적인 반복가능성 에러보다 크기 때문에, 의도한 기능적 분리가 달성될 수 있다. 패널(3)을 운동학적으로 스테이지(7)에 결합할 때, 운동학적 도크 상에 로봇 그리퍼(4)에 의한 운동 궤도를 강제적으로 만들기 위해서가 아니라 결합 특성의 상호작용이 최종 궤도를 정의하도록 하기 위해, 로봇 그리퍼(4)가 (X, Y)면 내에서 컴플라이언스를 갖출 필요가 있다. 상당한 길이, 낮은 강성도, 및 로봇 연결 장치의 동작으로 인해 필요한 적은 양의 컴플라이언스를 발생시킬 수 있다.
상기한 바와 같이, 본 발명의 실시예들은 정밀도와 반복가능성을 갖는 로봇을 이용하여 프레임(2)을 프리 얼라이너로부터 스테이지(7)로 정밀하게 이송해야 하는 문제점을 해결한다. 또한, 이러한 이송이 유용하기 위해서는, 레티클(1)은 프리 얼라이너로부터 픽업되는 순간으로부터 모멘트 척(17)이 활성화되는 순간까지 패널(3)에 대하여 정확하게 동일한 위치에 머물러 있어야 한다. 이것을 보장하는 한가지 방법은 레티클(1)이 프레임(2)내에 꽉 끼워지게 하고 프레임 위치 지정 피쳐(5a)이 대응하는 위치 지정 피쳐(5b)에 대하여 매우 좁은 틈(tight clearance)을 갖게 하는 것이다. 그러나, 이것은 꽉 끼워지는 부품들이 당겨서 분리될 때 파티클들을 발생시킬 수 있기 때문에 가장 바람직한 상황이 아닐 수 있다. 다행히도, 본 발명의 대부분의 실시예에 있어서, 부품들 간의 마찰이 이 부품들을 서로 제 위치에서 유지하기 위해 충분하기 때문에 꽉 끼우는 동작은 필요하지 않을 수도 있다.
진공 내부의 모터와 관련된 어려움으로 인하여, 그리고 흡입 그리핑(gripping)인 진공 상태에서는 제 효과를 발휘하지 못하기 때문에, 단지 중력과 마찰에 의해 3개의 핀 상에서 웨이퍼를 유지시키는 패시브 그리퍼를 단순히 이용할 수 있을 정도로 느리게 감속 및 가속할 수 있는 진공 양립하는 로봇을 설계할 수 있다. 진공 로봇 제조자는 실질적으로 간단한 그리퍼를 이용하여 미끄러짐이 거의 없게 한다.
지금까지, 최종 이송의 정밀도 문제를 설명하였다. 본 발명의 다른 실시예들도 어떻게 커버(2)가 스테이지(7)에 대한 레티클(1)의 정렬 작업을 용이하게 할 수 있는지를 보여준다. 일반적으로, 상기한 바와 같이, 포드의 툴 내부로 이송되는 레티클에는 패널에 대하여 약 1mm의 위치 지정 에러 및 약 1°의 배향 에러가 있다. 이러한 에러들을 몇 마이크론 및 1 arc/sec 미만으로 감소시킬 수 있다. 스테이지에 대한 패널의 운동학적 결합이 매우 정밀한 것으로 설명되었기 때문에, 이를 달성하는 데에는 프리 얼라이너가 패널(3)에 대한 레티클(1)의 상대 정렬 및 위치 지정을 측정하고 정정하는 것으로 충분하다. 바람직하게는, 어떠한 레티클 표면에도 파티클들이 발생하지 않도록, 프레임(2)으로부터 레티클(1)을 제거하기 않고 재 위치 지정을 행하는 것이 좋다.
다양한 실시예에서, 레티클(1)을 패널(3)에 정렬하는 방법은 2개 파트 커버(102)에 의해 간략화된다. 로봇은 둥근 팁을 가진 핀(15b) 세트를 갖춘 프리 얼라이너에 패널/프레임/레티클 어셈블리를 이송한다. 따라서 이 어셈블리는 하부 V 홈(15a)을 둥근 팁을 가진 핀(15b)과 결합함으로써 운동학적으로 프리 얼라이너에 결합된다. 따라서, 패널(3)은 프리 얼라이너에 대하여 정밀하게 정렬되고 위치 지정된다. 따라서 레티클(1)을 패널(3)에 정밀하게 위치 결정하고 정렬하기 위해 필요한 것은 레티클을 프리 얼라이너에 대하여 정확하게 위치 결정하고 정렬하는 것이다. 이것을 달성하려면, 먼저 상기한 에러들을 측정하고 정정해야 한다.
본 발명의 일실시예에 따라, 그 에러들을 측정하는 한 가지 방법은, 레티클 패턴내의 타겟들과 프리 얼라이너에 영구 고정되고 둥근 팁을 가진 핀에 대하여 미세 조정(calibrated)된 타겟들 간의 각 에러와 위치 에러를 측정할 수 있는 카메라 비전 시스템을 프리 얼라이너에 갖추는 것이다. 그 패턴은 레티클(1)의 밑면 상에 있기 때문에, 카메라는 자신이 동작하는 파장에서 투과성을 갖는 패널(3)을 관통하여 관측해야 한다. 위치 에러 및 각 에러를 측정하는 다른 공지된 방법들도 있으므로, 본 발명은 한 세트의 타겟들과 카메라 한 대를 이용하는 것에 한정되지 않는다.
일실시예에서, 프리 얼라이너에 대한 레티클(1)의 위치 및 각 배향을 정정하기 위해, 프리 얼라이너는 X, Y, Z 및 Θz 자유도를 갖는 정밀 조정기를 갖추어야 한다. 프리 얼라이너는 탭(8)을 밑으로부터 맞물리게 함으로써 프레임(2)을 들어올릴 수 있는 그리퍼를 또한 구비할 수 있다. 정밀 조정기는 우선 프레임/레티클을 패널(3)로부터 떨어지도록 들어올리고, 이후 X, Y, 및 Θz 정정을 수행한 뒤에, 프레임/레티클을 다시 패널(3) 상으로 내린다. 이 때, 패널(3)에 대하여 레티클(1)을 정렬시키고 스테이지(7)로의 이송을 위한 준비를 갖춘다. 패널(3)에 대하여 프레임(2)을 다시 위치 지정하는 것이 가능하려면, 위치 지정 피쳐(5a, 5b)간에 충분한 틈(clearance)이 있어야 한다.
진공 로봇은 물체를 미끄러짐없이 이송할 수 있는 것으로 알려져 있기 때문에, 다양한 피쳐 쌍은 위치의 정밀도를 증가시킬 수 있는 것으로 인식된다. 또한, 다양한 피쳐 쌍은, 충돌이나 전력 장애에 의해 갑작스럽게 로봇이 정지하는 경우 발생하는 우발적인 미끄러짐을 방지하기 위한 안전 장치로 작용할 수 있다. 이 경우, 정밀한 정렬을 얻을 수는 없지만, 다양한 피쳐 쌍으로 인해 레티클(1)은 로봇 그리퍼(4)로부터 떨어지지 않도록 방지될 수 있다.
마지막으로, 일 축에 대한 큰 폭의 자유도(예를 들어, Y 축을 따라 주사)를 갖는 주사형 리소그래피 시스템을 이용하는 실시예에서는, 프리 얼라이너가 스테이지(7)의 주사 축과 일치하는 자유도를 따라 위치 에러를 정정하는 것은 필요하지 않을 수 있다. 위치 에러를 측정하고 이것을 스테이지 제어기와 통신하는 것만이 필요할 수 있으며, 이후 스테이지 제어기는 주사 동작동안 대응하여 스테이지 Y 위치를 오프셋함으로써 위치 에러를 보상할 수 있다.
따라서, 다양한 실시예에서, 다양한 피쳐 쌍으로 인하여 예를 들어 여전히 요구되고 있는 Z 및 Θz 뿐만 아니라, X 인 하나의 수평 변환 자유도만을 갖는 프리 얼라이너 내의 정밀 조정기를 갖출 수 있다. 따라서, 주사 리소그래피 툴을 위해 프리 얼라이너 내의 정밀 조정기의 설계를 간략화할 수 있다.
도 5는 본 발명의 일실시예에 따른 방법(500)의 흐름도를 나타낸다. 방법(500)은 2개 파트 커버를 이용하여 정렬 및 이송하는 방법일 수 있다. 단계(501)에서, 패널에서의 피쳐 쌍과 프리 얼라이너에서의 대응하는 피쳐 쌍의 제1 세트를 이용하여 패널/프레임/레티클 어셈블리를 운동학적으로 프리 얼라이너에 결합할 수 있다. 단계(502)에서, 프리 얼라이너에 대한 레티클의 위치 및 각 오프셋을 측정한다. 단계(503)에서, 측정된 오프셋을 정정하기 위해 프레임을 조정하여, 패널에 대하여 레티클을 다시 위치지정한다. 단계(504)에서, 패널/프레임/레티클 어셈블리를 프리 얼라이너로부터 픽업한다. 단계(505)에서, 패널/프레임/레티클 어셈블리를 실질적으로 상대적 미끄러짐이 거의 없이 스테이지 로딩 위치로 이동시킨다. 단계(506)에서, 패널에서의 피쳐 쌍과 스테이지에서의 대응하는 피쳐 쌍의 제2 세트를 이용하여 패널/프레임/레티클 어셈블리를 스테이지에 결합한다. 단계(507)에 서, 레티클과 프레임을 스테이지에 내장된 클램핑 장치들(예를 들어, 각각 정전 척 및 기계적 래치)로 고착시킨다. 단계(508)에서, 레티클을 노광하기 위해 패널을 제거한다.
상기한 바와 같이, 본 발명의 실시예들은 EUV 툴에서 레티클을 취급하고 정렬할 때 파티클 오염물을 실질적으로 감소시키는데 유용하다. 커버를 사용하지 않는 종래의 시스템에서는, 레티클을 SMIF 포드로부터 제거할 때마다 또는 SMIF 포드내에서 교체할 때마다, 레티클을 진공 라이브러리에 배치할 때마다 또는 진공 라이브러리로부터 제거할 때마다, 그리고 레티클을 스테이지에 로딩할 때마다 또는 언로딩할 때마다, 레티클 컨택트가 이루어지거나 중단된다.
상기한 바와 같은 본 발명의 실시예 및 이하의 실시예에 따라, 2개 파트 커버를 이용함으로써 종래의 시스템을 개선할 수 있다. 레티클과 프레임 간의 컨택트는 결코 중단되지 않는데, 그 이유는 노광 동안 프레임이 레티클과의 컨택트 상태를 유지하기 때문이다. 레티클을 취급하는 동안 레티클 표면상에 발생하는 파티클의 수는, 레티클 표면과의 기계적인 컨택트가 이루어지거나 이루어지지 않는 횟수에 직접적으로 연관되어 변하는 것으로 다양하다고 가정되곤 하였다. 리소그래피 툴 내의 레티클 컨택트를 수행하거나 수행하지 않아야 할 필요성을 완전히 제거함으로써, 2개 파트 커버는, 그리퍼로 레티클을 직접 취급하는 것과 비교해 레티클의 표면과 직접적으로 관련된 파티클 발생의 경우의 수만을 단순히 감소시키는 종래의 하나의 피스 커버의 경우에 비하여 현저히 개선된 것이다.
대체로 반복적인 마모 동작이 제거되기 때문에, 본 발명의 실시예에 따른 2 개 파트 커버로 인하여 연성 재료의 내구성에 크게 상관없이 레티클을 컨택트하기 위한 연성 재료를 이용할 수 있다. 연성 재료를 적절히 선택함으로써 프레임 내의 레티클의 초기 배치 동안 표면 손상과 파티클 발생을 감소시킬 수 있을 것으로 예상된다. 예를 들어, 소프트 폴리머와 같은 연성 재료는, 레티클의 섬세한 연마면을 스크래칭하지 않고 이 연마면을 따라 흐르는 경향이 있을 수 있다.
대조적으로, 2개 파트 커버를 사용하지 않고 로봇 그리퍼로 레티클을 직접 취급하는 종래의 시스템에서는 그리퍼의 허용가능한 내구성을 위해 이 그리퍼의 컨택트 점에서 강성 재료가 필요하다. 몇 개의 컨택트 상황이 여전히 발생하지만 그렇게 많이 발생하지는 않기 때문에, 하나의 파트 커버의 컨택트 점의 최고의 강성도는 허용가능한 강성도의 범위 사이에 있다. 그러나, 본 발명의 실시예에 따른 2개 파트 커버를 이용함으로써, 변형으로 인하여 정밀도가 허용치를 넘어서면 이 2개 파트 커버는 대체될 수 있다.
본 발명의 실시예에 따른 2개 파트 커버로 인하여 스테이지에 대하여 레티클을 용이하게 프리 얼라인먼트를 수행할 수 있다. 이 커버로 인하여 저 정밀도를 갖는 로봇에 의해서도 프리 얼라이너로부터 스테이지로 레티클을 정밀하게 최종 이송할 수 있다.
본 발명의 2개 파트 커버로 인하여 레티클을 깨끗하게 유지하는 것도 로봇 그리퍼보다 쉽다. 진공 상태의 리소그래피 툴 내의 깊은 곳에 위치하는 로봇 그리퍼를 세정하기 위해서는 내부 침투형 유지관리(invasive maintenance)가 필요한 반면, 레티클이 리소그래피 툴로부터 제거될 때마다 훨씬 더 편리하게 커버를 세정하 거나 대체할 수 있는 기회가 있다.
SMIF 포드를 이용하는 예시적인 리소그래피 환경의 관점에서 본 발명이 기술된다. 이러한 설명은 단지 이해의 편의를 위한 것이다. 본 발명은 이러한 실시예의 환경에 국한되지 않는다. 실제로, 다음의 설명을 읽게 되면, 본 발명을 현재 공지되어 있는 다른 실시예로 또는 미래에 개발되는 실시예로 실현하는 것이 당업자에게는 자명할 것이다.
따라서, 본 발명의 실시예에 따라, 보호 커버에 대하여 레티클을 의사-운동학적 기록하기 위한 시스템 및 방법을 이용한다. 이것은 프리 얼라인먼트 측정 및 레티클 스테이지로의 이송 동안 레티클의 정밀한 상대 위치를 유지하도록 행해질 수 있고, 이에 따라 리소그래피 툴에서 레티클의 프리 얼라인먼트동안 레티클을 기계적으로 다시 위치 지정할 필요가 없다. 두번째로, 본 발명의 실시예는 레티클이 커버와 접촉할 때 레티클 컨택트 영역이 적은 파티클들을 발생시키도록 이 레티클 컨택트 영역을 경화하는 방법을 개시한다.
도 6은 본 발명의 실시예에 따른 2개 파트 커버(102)를 나타낸다. 레티클(1)은 프레임(2)의 정합 피쳐(registration feature; 602)에 대한 정밀 정합을 가능하게 하는 에지(601)를 갖는다. 일실시예에서, 운동학적 정합 피쳐(602)와 접촉하는 레티클(1)의 에지(601)의 하나 이상의 일부 상에서 모서리를 깍아낸다. 다른 실시예에서, 레티클(1)의 에지(601)의 일부에는 모서리를 깎은 면(chamfer) 대신에 반경면(radius)을 가진 면이 형성된다. 또다른 실시예에서, 레티클(1)의 모서리들에서 변경된 에지부의 교차부는 각 모서리에서 구형 또는 환상형 섹터(구의 1/8 또 는 환상형 모양)로 이루어져 있고, 각 모서리는 프레임(2)의 각 코너에서 양립하는 정합 피쳐(602)와 맞닿는다. 사용자는 변경된 레티클 에지(601)의 어느 부분이 접촉될 것인지 및 어느 부분을 피할 것인지를 선택할 수 있다.
프레임(2)의 정합 피쳐(602)에 대하여, 도 6에 도시된 바와 같은 프레임의 모서리 배치로 제한되지는 않는다. 그러나, 바람직한 실시예에서, 이것은 이점을 갖는 위치일 수 있다. 예를 들어, 프레임(2)은 각 측면의 중간에 정합 피쳐(602)을 가질 수 있다. 레티클 에지(601)를 최적으로 수용하기 위해 정합 피쳐(602)의 실제 형태가 변경될 수 있다는 것도 자명할 것이다. 예를 들어, 일실시예에서, 정합 피쳐(602)은 V형 홈으로, 홈(602)의 각 표면(603)은 편평할 수 있다. 이러한 특정 형태는 레티클 에지(601)에서 반경면을 수용하는데 적절하다. 다른 실시예에서 레티클 에지(601)의 모서리가 깎이면, 이 에지는 정합 피쳐(602)의 (편평하지 않고) 볼록한 홈 표면에 의해 최적으로 수용될 수 있다.
본 발명의 일실시예에 따라, 정합 피쳐(602)를 이용하면 도 5에서 단계(503)를 생략할 수 있다. 이것은, 프레임(2)을 조정하기 위해서는 실질적으로 복잡한 프리 얼라이너 메카니즘이 필요하기 때문에 큰 이점을 갖는다. 패널(3)에 대하여 프레임(2)을 다시 위치조정할 필요가 없기 때문에, 리소그래피 툴의 설계가 간략화된다.
도 7은 본 발명의 일실시예에 따른 시스템(100)을 나타낸다. 프레임(2)은 레티클(1)을 유지할 수 있고 운동학적 정합 피쳐 쌍(201a, 201b)의 제1 세트에 의해 패널(3)에 운동학적으로 정합될 수 있다. 유사하게, 프레임(3)은 운동학적 정 합 피쳐 쌍(202a, 202b)의 제2 세트에 의해 로봇 그리퍼(4)에 운동학적으로 정합될 수 있다. 또한, 운동학적 피쳐 쌍(203a, 203b)의 제3 세트를 이용하여 일반적으로 아이템 "13"으로 표시되는 진공 라이브러리 선반에 패널(3) 및 SMIF 포드 베이스판 을 운동학적으로 정합할 수 있다.
도 7에 나타낸 실시예에서, 운동학적 피쳐(202a, 202b)는 동일한 홈을 공유하며, 이 피쳐(202a)는 홈의 가장 안쪽 부분 내에서 피쳐(202b)에 맞닿고, 피쳐(203a)는 홈의 가장 바깥쪽 부분내에서 피쳐(203b)에 맞닿는다. 이 상대 배치는 역으로 될 수 있다는 것이 당업자에게는 자명할 것이다. 개별적인 홈을 이용하여 운동학적 특성 각각을 실현할 수 있다는 것도 자명할 것이다.
또 다른 실시예에서, 원 피스 커버를 사용할 수 있다. 이 실시예에서, 원 피스 커버를 생성하기 위해 프레임(2)을 패널(3)에 고착시킬 수 있다 (예를 들어, 패널 재료의 동일한 블록으로 제조되거나 접착될 수 있다). 이 원 피스 커버는 레티클(1)의 리소그래피 노광을 위해 전체적으로 제거된다. 따라서, 이 원 피스 커버 실시예에서는 피쳐 핀(201a, 201b)이 필요하지 않을 수 있다.
경화된 레티클(Hardened Reticel )
레티클(1)의 EUV 반사 코팅은 본질적으로 섬세하고 소프트할 수 있다고 일반적으로 알려져 있다. 따라서, 코팅은 접촉이 발생할 때마다 파티클을 발생시키기 쉽다. 따라서 코팅의 반사면에 의해 레티클(1)을 지지하거나 취급하기 위한 목적으로 사용할 수 있는 EUV 반사 코팅이 없는 지정된 영역을 갖는 것이 바람직하다. 이것을 달성하기 위해, 보다 강성 기판 재료가 덮혀 있지 않은(이하, "노광된"이라 함) 표면으로 가져온다. 불행히도, 실제로는 베어(bare) 레티클 기판을 드러내는 영역(이후로는, "베어 스팟(bare spot)" 이라 칭함)을 생성하는 것은 매우 어려운 듯하다. 베어 스팟을 생성하기 위한 알려져 있는 한 방법은, EUV 반사 코팅을 증착하는데 사용하는 이온 빔 스퍼터링 프로세스 동안 베어 스팟을 덮는 마스크를 이용하는 것이다. 이 방법의 문제점은 증착 프로세스의 특성 때문에 느슨한 파티클 또는 박편들(flakes)이 마스크 상에서 형성되고 마스크가 증착 프로세스의 끝부분에서 제거될 때 떨어져 일부 파티클 또는 박편들이 레티클 상에 가라앉아 레티클을 오염시킨다는 것이다. 기판 영역을 노광시키는 다른 방법은 취급을 위해 지정된 영역으로부터 EUV 반사 코팅을 선택적으로 에칭하는 것이다. 이 방법의 문제점은 에칭 프로세스 역시 레티클의 나머지 영역을 손상시키는 경향이 있다는 것이다.
레티클을 지지하는 문제점은 본 명세서의 다른 부분에서 설명하는 바와 같이 단지 반경면을 갖는 또는 모서리가 깎인 에지를 이용함으로써 해결될 수 있는 듯하다. 그러나, 이것은 사실이 아니며, 그 이유는 EUV 반사 코팅의 취약함으로 인하여 공 기판(blank substrate)의 에지가 코팅 전에 최종 형태로 미리 가공되어야 하기 때문이며, 또한 코팅을 증착하는 스퍼터링 프로세스에 의해 발생하는 불균일하고 비 선택적인 커버리지(non-selective coverage) 때문에, 마스크되지 않은 모서리가 깎인 에지 또는 반경을 갖는 에지도 취약한 재료로 코팅되기 때문이다.
상기한 문제점들을 해결하기 위해, EUV 반사 재료를 강성 재료로 코팅하는 방안이 제시되었다. 적절한 강성 재료로는, 레티클을 패턴을 생성 또는 기록 (writing)하기 위해, EUV 반사층의 최상위면 상에 증착되며 선택적으로 에칭되는 EUV 차단층을 선택한다. 불행히도, 정확한 광학 특성을 갖기 위해, 이 층은 매우 얇아야 한다. 연성 반사성 재료의 최상위면 상의 박막 차단층은 기계적 핸들링 컨택트의 높은 수평 스트레스에 의해 파손되기 쉽다. 차단층의 최상위면 상에 두꺼운 층을 부가하여 선택적으로 에칭할 수 있지만 이것은 비용이 많이 들고 증명되지 않았다.
따라서, 베어 스팟을 형성하기 위해 코팅을 마스킹하거나 제거하지 않고 반사 코팅의 고유 연성 및 취약성을 재조정할 수 있는 프로세스가 필요하다. 선택된 컨택트 영역에서 베어 스팟을 요구하지 않고 이 컨택트 영역을 추가 보호층으로 커버하지도 않는 방법이 또한 필요하다.
EUV 반사층의 고유 연성은 자신의 다층 성질로 인한 것으로 의심된다. 당 분야에 공지되어 있듯이, EUV 반사층, 이후로는 "다층 구조" 또는 단순히 "다층" 으로 칭하는 다층은 몰리브덴과 실리콘이 교호하는 약 100개의 층으로 이루어져 있으며, 구성 성분 각 층의 두께는 겨우 몇 나노미터 정도이다. 실리콘 및 몰리브덴은 일반적으로 연성 재료가 아니다. 따라서, 본 발명의 실시예에 따라, 이러한 재료들은 연성 다층 구조를 보다 강성의 합금 균일층으로 변환하기 위해 원하는 컨택트 스팟에서 국부적으로 함께 용융될 수 있다. 단지 설명의 편의를 위해, 보다 강성의 물질로 다층을 국부적으로 변환하는 프로세스를 이하 "국부적 열 처리"로 칭한다.
일부 실시예에서, 원하는 강성을 얻기 위해 다층을 완전히 용융하는 것이 필 요하지 않을 수 있다. 이것은 열로 인하여 다층의 각 물질이 급속히 다른 물질로 확산되고, 이에 따라 보다 균등한 층을 형성한다고 알려져 있기 때문이다. 이것은 어떠한 다층 성분의 용융점보다도 낮은 온도에서 충분히 발생할 수 있다. 따라서, 용융 대신에 내부 확산(inter-diffusion)에 의해 다층을 균등층으로 변환하기 위해 국부적 열 처리를 적용할 수 있음이 자명할 것이다.
다른 실시예에서, 층을 강화하기 위해 그 층 상에서의 이물질의 증착 및 확산을 이용할 수 있다. 따라서, 이물질이 존재하는 상황에서 이러한 이물질을 그 층에 더하기 위한 컨택트 영역의 국부적 열 처리를 열 처리 프로세스로서 수행한다.
도 8은 본 발명의 실시예에 따른 방법(800)을 설명하는 흐름도이다. 이 방법(800)은 다층 구조의 EUV 반사 코팅을 국부적으로 변환함으로써 컨택트를 취급하기 위해 레티클내의 영역을 강화하도록 사용할 수 있다. 단계(802)에서, 레티클 기판을 EUV 반사 다층 구조(당해 기술에 알려져 있듯이, 몰리브덴-실리콘 또는 몰리브덴-루테늄-실리콘 다층)로 코팅하는 동작을 수행한다. 단계(804)에서, 컨택트를 취급하도록 영역을 국부적으로 열 처리하는 동작을 수행하여 다층 구조에서 국부적으로 열 처리된 부분을 보다 강한 (보다 경화된, 보다 단단한) 물질로 변환시킨다.
일실시예에서, 예를 들어, 반응제 및 촉매제를 포함하는 화학 물질이 존재하는 경우 강력한 레이저 빔을 레티클의 지정 영역 상으로 조사함으로써 단계(804)가 수행될 수 있다. 예를 들어 레이저 빔 대신에 다른 종류의 방사 에너지를 이용할 수 있다. 예를 들어, 무선 주파수 전자기계를 이용하는 유도성 가열과 같은 다른 국부적 열 처리 방법을 이용할 수도 있다.
전형적인 EUV 레티클 기판 재료 및 다층 자체의 낮은 열 전도성으로 인해 원하는 영역에서만 다층 변환을 국부적으로 수행하는 것이 용이해진다. 이것은, 레티클의 패턴화된 필드 내 또는 근처에서 EUV 반사 재료를 의도하지 않은 상태로 변경시킬 위험 없이 달성될 수 있다. 다층 구조는 자신만의 광학 특성을 유지하기 위해 손상되지 않아야 한다. 본 명세서의 다른 부분에서 제시한 바와 같이 레티클(1)의 모서리에 컨택트 영역을 배치하는 것은 필드에 대한 컨택트 영역의 거리를 최대화할 수 있고, 이에 따라 레티클의 패턴화 필드의 광학 특성과 관련하여 컨택트 영역의 국부 열 처리로 인한 영향을 대부분 무시할 수 있다.
실질적으로 편평한 레티클 커버
도 9 내지 도 11은 본 발명의 실시예에 따른 레티클 커버(902)를 나타낸다. 소정의 상황 동안, 탈착가능한 레티클 커버(902)는 레티클(예를 들어, 마스크; 901)을 보호한다. 소정의 광 파장에 대하여 투과성을 갖는 레티클 커버(901)는, 지지 패드 또는 스페이서(903), 네스팅 핀(904), 운동학적 로케이터(예를 들어, 마스크 로케이터; 905), 및 홀(906)을 포함한다. 커버(902)와 레티클(901)간에 압력 가스가 주입되어 퍼질 수 있도록 홀(906)을 이용할 수 있으며 이 홀은 가스 필터를 포함할 수 있다. 마스킹 패트(903) 및 핀 (904)용으로 다양한 재료를 사용할 수 있는데, 이 재료는 레티클과의 컨택트 시에 레티클(901)을 손상시키거나 파티클들 을 흘리지 않아야 한다. 레티클(901)과 네스팅 핀(904) 사이에 커버(902)를 이동시키기 위한 일부 틈이 필요할 수 있기 때문에, 레티클은 커버(902)에 대하여 조금 미끄러질 수 있다. 상기한 실시예들에 대한 개선점은 커버(902)가 실질적으로 편평하다는 것이다. 실질적으로 편평한 디자인을 이용함으로써 세정 동안 액체를 포획할 수 있는 포켓이나 공동이 존재하지 않기 때문에 보다 적은 액체가 포획된다. 따라서, 커버(902)를 세정하거나 또는 초세정(superclean)하기 쉽다. 일부 실시예에서, 조(bath) 안에서의 초음파 세정, 린스, 및 스핀 건조로 커버(902)를 세정한다. 따라서, 커버의 구성때문에 세정하기 매우 어려웠던 종래의 복잡한 커버와 대조적으로, 커버(902) 세정은 매우 쉽다.
이중으로 감싼 레티클 박스 (예를 들어, 레티클 포드)
도 12 및 도 13은 본 발명의 실시예에 따라 레티클 박스 또는 포드(1250) (이하, 레티클 박스 및 포드를 "포드"라 칭함)의 측면도 및 전개도를 각각 나타낸다. 예로 든 포드(1250)의 보다 많은 특징은 도 17에 나타나 있으며, 이하 상세히 설명한다. 포드(1250)는 (도시하지 않은) 래치를 통해 고착될 수 있는 커버 또는 리드(1256)에 고착된 베이스(1254)를 갖는 기밀(gas tight) 외부 박스(1252)를 포함한다. 상기한 커버(902)와 유사한 플레이트(1258)는 실질적으로 홀이나 공동이 없이 편평할 수 있으며, 이는 파티클 발생을 줄이며 플레이트(1258)의 세정을 보다 쉽게 한다. 또한, 스크류 등을 이용하지 않음으로써 파티클 발생을 더 줄일 수 있다. 파티클 밀폐 장치(1260)(예를 들어, 내부 또는 제 1 랩(wrap))을 이용하여 파 티클들로부터 레티클(1)을 보호할 수 있고, 가스 밀폐 장치(1262)(예를 들어, 외부 또는 제 2 랩)를 이용하여 외부 박스(1252)를 기밀하게 할 수 있고 이 장치는 분자 단위의 오염물로부터 내부 또는 제 1 랩(1263)을 보호한다. 파티클 밀폐 장치(1260)는 포드(1250)가 닫힐 때 가스 밀폐 장치(1262)보다 먼저 맞물리며, 포드(1250)가 개방될 수 있을 때 가스 밀폐 장치(1262)보다 먼저 맞물림이 해제된다. 이것은 상기한 2개의 가스 밀폐 장치가 없는 종래의 시스템과 대조될 수 있으며, 이는 종래 시스템이 진공 및 파티클 밀폐 장치를 이용하지 않기 때문이다.
외부 박스(1252)는, 파티클 오염물로부터 보호하고 세정이 쉽도록 분리가능한 부분을 구비하는 가스 투과가능한 내부 캡슐(1263)내에 고정된다. 내부 캡슐(1263)은 플레이트(1266)(예를 들어, 폴리이미드, ESD 급 폴리에테르이미드 등으로 형성하거나 코팅함)에 연결된 얇은 벽을 구비할 수 있는 돔(1264)(예를 들어, Pyrex
Figure 112006015161194-pat00001
유리 돔)을 포함한다. 레티클(1)과 레티클 커버(102)(예를 들어, Pyrex
Figure 112006015161194-pat00002
유리 등으로 제조함)는 내부 캡슐(1263)내에 배치하여, 로봇 그리퍼(1266)와 상호작용할 수 있다. 장치(1268)(예를 들어, 스프링 등)를 이용하여 돔(1264)을 리드(1256)에 연결할 수 있고 이송 동안 레티클(1)이 움직이지 않도록 유지 압력을 내부 캡슐(1263)에 가할 수 있다. 이 장치는 또한 파티클 밀폐 장치(1260)를 압축할 수 있다. 레티클(1)의 표면(1302)은 유리, 크롬 도금(예를 들어, Cr-플레이트), 또는 내구성 재료로 도금될 수 있다. 사용하는 동안, 포드(1250)의 커버 또는 리드(1256)를 제거하여 레티클(1)에 액세스한다. 여과 통로(1304)는 돔(1264)과 플레이트(1266) 사이에 포함되어 있는 공간을 포드(1250)내에 포함된 나머지 공간에 연결할 수 있어, 가스가 2개의 공간 간에 흐를 수는 있지만 파티클이 흐르는 것은 방지한다. 일예로 여과 통로(1304)는 멤브레인 가스 필터 등으로 덮힌 돔(1264)의 벽을 통과하는 홀일 수 있다. 이 통로의 다른 예로는 소결 분말 금속 가스 필터 등이 끼워진 플레이트(1258)를 통과하는 홀일 수 있다. 당해 기술에 공지되어 있듯이, 다른 위치 및 여과 장치들을 이용할 수 있다. 정렬 장치(1306)는 폴리이미드 코팅된 컨택트 표면을 가질 수 있다.
포드(1250)의 다양한 부분을 제조하는데 사용하는 상기한 예의 재료들은 파티클 발생을 줄인다. 이러한 재료들은 단지 바람직한 예일 뿐이며, 다른 공지된 재료들을 사용할 수도 있다.
시스템을 이용하는 방법론에 대하여 이하에서 상세히 설명하듯이, 2개의 스테이지에서 포드(1250)를 개방할 수 있다. 먼저, 가스 밀폐 장치(1262)에 의해 야기된 가스 밀폐를 해제하기 위해 리드(1256)를 소정 양만큼 들어올린다. 이에 따라 가스는 포드(1250) 내부로 흐르고, 파티클들은 가스와 함께 이송된다. 그러나, 파티클들은 레티클(1)에 직접 닿을 수 없다.
가스는 여과 통로(1304)를 통해 흘러, 돔(1264)내의 압력을 대기압와 균등하게 한다. 다음에, 리드(1256)를 연속적으로 들어올릴 수 있고, 돔(1264)을 플레이트(1266)으로부터 떨어지게 들어올릴 수 있다. 돔(1264) 내부의 압력이 이전 단계에서의 대기압과 균등해진 후, 돔을 들어올릴 때 돔(1264) 내부로 또는 돔으로부터의 가스 또는 파티클의 흐름은 현저하게 저하될 수 있다. 이러한 실시예에서, 돔(1264), 플레이트(1266), 또는 돔과 플레이트 모두에 가스가 투과할 수 있으며, 즉 , 돔과 플레이트에 의해 가스가 흐름으로써 돔(1264) 내외간의 압력차를 제거할 수 있다.
로드록
도 14 및 도 15는 각각 본 발명의 실시예에 따른 로드록의 측면도 및 전개도이다. 일실시예에서, (지지 핀(1404)의 최상위면 상에 위치할 수 있는) 레티클(1401) 및 레티클 커버(1402)를 베이스(1403)와 돔(1405) 사이에 배치한다. 돔 제거 장치(예를 들어, 돔 리프터; 1406)는 모터(1407), 리드 스크류(1408), 및 벨로우(1409)를 포함한다. 로드록은 대기측과 진공측 게이트 밸브용 개구(1410)를 또한 포함한다. 상기한 부품들 모두를 밑면부(예를 들어, 진공 쉘; 1411) 및 최상위부(예를 들어, 진공 쉘 루프; 1412)에 의해 형성되는 인클로저 내부에 배치한다. 로드록은 돔과 시트 간의 파티클 흐름을 방지하기 위해 파티클 밀폐 장치 및/또는 포드의 돔의 개방 단부 형태에 실질적으로 일치하는 밀폐 시트를 또한 포함할 수 있다. 로드록은 돔 내부의 가스 압력을 돔 외부의 가스 압력과 균등화하는 여과 통로(1413)(예를 들어, 멤브레인 가스 필터로 덮힌 돔 벽을 통과하는 홀), 및 로드록내의 공기로 운반되는 파티클 또는 가스로 운반되는 파티클(이하, 모두 "공기로 운반되는 파티클"이라 함)을 검출하기 위한 장치(예를 들어, 센서 또는 검출기)를 더 포함할 수 있다.
전형적으로, 로드록은 상당히 더러우며, 주 원인은 로드록을 펌프 다운 또는 배출(vent)하기 위해 밀폐하는 게이트 밸브 때문이다. 밀폐가 이루어지거나 이루 어지지 않을 때마다, 공기로 운반되는 파티클이 발생한다. 또한, 게이트 밸브는 많은 운동, 러빙, 마찰 발생 부품과 윤활제를 갖는 복잡한 기계적 어셈블리이다. 이에 따라 로드록 내부에는 먼지가 쌓이게 된다. 로드록을 배출하는 동안, 가스는 로드록 내부로 흐르고 내부 압력을 대기압과 균등화하며, 이것은 파티클 운동을 야기시킨다. 또한, 로드록을 펌프 다운할 때, 가스는 로드록으로부터 흘러 나오고, 이것은 파티클 운동을 야기시킨다. 따라서, 본 발명의 실시예에 따라, 돔과 플레이트 내의 레티클의 갭슐화를 이용함으로써, 레티클을 파티클들로부터 보호한다.
레티클 핸들러 ( Reticle Handler)
도 16 및 도 17은 본 발명의 실시예에 따른 레티클 핸들러 코어(1701) 및 레티클 취급 시스템을 각각 나타낸다. 레티클 취급 시스템은 코어 환경(예를 들어, 진공 및 소형 환경) 및 대기 (공기) 환경을 포함한다. 코어 환경은 실질적으로 레티클 핸들러 코어(1701)내에 위치한다. 도 16을 참조하면, 레티클 핸들러 코어(1701)는 진공 챔버(1602)내에 레티클(1601)을 포함한다. 2개의 아암(arm)을 구비할 수 있는 진공 로봇(1603)에 의해 레티클(1601)이 진공 챔버(1602)를 통해 이동된다. 또한, 레티클 코어(1701)는 진공 챔버(1602)와 프로세스 챔버 사이에 게이트 밸브(1604)를 포함한다. 레티클 코어(1701)는 로드록 터보 펌프(1606) 및 로드록 게이트 밸브(1607)를 갖는 로드록(1605)을 더 포함한다. 레티클 코어(1701)는 포드(1609)를 개방하는 디포더(1608)를 더 포함한다. 로드록과 디포더내의 개구들은 청정 가스 소형 환경 챔버(1610)에 연결되며 소형 환경 로봇(1611)을 통해 액세 스가능하다.
동작 동안, 소형 환경 로봇(1611)에 의해 개구 포드(1609)로부터 레티클(이 도에는 도시하지 않음)을 제거한다. 이후, 게이트 밸브(1607)를 통해 로드록(1605) 내부에 레티클을 배치한다. 로드록을 펌프 다운하고 진공 로봇(1603)에 의해 로드록으로부터 레티클을 제거한다. 로봇(1603)을 이용하여 진공 챔버(1602)를 통해 레티클(1601)을 이송하고 게이트 밸브(1604)를 통해 프로세스 챔버(이 도에서는 도시하지 않음)내에 배치한다. 처리 후, 진공 로봇(1603)은 게이트 밸브(1604)를 통해 프로세스 챔버로부터 레티클을 제거하여 게이트 밸브(1607)를 통해 로드록(1605)내에 배치한다. 이후, 로드록을 배출하고 레티클이 소형 환경 챔버(1610)로 들어가기 전에, 로드록(1605)을 통해 좌측으로부터 우측으로 레티클(1601)을 전달한다. 소형 환경 챔버(1610)는 깨끗하고 여과된 그리고/또는 건조된 가스(예를 들어, 건조 질소)로 채워질 수 있다. 이후, 소형 환경 로봇(1611)은 레티클을 로드록으로부터 제거하여 디포더(1608)내에 위치하는 개구 포드(1609)에 배치한다. 이후, 디포더는 포드를 닫는다.
도 17을 다시 참조하면, 레티클 핸들러 시스템은 포드(1703)를 이동시키는데 사용된 대기 로봇(1702)을 갖는 공기 또는 대기 환경을 또한 포함한다. 포드(1703)는 도시된 바와 같이 포드 저장 랙 내에 저장될 수 있다. 포드(1703)는 전형적으로 운영자가 잡는 포드의 최상위 부분을 가로지르는 것으로 도시된 바(bar) 또는 핸들을 이용하여 그리고 다양한 로봇이 관련된 포드 하우징의 다양한 부품들을 이용하여 다뤄진다. 상향 위치에 있는 것으로 도시되어 있는 포드 엘리베이터 (1705)는 입력 위치(1706)에서 운영자에 의해 배치된 포드(1703)를 로봇(1702)의 취급 면으로 상승시킨다. 다른 방법으로, 로봇(1702)에 의해 직접 도달할 수 있는 위치(1707)에 포드(1703)를 배치하는 오버헤드 트랙(도시하지 않음)에 의해 포드(1703)를 툴에 전달할 수 있다. 로봇(1702)은 상향 엘리베이터 정지부(1712), 포드 저장 랙(1714), 오버헤드 위치(1707), 및/또는 디포더(1609) 간에 포드(1703)를 이동시킬 수 있다. 포드(1703)를 디포더(1609)내에 일단 배치하면, 상기한 바와 같이 레티클 핸들러 코어(1701)는 포드(1703)를 개방하고 레티클(1601)을 처리한다. 유사하게, 레티클(1601)을 처리한 후, 레티클 핸들러 코어(1701)는 포드(1703)내의 레티클(1601)을 교체하며 포드(1703)를 닫는다. 따라서, 작업은 레티클(1601)을 포드(1703) 외부에서 취급하는 레티클 핸들러 코어(1701)와, 단지 포드(1703)를 취급하는 핸들러의 대기부(atmospheric portion)로 나누어진다.
이러한 2개의 서브시스템은 디포더(1609)를 통해 레티클(1601) 취급을 서로에게 전달한다. 도 16을 참조하여 설명하는 레티클 핸들러 코어(1701)는 도 17에도 도시되어 있으며 대기 로봇(1702) 밑에 위치한다. 당업자가 올바르게 판독할 수 있도록, 진공 챔버(1602), 소형 환경 챔버(1610), 디포더(1609), 및 소형 환경 로봇(1611)을 지적한다. 대기 환경 및 코어 환경은 저장 능력을 갖추고 있을 수 있다.
일부 실시예에서, 여과된 공기 환경은 당업자를 위해 포드(1703) 상에 부호화(encoding)된 ID 마크, 포드(1703)에 부착된 스마트 태그 등과 같은 식별부를 또한 포함할 수 있다.
일부 실시예에서, 가스 소형 환경은, (a) 마스크 상에 인코딩된 ID 마크를 판독하기 위한 식별부, (b) 입력되는 마스크의 온도를 소정의 처리 온도로 균등화하기 위한 열 조절부, (c) 마스크의 적어도 하나의 표면 상의 오염물을 검출하기 위한 마스크 검사부, (d) 마스크의 적어도 하나의 표면으로부터의 표면 오염물을 제거하기 위한 마스크 세정부, 및/또는 (e) 마스크를 기계에 대하여 정밀하게 배향하기 위한 마스크 배향부를 포함할 수 있다. 또한, 일부 실시예에서, 여과된 건조 공기, 합성(synthetic) 공기, 건조 질소와 건조 산소의 혼합물, 및/또는 건조 질소, 또는 다른 가스들을 포함하는 그룹으로부터 선택된 가스로 소형 환경을 퍼지한다.
일부 실시예에서, 진공부는 (a) 마스크 상에 인코딩된 ID 마크를 판독하기 위한 식별부, (b) 적어도 하나의 마스크를 임시 저장하기 위한 라이브러리, (c) 입력되는 마스크의 온도를 소정의 처리 온도로 균등화하기 위한 열 조절부, (d) 마스크의 적어도 하나의 표면상의 오염물을 검출하기 위한 마스크 검사부, (e) 마스크의 적어도 하나의 표면으로부터의 표면 오염물을 제거하기 위한 마스크 세정부, (f) 마스크를 기계에 대하여 정밀하게 배향하기 위한 마스크 배향부, 및/또는 (g) 적어도 하나의 마스크를 처리하기 위한 처리부를 포함한다. 일부 실시예에서, 처리부는 광을 이용하여 마스크의 표면 상의 패턴을 포토레지스트 코팅된 웨이퍼 상으로 재생하는 광리소그래피를 위한 것이다. 일부 실시예에서, 그 광의 파장은 스펙트럼의 극자외선(EUV) 부분에 대응하며 파장 범위는 10 내지 15 nm 이며, 바람직하게는 13nm 이다.
방법론(Methodology)
도 18은 본 발명의 실시예에 따라 마스크를 이송하기 위한 방법(1800)을 설명하는 흐름도를 나타낸다. 단계(1802)에서, 마스크의 제 1 부를 탈착가능한 파티클 커버로 덮는다. 이것은 공기로 운반되는 파티클들에 의해 오염되지 않도록 제 1 부를 보호하는 임시 마스크 커버 장치를 생성한다. 단계(1804)에서, 마스크의 제 2 부를 덮지 않은 상태로 둔다. 단계(1806)에서, 그 장치를 기밀 박스내에 밀폐한다. 이 박스는 마스크 수용부, 및 마스크를 공기로 운반되는 분자 오염물로부터 보호하기 위해 마스크 수용부로부터 분리가능한 리드를 구비할 수 있다. 단계(1806)에서, 박스내의 장치를 이송한다.
도 19는 본 발명의 시시예에 따라 마스크를 이송하고 취급하며 처리하는 방법을 설명하는 흐름도이다. 단계(1902)에서, 마스크의 제 1 부를 탈착가능한 파티클 커버로 덮는다. 이것은 가스 파티클에 의해 오염되지 않도록 제 1 부를 보호하는 임시 마스크 커버 장치를 생성한다. 단계(1904)에서, 마스크의 제 2 부를 덮지 않은 상태로 둔다. 단계(1906)에서, 장치를 기밀 박스내에 밀폐한다. 이 박스는 마스크 수용부, 마스크를 공기로 운반되는 분자 오염물로부터 보호하기 위해 마스크 수용부로부터 분리가능한 리드를 구비할 수 있다.
단계(1908)에서, 상기 장치를 포함하고 있는 박스를 프로세스 툴로 이송한다. 이 프로세스 툴은 디포더, 소형 환경 챔버, 소형 환경 조정기, 로드록, 진공 챔버, 진공 조정기, 및 마스크 장착대라는 구성 요소 중 적어도 하나 이상을 구비 할 수 있다. 단계(1910)에서, 상기 장치를 포함하고 있는 박스를 디포더의 제 1 개구 상에 배치하여, 박스의 리드에 의해 가스가 제 1 개구를 통해 흐르지 않도록 한다. 단계(1912)에서, 디포더의 내부를 청정 가스로 퍼지한다. 단계(1914)에서, 마스크 수용부를 리드로부터 분리함으로써 박스를 개방하고, 가스 흐름을 방지하기 위해 리드를 제 위치에 두며, 마스크 수용부와 장치를 디포더의 내부로 이동시킨다. 단계(1916)에서, 소형 환경 조정기를 이용하여 제 2 디포더 개구를 통해 디포더로부터 소형 환경 챔버 내부로 장치를 추출하고, 제 1 로드록 개구를 통해 장치를 로드록 내에 배치한다. 단계(1918)에서, 로드록을 펌프 다운한다. 단계(1920)에서, 장치를 제 2 로드록 개구를 통해 로드록으로부터 추출하고 진공 조정기를 이용하여 진공 챔버의 내부로 이동시킨다. 단계(1922)에서, 상기 장치를 마스크 장착대 상에 배치하여, 마스크의 덮지 않은 부분이 장착대와 접촉하도록 한다. 단계(1924)에서, 장착대는 마스크를 유지한다. 단계(1926)에서, 진공 조정기를 이용하여 마스크로부터 커버를 분리하고 제거하고 또는 재배치한다. 단계(1928)에서, 마스크를 처리한다.
도 20은 로드록 내에서 대기압으로부터 진공 상태로 마스크를 이송하기 위한 방법(2000)을 설명하는 흐름도를 나타낸다. 단계(2002)에서, 마스크를 로드록내에 배치한다. 단계(2004)에서, 로드록내의 공기로 운반되는 파티클들이 마스크에 도달하지 않도록 마스크를 돔으로 덮는다. 단계(2006)에서, 로드록을 닫는다. 단계(2008)에서, 로드록을 펌프 다운한다. 단계(2010)에서, 로드록을 진공 상태에 개방시킨다. 단계(2012)에서, 돔을 철회함으로써 마스크를 연다. 단계(2014)에서, 로드록으로부터 마스크를 제거한다.
도 21은 로드록내에서 진공 상태로부터 대기압으로 마스크를 이송하기 위한 방법(2100)을 설명하는 흐름도를 나타낸다. 단계(2102)에서, 마스크를 로드록 내에 배치한다. 단계(2104)에서, 마스크를 돔으로 덮는다. 이 커버 단계(2104)는 후속하는 배출 및 개방 단계 동안 로드록 내의 공기로 운반되는 파티클들이 마스크에 도달하는 것이 방지하기 위함이다. 단계(2106)에서, 로드록을 닫는다. 단계(2108)에서, 로드록을 배출한다. 단계(2110)에서, 로드록의 대기 끝부를 대기 환경에 개방시킨다. 단계(2112)에서, 공기로 운반되는 파티클이 가라앉는다. 단계(2114)에서, 돔을 철회함으로써 마스크를 연다. 단계(2116)에서, 로드록으로부터 마스크를 제거한다.
도 22는 마스크를 이송하고 취급하며 처리하기 위하 방법(2200)을 설명하는 흐름도를 나타낸다. 단계(2202)에서, 마스크 수용부와 공기로 운반되는 분자 오염물로부터 마스크를 보호하기 위해 마스크 전달부로부터 분리가능한 리드를 구비하는 기밀 박스내에 마스크를 밀폐시킨다. 단계(2204)에서, 마스크를 포함하는 박스를 프로세스 툴에 이송하고, 이 툴은 (a) 디포더, (b) 소형 환경 챔버, (c) 소형 환경 조정기, (d) 로드록, (e) 진공 챔버, (f) 진공 조정기, 및 마스크 장착대 중 적어도 하나 이상을 구비한다. 단계(2206)에서, 마스크를 포함하는 박스를 디포더의 제 1 개구 상에 배치하여, 박스의 리드는 가스가 제 1 개구를 통해 흐르지 않도록 한다. 단계(2208)에서, 디포더의 내부를 청정 가스(예를 들어, 건조 질소)로 퍼지한다. 단계(2210)에서, 마스크 수용부를 리드로부터 분리하고, 가스 흐름을 막기 위해 리드를 제 위치에 두며, 마스크 수용부와 마스크를 디포더의 내부로 이동시킴으로써 박스를 개방한다. 단계(2212)에서, 소형 환경 조정기를 이용하여 제 2 디포더 개구를 통해 디포더로부터 소형 환경 챔버로 마스크를 추출하고, 제 1 로드록 개구를 통해 로드록 내에 마스크를 배치한다. 단계(2214)에서, 로드록을 펌프 다운한다. 단계(2216)에서, 진공 조정기를 이용하여, 제 2 로드록 개구를 통해 로드록으로부터 마스크를 추출하여 진공 챔버의 내부로 이동시킨다. 단계(2218)에서, 마스크를 마스크 장착대 상에 배치한다. 단계(2220)에서, 마스크를 처리한다.
요약하면, 상기한 여러 실시예에서, 레티클은 3개의 환경을 겪게 된다. 즉, 포드 환경(예를 들어, 퍼지된 건조 가스 소형 환경), 디포더로부터 로드록 환경(예를 들어, 진공 상태), 및 로드록으로부터의 척 환경(chuck environment)이다. 각 환경으로의 전환 동안 레티클을 캡슐화할 수 있다. 일부 실시예에서, 포드를 개방하고, 디포더를 퍼지하며, 공기 흐름이 안정되도록 대기하고, 캡슐을 개방하며, 레티클 및/또는 커버를 캡슐로부터 추출함으로써, 이중 랩 포드를 이용한다. 다른 실시예에서, 레티클 및/또는 커버를 로드록 내에 배치하고, 레티클을 돔으로 커버하며, 로드록을 퍼지하도록 배출하며, 공기흐름이 안정되도록 대기하고, 돔을 들어올리며, 레티클 및/또는 커버르 로드록으로부터 추출함으로써, 돔을 갖는 특정 설계 로드록을 이용할 수 있다. 다른 실시예에서, 압력 전이 동안 가스 흐름을 제어하거나 레티클 측면 상에서 가스 흐름(커튼/배리어)을 여과함으로써, 물리적인 장벽을 이용하지 않고도 패턴화 영역 상에 파티클이 내려앉지 않는다. 또다른 실시예에서, 가스 투과가능한 커버를 이용하여 파티클들이 통과할 수 없는 물리적인 장 벽에 의해 레티클을 보호하고, 커버를 씌운 포드 내부에 레티클을 저장하며, 레티클과 커버를 로드록 내에 배치하고, 커버를 씌운 상태로 압력을 전이하며, 진공 환경 내부에서는 커버가 제거된다.
상기한 실시예들을 이용함으로써, 다양한 시스템 및 시스템의 일부 구성 요소용으로 이상적이지 않은 재료를 사용할 지라도 파티클 발생은 감소된다. 이것은, 부분적으로 보호 프레임 등을 이용하고 에지 취급 기술을 이용함으로써 달성된다.
본 발명의 다양한 실시예들을 설명하였지만, 이 실시예들은 단지 예일 뿐이며 제한되지 않는다. 본 발명의 사상과 범위로부터 벗어나지 않고 실시예들을 다양하게 변경할 수 있다는 것은 당업자에게 자명할 것이다. 따라서, 본 발명의 사상과 범위는 상기한 실시예들에 의해 제한되어서는 안되며 첨부된 청구범위 및 그 균등 범위에 의해서만 정의되어야 한다.
본 발명에 따라 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템 및 방법에 의하면, 시스템을 통과하는 EUV 광의 품질을 실질적으로 감소시키지 않으면서 오염물로부터 레티클을 보호할 수 있게 된다.

Claims (64)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 분리가능한 리드를 가진 장치에 탈착가능하게 고정된 마스크를 유지하는 박스;
    거의 제1 부 압력으로 필터링된 공기를 포함하는 제1부;
    상기 박스를 상기 제1부 내로 이동시키는 제1부 조정기;
    상기 마스크를 상기 제1부와 가스 소형 환경부 사이에서 이송하는데 사용되는 디포더 - 여기서, 상기 소형 환경부는 청정 가스로 거의 제1부 압력으로 퍼지되 고, 상기 박스를 상기 소형 환경부 내로 이동시키는 소형 환경 조정기를 가지고 있음;
    상기 마스크를 소형 환경부와 진공부 사이에서 이송하는데 사용되는 로드록; 및
    상기 마스크를 상기 진공부 내에서 이동시키는 진공 조정기를 포함하는 시스템.
  42. 제41항에 있어서,
    상기 제1부 조정기는 상기 박스를 상기 디포더 상에 배치하고 상기 박스를 상기 디포더로부터 제거하는 시스템.
  43. 제41항에 있어서,
    상기 소형 환경 조정기는 상기 마스크를 상기 가스 소형 환경부 내로 이동시키고, 상기 마스크를 상기 디포더로부터 제거하고, 상기 마스크를 상기 로드록의 내부에 배치하고, 마스크를 상기 로드록의 내부로부터 제거하는 시스템.
  44. 제41항에 있어서,
    상기 필터링된 공기의 환경부는
    상기 박스를 상기 제1 부분 조정기의 리치를 벗어난 박스 입력 높이로부터 받고 상기 박스를 상기 제1 부분 조정기의 리치 내로 수직 이동시키는 엘리베이터;
    상기 박스에 부호화된 ID 마크 또는 스마트 태그를 읽는 식별 스테이션; 및
    상기 박스를 임시로 저장하는 저장 랙 중 하나 이상을 포함하는 시스템.
  45. 제41항에 있어서,
    상기 가스 소형 환경 부분은
    상기 마스크에 부호화된 ID 마크를 읽는 식별 스테이션;
    진입하는 마스크의 온도를 미리 결정된 처리 온도로 균등화하는 열 환경 스테이션(thermal environment station);
    상기 마스크의 표면 상의 오염 물질을 감지하는 마스크 검사 스테이션;
    상기 마스크의 표면으로부터 오염 물질을 제거하는 마스크 세정 스테이션; 및
    상기 마스크를 상기 시스템에 대해 배향시키는 마스크 배향 스테이션 중 하나 이상을 포함하는 시스템.
  46. 제41항에 있어서,
    상기 가스 소형 환경은 필터링된 건조 공기, 합성 공기, 건소 질소와 건조 산소의 혼합물 및 건조 질소로 이루어진 군으로부터 선택된 가스로 퍼지된 시스템.
  47. 제41항에 있어서,
    상기 진공 부분은
    상기 마스크에 암호화된 ID 마크를 읽는 식별 스테이션;
    적어도 상기 마스크를 적어도 일시적으로 저장하는 라이브러리;
    상기 마스크의 온도를 미리 결정한 처리 온도로 균등화하는 열 상태 스테이션;
    상기 마스크의 표면 상의 오염 물질을 감지하는 마스크 검사 스테이션;
    상기 마스크의 표면으로부터 오염물질을 제거하는 마스크 세정 스테이션;
    상기 시스템에 대해 상기 마스크를 배향시키는 마스크 배향 스테이션; 및
    상기 마스크를 처리하는 처리 스테이션 중 하나 이상을 포함하는 시스템.
  48. 제47항에 있어서,
    상기 처리 스테이션은 빛을 이용해 상기 마스크의 표면 상의 패턴을 포토레지스트가 코팅된 웨이퍼에 포토리소그래피적으로 재생산하는 데 사용되는 시스템.
  49. 제48항에 있어서,
    상기 빛의 파장은 스펙트럼에서 극자외선 영역에 해당하는 시스템.
  50. 제48항에 있어서,
    상기 빛의 파장은 약 10 나노미터 내지 약 15 나노미터인 시스템.
  51. 제48항에 있어서,
    상기 빛의 파장은 약 13 나노미터인 시스템.
  52. 분리가능한 리드를 가진 장치에 탈착가능하게 고정된 마스크를 유지하는 박스를 제1부 내로 이동시키는 제1부 조정기를 가진 제1부;
    상기 마스크를 상기 제1부와 가스 소형 환경부 사이에서 이송시키는 디포더 부 - 여기서, 상기 가스 소형 환경부는 상기 박스를 상기 소형 환경부 내로 이동시키는 소형 환경 조정기를 포함함; 및
    상기 소형 환경부와 진공부 사이에 위치하며, 상기 마스크가 자신을 통해 이송되는 로드록 부를 포함하는 시스템
  53. 제52항에 있어서,
    상기 제1부 조정기는 상기 박스를 상기 디포더 상에 위치시키고, 상기 박스를 상기 디포더로부터 제거하는 시스템.
  54. 제52항에 있어서,
    상기 가스 환경 조정기는 상기 마스크를 상기 가스 소형 환경부 내로 이동시키고, 상기 마스크를 상기 디포더로부터 제거하고, 상기 마스크를 상기 로드록의 내부에 위치시키고, 마스크를 상기 로드록의 내부로부터 제거하는 시스템.
  55. 제52항에 있어서,
    상기 제1부는
    상기 박스를 상기 제1부 조정기의 리치 바깥의 박스 입력 높이로부터 받아 상기 박스를 상기 제1부 조정기의 리치 내로 수직 이동시키는 엘리베이터;
    상기 박스에 부호화된 ID 마크 또는 스마트 태그를 읽는 식별 스테이션; 및
    상기 박스를 임시로 저장하는 저장 랙 중 하나 이상을 포함하는 시스템.
  56. 제52항에 있어서,
    상기 가스 소형 환경부는
    상기 마스크에 부호화된 ID 마크를 읽는 식별 스테이션;
    진입하는 마스크의 온도를 미리 결정된 처리 온도로 균등화하는 열 환경 스테이션(thermal environment station);
    상기 마스크의 표면 상의 오염 물질을 감지하는 마스크 검사 스테이션;
    상기 마스크의 표면으로부터 오염 물질을 제거하는 마스크 세정 스테이션; 및
    상기 마스크를 상기 시스템에 대해 배향시키는 마스크 배향 스테이션 중 하나 이상을 포함하는 시스템.
  57. 제52항에 있어서,
    상기 가스 소형 환경은 필터링된 건조 공기, 합성 공기, 건조 질소와 건조 산소의 혼합물 및 건조 질소로 이루어진 군으로부터 선택된 가스로 퍼지된 시스템.
  58. 제52항에 있어서,
    상기 진공 부분은
    상기 마스크에 부호화된 ID 마크를 읽는 식별 스테이션;
    적어도 상기 마스크를 적어도 일시적으로 저장하는 라이브러리;
    상기 마스크의 온도를 미리 결정한 처리 온도로 균등화시키는 열 상태 스테이션(thermal condition station);
    상기 마스크의 표면 상의 오염 물질을 감지하는 마스크 검사 스테이션;
    상기 마스크의 표면으로부터 오염물질을 제거하는 마스크 세정 스테이션;
    상기 시스템에 대해 상기 마스크를 배향시키는 마스크 배향 스테이션; 및
    상기 마스크를 처리하는 처리 스테이션 중 하나 이상을 포함하는 시스템.
  59. 제58항에 있어서,
    상기 처리 스테이션은 빛을 이용해 상기 마스크의 표면 상의 패턴을 포토레지스트가 코팅된 웨이퍼에 포토리소그래피적으로 재생산하는 데 사용되는 시스템.
  60. 제59항에 있어서,
    상기 빛의 파장은 극자외선 영역을 포함하는 시스템.
  61. 제59항에 있어서,
    상기 빛의 파장은 약 10 나노미터 내지 약 15 나노미터인 시스템.
  62. 제59항에 있어서,
    상기 빛의 파장은 약 13 나노미터인 시스템.
  63. 삭제
  64. 삭제
KR1020060019943A 2002-02-22 2006-03-02 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법 KR100730676B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US35835402P 2002-02-22 2002-02-22
US60/358,354 2002-02-22
US36412902P 2002-03-15 2002-03-15
US60/364,129 2002-03-15
US41435802P 2002-09-30 2002-09-30
US60/414,358 2002-09-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020030011231A Division KR20040002437A (ko) 2002-02-22 2003-02-22 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020070018542A Division KR100868744B1 (ko) 2002-02-22 2007-02-23 레티클을 보호하기 위해 2개 파트 커버 및 박스를 사용하는시스템

Publications (2)

Publication Number Publication Date
KR20060026934A KR20060026934A (ko) 2006-03-24
KR100730676B1 true KR100730676B1 (ko) 2007-06-21

Family

ID=27739178

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020030011231A KR20040002437A (ko) 2002-02-22 2003-02-22 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법
KR1020060019943A KR100730676B1 (ko) 2002-02-22 2006-03-02 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법
KR1020070018542A KR100868744B1 (ko) 2002-02-22 2007-02-23 레티클을 보호하기 위해 2개 파트 커버 및 박스를 사용하는시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020030011231A KR20040002437A (ko) 2002-02-22 2003-02-22 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020070018542A KR100868744B1 (ko) 2002-02-22 2007-02-23 레티클을 보호하기 위해 2개 파트 커버 및 박스를 사용하는시스템

Country Status (6)

Country Link
US (6) US7304720B2 (ko)
EP (1) EP1341042A3 (ko)
JP (3) JP2003257852A (ko)
KR (3) KR20040002437A (ko)
CN (1) CN1323325C (ko)
TW (1) TWI319123B (ko)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6847434B2 (en) * 2000-02-10 2005-01-25 Asml Holding N.V. Method and apparatus for a pellicle frame with porous filtering inserts
KR100597035B1 (ko) * 2001-03-01 2006-07-04 에이에스엠엘 네델란즈 비.브이. 마스크핸들링방법, 마스크, 그를 위한 그리퍼를 포함하는기구 또는 장치, 디바이스 제조방법 및 그 디바이스
US7304720B2 (en) * 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
SG107133A1 (en) * 2002-02-22 2004-11-29 Asml Holding Nv System and method for using a two part cover for protecting a reticle
US7008487B1 (en) * 2002-03-04 2006-03-07 Micron Technology, Inc. Method and system for removal of contaminates from phaseshift photomasks
JP2003315983A (ja) * 2002-04-22 2003-11-06 Mitsubishi Electric Corp フォトマスク
US7061589B2 (en) * 2002-09-03 2006-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for mounting a hard pellicle
US6822731B1 (en) * 2003-06-18 2004-11-23 Asml Holding N.V. Method and apparatus for a pellicle frame with heightened bonding surfaces
US7068347B2 (en) * 2002-12-20 2006-06-27 Intel Corporation Apparatus for reducing pellicle darkening
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
EP1434094A1 (en) * 2002-12-27 2004-06-30 ASML Netherlands B.V. Container for a mask
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
TWI234692B (en) * 2003-03-11 2005-06-21 Asml Netherlands Bv Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
TWI224719B (en) * 2003-05-28 2004-12-01 Gudeng Prec Ind Co Ltd Reinforced structure device of mask frame
US7084961B2 (en) * 2003-12-22 2006-08-01 Asml Netherlands B.V. Safety mechanism for a lithographic patterning device
EP1500980A1 (en) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7123344B2 (en) * 2003-09-29 2006-10-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005123292A (ja) * 2003-10-15 2005-05-12 Canon Inc 収納装置、当該収納装置を用いた露光方法
TWI288305B (en) * 2003-10-27 2007-10-11 Asml Netherlands Bv Assembly of a reticle holder and a reticle
EP1531363A1 (en) * 2003-10-27 2005-05-18 ASML Netherlands B.V. Reticle holder
US7236233B2 (en) * 2003-10-27 2007-06-26 Asml Netherlands B.V. Assembly of a reticle holder and a reticle
JP4332409B2 (ja) * 2003-10-31 2009-09-16 キヤノン株式会社 基板保持機構およびそれを用いた露光装置並びにデバイス製造方法
WO2005047981A2 (en) * 2003-11-10 2005-05-26 Nikon Corporation Thermophoretic techniques for protecting reticles from contaminants
US6862817B1 (en) * 2003-11-12 2005-03-08 Asml Holding N.V. Method and apparatus for kinematic registration of a reticle
KR101003666B1 (ko) * 2003-12-10 2010-12-23 엘지디스플레이 주식회사 정렬장치
US20050223973A1 (en) * 2004-03-30 2005-10-13 Infineon Technologies Ag EUV lithography system and chuck for releasing reticle in a vacuum isolated environment
FR2869452B1 (fr) * 2004-04-21 2006-09-08 Alcatel Sa Dispositif pour le transport de substrats sous atmosphere controlee
US7136151B2 (en) * 2004-07-29 2006-11-14 Asml Holding N.V. Reticle gripper barrier system for lithography use
JP2008512147A (ja) * 2004-09-07 2008-04-24 スミス アンド ネフュー インコーポレーテッド 最小厚さの骨プレート係止機構
JP2006078763A (ja) * 2004-09-09 2006-03-23 Fujitsu Ltd 露光用マスクの製造方法
US7477358B2 (en) * 2004-09-28 2009-01-13 Nikon Corporation EUV reticle handling system and method
US7551265B2 (en) 2004-10-01 2009-06-23 Nikon Corporation Contact material and system for ultra-clean applications
JP2006128188A (ja) * 2004-10-26 2006-05-18 Nikon Corp 基板搬送装置、基板搬送方法および露光装置
CN101006554A (zh) * 2004-10-29 2007-07-25 株式会社尼康 标线保护构件、标线运送装置、曝光装置及标线运送方法
JP4710308B2 (ja) * 2004-10-29 2011-06-29 株式会社ニコン レチクル搬送装置、露光装置、及びレチクルの搬送方法
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
JP4667018B2 (ja) * 2004-11-24 2011-04-06 ミライアル株式会社 レチクル搬送容器
JP2006173273A (ja) * 2004-12-14 2006-06-29 Miraial Kk レチクル搬送容器
JP4581681B2 (ja) * 2004-12-27 2010-11-17 株式会社ニコン レチクル保護装置および露光装置
US7528936B2 (en) * 2005-02-27 2009-05-05 Entegris, Inc. Substrate container with pressure equalization
US7607543B2 (en) * 2005-02-27 2009-10-27 Entegris, Inc. Reticle pod with isolation system
JP2006245257A (ja) * 2005-03-03 2006-09-14 Canon Inc 処理装置、当該処理装置を有する露光装置、保護機構
US20060243300A1 (en) * 2005-04-27 2006-11-02 Patrick Klingbeil Method for cleaning lithographic apparatus
JP4667140B2 (ja) * 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
EP1928764B1 (en) * 2005-09-27 2011-11-02 Entegris, Inc. Reticle pod
US20070076292A1 (en) * 2005-09-27 2007-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fully electric field shielding reticle pod
JP4789566B2 (ja) * 2005-09-30 2011-10-12 ミライアル株式会社 薄板保持容器及び薄板保持容器用処理装置
JP4692238B2 (ja) * 2005-11-15 2011-06-01 株式会社ニコン マスク収納容器開装置、露光装置
US7522263B2 (en) 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
US20070146658A1 (en) * 2005-12-27 2007-06-28 Asml Netherlands B.V. Lithographic apparatus and method
TWI417649B (zh) * 2005-12-28 2013-12-01 尼康股份有限公司 十字標記運送裝置、曝光裝置、十字標記運送方法以及十字標記的處理方法
US7808616B2 (en) * 2005-12-28 2010-10-05 Nikon Corporation Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
US7537114B2 (en) * 2006-01-25 2009-05-26 International Business Machines Corporation System and method for storing and transporting photomasks in fluid
US20070190430A1 (en) * 2006-02-10 2007-08-16 Heng-Chung Wu Photo-mask stage
US20080060974A1 (en) * 2006-02-21 2008-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Mask carrier treatment to prevent haze and ESD damage
US7773198B2 (en) * 2006-03-28 2010-08-10 Nikon Corporation Filtered device container assembly with shield for a reticle
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
WO2007149513A2 (en) * 2006-06-19 2007-12-27 Entegris, Inc. System for purging reticle storage
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
TW200809943A (en) * 2006-08-15 2008-02-16 Gudeng Prec Ind Co Ltd Cleaning device for photo mask
JP4863064B2 (ja) * 2006-08-22 2012-01-25 大日本印刷株式会社 露光用マスクの管理方法
US7933000B2 (en) * 2006-11-16 2011-04-26 Asml Netherlands B.V. Device manufacturing method, method for holding a patterning device and lithographic apparatus including an applicator for applying molecules onto a clamp area of a patterning device
US20080128303A1 (en) * 2006-12-05 2008-06-05 Nikon Corporation Device container assembly with adjustable retainers for a reticle
US7808612B2 (en) * 2007-04-05 2010-10-05 Asml Netherlands B.V. Lithographic apparatus and method for masking a substrate
US10586722B2 (en) * 2007-05-30 2020-03-10 Brooks Automation, Inc. Vacuum substrate storage
WO2008154907A2 (de) * 2007-06-21 2008-12-24 GeSIM Gesellschaft für Silizium-Mikrosysteme mbH Verfahren und vorrichtung zur übertragung von mikro- oder nanostrukturen durch kontaktstempeln
JP5169206B2 (ja) 2007-12-21 2013-03-27 日本電気株式会社 フォトマスク受納器並びにこれを用いるレジスト検査方法及びその装置
US8215510B2 (en) * 2008-03-24 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask storage apparatus
NL1036785A1 (nl) 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
US8424703B2 (en) * 2008-05-01 2013-04-23 Brooks Automation, Inc. Substrate container sealing via movable magnets
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
JP2010027810A (ja) * 2008-07-17 2010-02-04 Yaskawa Electric Corp 真空搬送装置
US8071262B2 (en) * 2008-11-05 2011-12-06 Micron Technology, Inc. Reticles with subdivided blocking regions
NL2003638A (en) 2008-12-03 2010-06-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
TWI344926B (en) * 2008-12-05 2011-07-11 Gudeng Prec Industral Co Ltd Reticle pod
KR102211255B1 (ko) * 2009-05-15 2021-02-02 가부시키가이샤 니콘 이동체 장치, 용력 전달 장치, 및 노광 장치, 그리고 디바이스 제조 방법
US8159654B2 (en) * 2009-06-03 2012-04-17 Matsushita Seiki Co., Ltd. Pressure body and pellicle mounting apparatus
TWI412883B (zh) * 2009-06-04 2013-10-21 Matsushita Seiki Co Ltd 加壓體及薄膜黏貼裝置
TWI411563B (zh) 2009-09-25 2013-10-11 Gudeng Prec Industral Co Ltd 光罩盒
US8207504B2 (en) * 2009-11-19 2012-06-26 Applied Materials Israel, Ltd. Inspection of EUV masks by a DUV mask inspection tool
TWI450324B (zh) * 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd 微影設備之光罩清潔方法及微影設備之光罩清潔系統
CN101825841B (zh) * 2010-03-30 2012-07-04 东莞宏威数码机械有限公司 掩膜存储清洗系统
TWI481835B (zh) * 2010-04-20 2015-04-21 Oto Photonics Inc 適用於光譜儀之狹縫座模組與光譜儀
TW201206787A (en) * 2010-04-30 2012-02-16 Fortrend Engineering Corp Opener for extreme ultra violet lithography reticle pods
TWI414464B (zh) 2011-01-11 2013-11-11 Gudeng Prec Ind Co Ltd 具有固定結構之極紫外光光罩儲存傳送盒
US8888086B2 (en) * 2011-05-11 2014-11-18 Sematech, Inc. Apparatus with surface protector to inhibit contamination
JP5665679B2 (ja) * 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
NL2008806A (en) * 2011-07-22 2013-01-24 Asml Holding Nv Lithographic apparatus and device manufacturing method.
US8925290B2 (en) * 2011-09-08 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Mask storage device for mask haze prevention and methods thereof
FR2986815B1 (fr) * 2012-02-13 2014-12-19 Micro Controle Spectra Physics Dispositif et systeme d'alignement et de maintien en position de poutres.
US9851643B2 (en) * 2012-03-27 2017-12-26 Kla-Tencor Corporation Apparatus and methods for reticle handling in an EUV reticle inspection tool
JP5943519B2 (ja) * 2012-09-20 2016-07-05 ヒューグルエレクトロニクス株式会社 基板ケース洗浄装置
EP2909110B1 (en) 2012-10-19 2017-08-30 Entegris, Inc. Reticle pod with cover to baseplate alignment system
US8939289B2 (en) * 2012-12-14 2015-01-27 Shenzhen China Star Optoelectronics Technology Co., Ltd Packing box for liquid crystal display panel and waterproof structure thereof
JP6217101B2 (ja) * 2013-03-22 2017-10-25 富士電機株式会社 半導体装置の製造方法及び取り付け治具
KR102218656B1 (ko) * 2013-05-08 2021-02-23 삼성디스플레이 주식회사 마스크 조립체 및 이의 제조 방법
CN103713468A (zh) * 2013-12-24 2014-04-09 京东方科技集团股份有限公司 一种掩模板盒
KR101464706B1 (ko) * 2014-07-16 2014-11-28 마이다스시스템주식회사 스캔 앤 스텝 노광기
KR102205403B1 (ko) * 2014-10-08 2021-01-21 삼성디스플레이 주식회사 증착용 마스크 포장 용기
US10558129B2 (en) 2014-11-17 2020-02-11 Asml Netherlands B.V. Mask assembly
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
KR20230019229A (ko) * 2015-01-26 2023-02-07 가부시키가이샤 니콘 마스크 케이스, 보관 장치 및 방법, 반송 장치 및 방법, 그리고 노광 장치
CN105988303B (zh) * 2015-02-26 2018-03-30 上海微电子装备(集团)股份有限公司 一种掩模版传输装置及传输方法
JP2016170310A (ja) * 2015-03-13 2016-09-23 株式会社荏原製作所 レチクル搬送装置、検査装置およびレチクル搬送方法
USD761261S1 (en) * 2015-06-09 2016-07-12 Teco Image Systems Co., Ltd Handheld scanner
US9915867B2 (en) 2015-09-24 2018-03-13 International Business Machines Corporation Mechanical isolation control for an extreme ultraviolet (EUV) pellicle
KR102502727B1 (ko) * 2015-11-09 2023-02-23 삼성전자주식회사 레티클 및 그를 포함하는 노광 장치
US11249392B2 (en) 2017-01-25 2022-02-15 Gudeng Precision Industrial Co., Ltd EUV reticle pod
CN108375872B (zh) * 2017-01-25 2022-04-15 家登精密工业股份有限公司 极紫外光光罩容器
TWI634383B (zh) * 2017-01-26 2018-09-01 家登精密工業股份有限公司 光罩盒
CN110809736B (zh) * 2017-06-15 2023-10-24 Asml荷兰有限公司 表膜和表膜组件
KR102134639B1 (ko) * 2017-08-14 2020-07-17 구뎅 프리시젼 인더스트리얼 코포레이션 리미티드 기밀성 측정 방법과 시스템 및 이로 측정되는 용기
KR101880401B1 (ko) * 2017-09-15 2018-07-20 주식회사 미래솔루텍 마스크 어셈블리 케이스
US10658215B2 (en) * 2017-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle transportation container
US10684559B2 (en) 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage
TWI690771B (zh) * 2018-01-11 2020-04-11 家登精密工業股份有限公司 光罩壓抵單元及應用其之極紫外光光罩容器
KR102570321B1 (ko) * 2018-02-14 2023-08-24 삼성디스플레이 주식회사 표시 장치용 포장 용기
KR200488135Y1 (ko) * 2018-06-14 2018-12-17 박철규 섀도우 마스크 위치고정장치
DE202019101794U1 (de) * 2018-06-27 2019-10-09 Murata Machinery, Ltd. Vorrichtungen zum mindestens einen aus Substrat-Handhabung, Substrat-Lagerung, Substrat-Behandlung und Substrat-Verarbeitung
KR102445717B1 (ko) * 2018-09-12 2022-09-21 주식회사 원익아이피에스 마스크, 그가 적재되는 마스크적재모듈, 마스크적재모듈을 가지는 기판처리시스템
WO2020088394A1 (en) * 2018-10-29 2020-05-07 Gudeng Precision Industrial Co., Ltd Reticle retaining system
US11137693B2 (en) * 2018-11-30 2021-10-05 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Pellicle holder, pellicle inspection apparatus, and pellicle inspection method
CN109633853B (zh) * 2018-12-25 2020-11-27 同济大学 一种自清洁旋转棱镜装置
TWI705522B (zh) * 2019-07-30 2020-09-21 家登精密工業股份有限公司 基板容納裝置及其製造方法
TWD209117S (zh) * 2019-08-02 2021-01-01 家登精密工業股份有限公司 光罩傳送盒之上蓋
TWD209927S (zh) * 2019-08-02 2021-02-21 家登精密工業股份有限公司 光罩傳送盒之上蓋
WO2021104681A1 (en) * 2019-11-25 2021-06-03 Brooks Automation (Germany) Gmbh Euv reticle stocker and method of operating the same
US11555791B2 (en) 2019-12-03 2023-01-17 Corning Incorporated Chamber for vibrational and environmental isolation of thin wafers
JP7176165B2 (ja) * 2020-04-24 2022-11-22 家登精密工業股▲ふん▼有限公司 Euvレチクルポッド
TWI803860B (zh) * 2020-04-30 2023-06-01 美商恩特葛瑞斯股份有限公司 光罩盒密封
TWI767515B (zh) * 2020-05-14 2022-06-11 家登精密工業股份有限公司 提供有效密封之用於容納基板的容器
US20220100106A1 (en) * 2020-09-30 2022-03-31 Gudeng Precision Industrial Co., Ltd Workpiece container system
US11874596B2 (en) * 2020-09-30 2024-01-16 Gudeng Precision Industrial Co., Ltd Workpiece container system
EP4222778A1 (en) * 2020-10-02 2023-08-09 Entegris, Inc. Wafer container and size adaption system therefor
TWI770791B (zh) * 2021-01-28 2022-07-11 家登精密工業股份有限公司 具有快拆式支撐機構之光罩盒
US11822257B2 (en) * 2021-03-12 2023-11-21 Gudeng Precision Industrial Co., Ltd. Reticle storage pod and method for securing reticle
US20220404696A1 (en) * 2021-06-18 2022-12-22 Entegris, Inc. Bonded layer on extreme ultraviolet plate
CN114114824B (zh) * 2022-01-26 2022-05-20 上海传芯半导体有限公司 一种光掩模保护罩、具有保护结构的光掩模及其制备方法
EP4258330A1 (en) * 2022-04-08 2023-10-11 Brooks Automation (Germany) GmbH Stocker pod, method and stocker for storing a semiconductor fabrication article
CN114823370B (zh) * 2022-05-10 2022-10-14 山东汉旗科技有限公司 指纹识别芯片封装结构及其封装的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4248508A (en) * 1979-08-03 1981-02-03 The Perkin-Elmer Corporation Projection mask storage and carrier system
JPH09306820A (ja) * 1996-05-17 1997-11-28 Canon Inc X線マスク構造体とその製造方法、x線露光方法およびx線露光装置、並びに半導体デバイスとその製造方法

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US21781A (en) * 1858-10-12 Improved method o f lighting street-lamps by electricity
US3615006A (en) 1969-06-26 1971-10-26 Ibm Storage container
US4369475A (en) 1979-01-26 1983-01-18 Priam Enclosed disc drive with improved air flow
US4250388A (en) 1979-08-16 1981-02-10 General Electric Company Device for interfacing an x-ray image intensifier and spot film device
US4412739A (en) 1981-01-13 1983-11-01 Ppg Industries, Inc. Hinged glass photomask assembly
US4443098A (en) 1982-12-21 1984-04-17 General Signal Corporation Pellicle mounting fixture
US4549843A (en) * 1983-03-15 1985-10-29 Micronix Partners Mask loading apparatus, method and cassette
JPS6083032A (ja) 1983-10-13 1985-05-11 Asahi Chem Ind Co Ltd 光透過性に優れたフオトマスク用防塵カバ−
JPS61245163A (ja) 1985-04-23 1986-10-31 Nippon Kogaku Kk <Nikon> マスク保護装置
JPS6197924A (ja) 1984-10-19 1986-05-16 Nippon Sheet Glass Co Ltd 保護カバ−
US4724874A (en) 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4719705A (en) 1986-06-24 1988-01-19 The Perkin-Elmer Corporation Reticle transporter
US4760429A (en) 1986-11-05 1988-07-26 The Perkin-Elmer Corporation High speed reticle change system
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
US4973217A (en) 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4986007A (en) 1987-03-25 1991-01-22 Svg Lithography Systems, Inc. Reticle frame assembly
JPH0675188B2 (ja) 1988-02-29 1994-09-21 キヤノン株式会社 ペリクル着脱機構
JPH0298122A (ja) 1988-10-04 1990-04-10 Mitsubishi Electric Corp X線転写装置
JP3037745B2 (ja) 1990-11-29 2000-05-08 三井化学株式会社 ペリクル構造体
JP2534167B2 (ja) 1991-06-18 1996-09-11 株式会社斉木製作所 露光装置におけるマスク保持装置
JP3089590B2 (ja) 1991-07-12 2000-09-18 キヤノン株式会社 板状物収納容器およびその蓋開口装置
US5469963A (en) * 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US5422704A (en) * 1992-07-13 1995-06-06 Intel Corporation Pellicle frame
US5296893A (en) 1992-07-31 1994-03-22 Vlsi Technology, Inc. Box for an optical stepper reticle
EP0582018B1 (en) 1992-08-04 1995-10-18 International Business Machines Corporation Pressurized interface apparatus for transferring a semiconductor wafer between a pressurized sealable transportable container and a processing equipment
JP3200776B2 (ja) * 1992-08-06 2001-08-20 大日本印刷株式会社 基板保持用ケース
US5344677A (en) 1992-08-27 1994-09-06 Hong Gilbert H Photochemically stable deep ultraviolet pellicles for excimer lasers
US5308989A (en) 1992-12-22 1994-05-03 Eaton Corporation Fluid flow control method and apparatus for an ion implanter
US5453816A (en) 1994-09-22 1995-09-26 Micro Lithography, Inc. Protective mask for pellicle
JP3356897B2 (ja) * 1994-12-16 2002-12-16 信越化学工業株式会社 ペリクル収納容器
JPH0968792A (ja) 1995-08-31 1997-03-11 Shin Etsu Chem Co Ltd フィルター付ペリクル
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5727685A (en) 1995-10-19 1998-03-17 Svg Lithography Systems, Inc. Reticle container with corner holding
US6317479B1 (en) 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
JPH09320935A (ja) 1996-05-28 1997-12-12 Canon Inc X線マスク、該x線マスクを用いたx線露光装置、前記x線マスクを用いた半導体デバイスの製造方法、及び前記x線マスクを用いて製造した半導体デバイス
US5964561A (en) 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JPH11153855A (ja) * 1997-08-29 1999-06-08 Nikon Corp マスクケース、搬送装置及び搬送方法
KR19990019435A (ko) * 1997-08-29 1999-03-15 윤종용 반도체 소자 제조방법
TW510004B (en) 1997-08-29 2002-11-11 Nikon Corp Photomask case, conveying device, and conveying method
KR19990025656A (ko) 1997-09-13 1999-04-06 이종수 골프공 연속공급장치
KR19990025656U (ko) * 1997-12-17 1999-07-05 구본준 레티클 카세트
US5928817A (en) 1997-12-22 1999-07-27 Intel Corporation Method of protecting an EUV mask from damage and contamination
KR200195116Y1 (ko) * 1998-03-07 2000-09-01 김영환 레티클 공급장치
JPH11295880A (ja) 1998-04-07 1999-10-29 Seiko Epson Corp ペリクルフレーム
AU3731299A (en) * 1998-05-20 1999-12-06 Nikon Corporation Method and apparatus for wafer transportation, exposure system, micro device, and reticle library
JP2000019721A (ja) * 1998-07-03 2000-01-21 Canon Inc レチクル容器、露光方法及びデバイス製造方法
US6197454B1 (en) * 1998-12-29 2001-03-06 Intel Corporation Clean-enclosure window to protect photolithographic mask
US6216873B1 (en) * 1999-03-19 2001-04-17 Asyst Technologies, Inc. SMIF container including a reticle support structure
US6251543B1 (en) 1999-06-14 2001-06-26 Agere Systems Guardian Corp. Process for fabricating a projection electron lithography mask and a removable reusable cover for use therein
JP2001033943A (ja) * 1999-07-23 2001-02-09 Mitsubishi Electric Corp マスク装置
JP3647330B2 (ja) * 1999-09-02 2005-05-11 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6239863B1 (en) * 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6279249B1 (en) 1999-12-30 2001-08-28 Intel Corporation Reduced particle contamination manufacturing and packaging for reticles
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
AU2002218763A1 (en) * 2000-07-10 2002-01-21 Asyst Technologies, Inc. Smif container including an electrostatic dissipative reticle support structure
US6609632B2 (en) 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6734445B2 (en) 2001-04-23 2004-05-11 Intel Corporation Mechanized retractable pellicles and methods of use
US6569582B2 (en) 2001-04-23 2003-05-27 Intel Corporation Hinged pellicles and methods of use
US6566018B2 (en) * 2001-04-23 2003-05-20 Intel Corporation Dual-member pellicle assemblies and methods of use
US6734443B2 (en) 2001-05-08 2004-05-11 Intel Corporation Apparatus and method for removing photomask contamination and controlling electrostatic discharge
US6630988B2 (en) 2001-06-28 2003-10-07 Intel Corporation Reticle stop block apparatus and method
US6646720B2 (en) * 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
US6767674B2 (en) * 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
US7304720B2 (en) 2002-02-22 2007-12-04 Asml Holding N.V. System for using a two part cover for protecting a reticle
US6803159B2 (en) 2002-03-28 2004-10-12 Intel Corporation Method of keeping contaminants away from a mask with electrostatic forces
US6733024B1 (en) * 2002-10-29 2004-05-11 Egidio Savegnago Commode convertible wheelchair apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4248508A (en) * 1979-08-03 1981-02-03 The Perkin-Elmer Corporation Projection mask storage and carrier system
JPH09306820A (ja) * 1996-05-17 1997-11-28 Canon Inc X線マスク構造体とその製造方法、x線露光方法およびx線露光装置、並びに半導体デバイスとその製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
일본공개특허 평09-306820호

Also Published As

Publication number Publication date
US7304720B2 (en) 2007-12-04
US7209220B2 (en) 2007-04-24
US20030227605A1 (en) 2003-12-11
US8446570B2 (en) 2013-05-21
TW200304051A (en) 2003-09-16
KR20060026934A (ko) 2006-03-24
CN1323325C (zh) 2007-06-27
CN1456938A (zh) 2003-11-19
US20110001955A1 (en) 2011-01-06
JP2003257852A (ja) 2003-09-12
US7830497B2 (en) 2010-11-09
EP1341042A3 (en) 2004-03-31
EP1341042A2 (en) 2003-09-03
US20060087639A1 (en) 2006-04-27
JP2011138168A (ja) 2011-07-14
KR100868744B1 (ko) 2008-11-13
KR20070030253A (ko) 2007-03-15
US20130010277A1 (en) 2013-01-10
US20070258061A1 (en) 2007-11-08
US20030218728A1 (en) 2003-11-27
JP5186018B2 (ja) 2013-04-17
TWI319123B (en) 2010-01-01
US6906783B2 (en) 2005-06-14
KR20040002437A (ko) 2004-01-07
JP2008118146A (ja) 2008-05-22
JP4758410B2 (ja) 2011-08-31

Similar Documents

Publication Publication Date Title
KR100730676B1 (ko) 레티클을 보호하기 위해 2개 파트 커버를 사용하는 시스템및 방법
JP4717096B2 (ja) レチクルを保護及び搬送する装置及び方法
US8613359B2 (en) Reticle pod
US6517304B1 (en) Method for transporting substrates and a semiconductor manufacturing apparatus using the method
TWI589863B (zh) 在一極紫外光標線片檢查工具中操作標線片之裝置及方法
TW201917076A (zh) 運輸容器
JP2007141925A (ja) マスク収容容器、露光装置
JP4692238B2 (ja) マスク収納容器開装置、露光装置
CN101650528B (zh) 使用两件式盖子保护模版的系统和方法
SG177023A1 (en) System and method for using a two part cover for protecting a reticle
SG193643A1 (en) System and method for using a two part cover for protecting a reticle
JPH10242241A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130607

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140610

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150605

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160603

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170602

Year of fee payment: 11