JP2003257852A - レチクルを保護する2部分カバーを用いるシステムおよび方法 - Google Patents

レチクルを保護する2部分カバーを用いるシステムおよび方法

Info

Publication number
JP2003257852A
JP2003257852A JP2003046630A JP2003046630A JP2003257852A JP 2003257852 A JP2003257852 A JP 2003257852A JP 2003046630 A JP2003046630 A JP 2003046630A JP 2003046630 A JP2003046630 A JP 2003046630A JP 2003257852 A JP2003257852 A JP 2003257852A
Authority
JP
Japan
Prior art keywords
mask
reticle
cover
box
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003046630A
Other languages
English (en)
Other versions
JP2003257852A5 (ja
Inventor
Puerto Santiago Del
デル プエルト サンティアゴ
Andrew Massar
マッサー アンドリュー
Abdullah Alikhan
アリカン アブドゥラー
Jonathan H Feroce
エイチ. フェロース ジョナサン
Eric R Loopstra
アール. ループストラ エリック
Duane P Kish
ピー. キッシュ ドゥエイン
Woodrow J Olson
ジェイ. オルソン ウッドロウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2003257852A publication Critical patent/JP2003257852A/ja
Publication of JP2003257852A5 publication Critical patent/JP2003257852A5/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G03F1/64Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67353Closed carriers specially adapted for a single substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Library & Information Science (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】 マスクが空中浮遊粒子によって汚染すること
から保護するシステムおよび方法が用いられる。 【解決手段】 これらのシステムおよび方法は、2部分
カバー内に固定されるレチクルを提供する工程を包含す
る。2部分カバーは、レチクルを汚染から保護するため
に用いられる除去可能な保護デバイスを備える。カバー
は、ポッドまたはボックスの内側で保持され得る。ポッ
ドまたはボックスは、カバーをリソグラフィシステムを
通って大気セクションから真空セクションに移送するた
めに用いられ得る。真空セクションにある間、除去可能
なカバーが露光プロセス中に移動され得る。この露光プ
ロセス中に、レチクル上のパターンがウェハ上に形成さ
れ得る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、概して、リソグラ
フィ、およびより具体的には、薄膜を用いないリソグラ
フィックレチクルの保護に関する。
【0002】
【従来の技術】リソグラフィは、基板の表面上に機構
(features)を生成するために用いられる。こ
の基板は、フラットパネルディスプレイ、回路基板、種
々の集積回路等を製作する際に用いられるものを含み得
る。半導体ウェハは、例えば、集積回路を製造するため
に基板として用いられ得る。
【0003】リソグラフィの間、レチクルは、基板上に
所望のパターンを転写するために用いられる。レチクル
は例えば、可視光の場合のガラス等、用いられるリソグ
ラフィ波長に対して透明な材料の形態であり得る。レチ
クルは、さらに、用いられるリソグラフィ波長、例え
ば、極紫外(EUV)線を反射するように形成され得
る。レチクルは、その上に印刷される像を有する。レチ
クルの大きさは、それが用いられる特定のシステムのた
めに選択される。例えば、6インチ×6インチおよび1
/4インチ厚さのレチクルが用いられ得る。リソグラフ
ィの間、ウェハステージ上に配置されるウェハは、レチ
クル上に印刷される像と対応して、ウェハは露光され
て、ウェハの表面上に像が投影される。
【0004】投影された像は、例えば、フォトレジスト
層等、ウェハの表面上に配置された層の特性を変化させ
る。これらの変化は、露光中にウェハ上に投影された微
細構造に対応する。露光に続いて、層がエッチングされ
てパターン形成された層が生成され得る。パターンは、
露光中に、ウェハ上に投影されたそれらの微細構造に対
応する。パターン形成された層は、その後、導電、半導
体層、絶縁層といった、ウェハ内の下に重なる構造層の
露光された部分を除去するために用いられる。このプロ
セスは、その後、所望の微細構造がウェハの表面上に形
成されるまで、他の工程と共に繰返される。
【0005】上記の説明から明確なように、リソグラフ
ィによって生成される微細構造の正確な位置および大き
さは、ウェハ上に投影される像の精度および正確さと直
接関係する。リソグラフィの位置を100nm以下の精
度にしようとすると、リソグラフィツールだけでなく、
レチクルにもその厳密さが要求される。レチクル上に沈
降する空中浮遊粒子は、ウェハに欠陥をもたらし得る。
レチクル平面内の像の少しの変形または変位が、限界寸
法よりも大きくなり、かつ欠陥供給収支を積み重ね得
る。従来の解決策は、レチクル用の薄膜として永久的に
固定される透明な材料の薄片を用いることである。
【0006】この薄膜は、リソグラフィプロセスのすべ
てのステージの間、所定の場所に留まる。薄膜は、ウェ
ハ上に投影される像の精度の向上において2重の役割を
有する。第1に、薄膜は、微粒子汚染と直接的に接触す
ることからレチクルを守ることに利用される。上述のよ
うに、レチクル上に沈降する粒子は、像のゆがみを生成
し得るので、除去されなければならない。しかしなが
ら、レチクルからの粒子の除去は、レチクルの損傷の原
因となり得る。なぜなら、このような除去は、レチクル
との直接的な接触を含み得るからである。薄膜が用いら
れると、粒子は、レチクルではなく薄膜上に沈降する。
従って、薄膜が洗浄されなければならない。レチクルで
はなく薄膜を洗浄することは、レチクルの完全性に危険
を及ぼすことがより少ない。なぜなら、レチクルは、こ
の洗浄中に、薄膜それ自体によって守られるからであ
る。
【0007】薄膜によって果たされる第2の役割は、薄
膜の離間(standoff)と関連する。露光中、焦
点面は、レチクル上に印刷される像の位置と対応する。
薄膜を備えることによって、システムにおける任意の粒
子は、レチクルではなく薄膜上に沈降する。薄膜の厚
さ、従って、薄膜の表面とレチクルのパターン形成され
た表面との間の距離によって、これらの粒子は、焦点面
には存在しない。薄膜は、焦点面から粒子を持ち上げる
ので、基板上に投影される像がこれらの粒子を含む確率
は大幅に低減される。
【0008】上述の解決策は、複数の従来のリソグラフ
ィ処理技術において良好に機能する。このようにして、
透明な薄膜およびレチクルを生成するための材料が利用
可能であるため、このようなシステムの使用は、光がレ
チクルおよび薄膜の両方を通過するシステムにおいて有
用である。しかしながら、薄膜アプローチは、EUV用
途における使用にはあまり適切でない。なぜなら、用い
られる光の波長が短いと、気体または固体を通って透過
する際に、容易に吸収されるからである。
【0009】従って、薄膜を作製するために用いられ得
るEUVに対して十分に透明な材料は現在存在しない。
EUVリソグラフィにおいて、EUVは、レチクルを通
過せず、レチクルの像の面で反射される。この技術は、
反射リソグラフィとして公知である。薄膜が反射リソグ
ラフィプロセスにおいて用いられるべき場合、EUV
は、薄膜を必然的に2度通過する。1度目は、レチクル
への途中、そしてレチクルでの反射後に再び通過する。
従って、薄膜と関連する光の損失の任意の量が、EUV
処理技術を用いて効果として2倍にされる。
【0010】
【発明が解決しようとする課題】従って、必要とされる
のは、レチクルを汚染から守るために、システムを通過
するEUV線の質を実質的に低下させないことを可能に
するシステムおよび方法である。
【0011】
【課題を解決するための手段】1. レチクルと、該レ
チクルを保護するために該レチクルと結合されたカバー
と、を備え、該カバーは、フレームと、露光プロセスの
間、該レチクルへの光の直接的なアクセスを可能にする
ように移動する、除去可能なパネルと、を備えるシステ
ム。
【0012】2. 前記カバーと結合されたロボットグ
リッパーであって、かつロボットが該カバーおよび該レ
チクルを、ロボットグリッパーを介して移動することを
可能にするように構成される、項目1に記載のシステ
ム。
【0013】3. 前記ロボットグリッパーおよび前記
カバーと結合されるベースプレートをさらに備える、項
目2に記載のシステム。
【0014】4. ステージをさらに備える、項目1に
記載のシステム。
【0015】5. 前記フレームを前記ステージに除去
可能に取り付ける手段をさらに備える、項目4に記載の
システム。
【0016】6. 前記フレームと前記除去可能なパネ
ルとを位置合わせする手段をさらに包含する、項目3に
記載のシステム。
【0017】7. 前記ロボットグリッパーと前記除去
可能なパネルとを位置合わせする手段をさらに備える、
項目3に記載のシステム。
【0018】8. 前記ベースプレートと前記除去可能
なパネルとを位置合わせする手段をさらに備える、項目
3に記載のシステム。
【0019】9. 前記フレームと前記除去可能なパネ
ルとを位置合わせする手段をさらに備える、項目1に記
載のシステム。
【0020】10. 前記レチクルを、移送の前にステ
ージと位置合わせする事前位置合わせデバイスをさらに
備える、項目1に記載のシステム。
【0021】11. 前記事前位置合わせデバイスと前
記フレームとを位置合わせする手段と、該フレームと前
記ステージとを位置合わせする手段と、をさらに備え
る、項目10に記載のシステム。
【0022】12. 前記レチクルおよび前記カバー
を、前記システムを介して移送するために用いられるポ
ッドをさらに備える、項目1に記載のシステム。
【0023】13. 前記レチクルを、ポッド内に配置
される前に位置合わせする事前位置合わせデバイスをさ
らに備える、項目1に記載のシステム。
【0024】14. 前記レチクルの所定の領域は局所
的に処理されて、該レチクルと接触している間、粒子の
生成を低減する、項目1に記載のシステム。
【0025】15. 正面および裏面を有するマスク
と、該マスクの該正面を実質的に被覆する除去可能な粒
子カバーと、を備え、該除去可能な粒子カバーは、該マ
スクの該正面と実質的に同じ大きさのフラットプレート
であって、該マスクと面する第1の面および該マスクと
面しない第2の面を有する、フラットプレートと、該プ
レートの第1の面から突き出し、かつ該マスクと該カバ
ーとの間の分離を維持する該プレートと結合されるスペ
ーサと、該プレートの該第1の面から該マスクの周囲長
さを超えて突き出す該プレートと結合され、該マスクを
該カバー内に封入するマスクロケータと、を備える、シ
ステム。
【0026】16. マスク運搬部分と、蓋と、該マス
ク運搬部分と該蓋との間のガスフローを防ぐガスシーリ
ングデバイスと、該蓋を該マスク運搬部分に除去可能に
固定するラッチと、を備える気密ボックス。
【0027】17. 前記ボックス内の粒子が前記マス
クに到達するのを実質的に防ぐ前記蓋の内部と結合され
るドームと、該ドームと前記マスク運搬部分との間の粒
子の流れを実質的に防ぐ粒子シーリングデバイスと、を
さらに備える、項目16に記載のボックス。
【0028】18. (a)マスクの第1の部分を除去
可能な粒子カバーで被覆し、第1の部分を汚染から保護
し、かつ、該マスクの第2の部分を被覆されない状態に
しておくシステムを形成する工程と、(b)該システム
をマスク運搬部分、および該マスク運搬部分から分離可
能な蓋を有する気密ボックスの内側に封入し、該ボック
スは、該マスクを汚染から保護する、工程と、(c)該
システムを該ボックスの内側に移送する工程と、を包含
する、方法。
【0029】19. 開口部を有する筐体と、該筐体の
該開口部の第1の開口部と結合される大気側ゲートバル
ブと、該筐体の該開口部の第2の開口部と結合される真
空側ゲートバルブと、マスクを支持し、かつ該筐体の内
側に配置されるマスクホルダと、該マスクを被覆し、か
つ該筐体の内側に配置される除去可能なドームと、該マ
スクを被覆するように配置されるように該ドームを移動
するドームアクチュエータと、をさらに備える、ロード
ロック。
【0030】20. 前記筐体の内部と結合されるシー
ルシートであって、該シールは、実質的に前記ドームの
開口端と合わせられる、シールシートと、該ドームと該
シートとの間の粒子の流れを防ぐ粒子シーリングデバイ
スと、をさらに備える、項目19に記載のロードロッ
ク。
【0031】21. 前記ドームと前記シートとの間に
形成される空隙から、前記ロードロックの内部容量の残
り部分にガスが流れるか、または戻ることを可能にし、
一方で粒子が通路を通って流れることを防ぐ、フィルタ
リングされた通路をさらに備える、項目20に記載のロ
ードロック。
【0032】22. (a)マスクをロードロックの内
側に配置する工程と、(b)該マスクをドームで被覆
し、該ロードロック内の粒子が、該マスクに到達するこ
とを防ぐ、工程と、(c)該ロードロックを閉じる工程
と、(d)該ロードロック内の気圧を低減する工程と、
(e)吸引するために該ロードロックを開く工程と、
(f)該ドームを取り外して、該マスクの覆いを取る工
程と、(g)該マスクを該ロードロックから除去する工
程と、を包含する、方法。
【0033】23. (a)蓋から分離可能であるマス
ク移送部分を有する気密ボックス内部にマスクを封入す
る工程であって、該蓋は、該マスクを汚染から守るため
に用いられる、工程と、(b)該マスクを含む該ボック
スを、該ボックスを処理するために用いられるプロセス
ツールに移送する工程と、(c)該ボックスを処理する
工程の間、該プロセスツール内で該マスクを該ボックス
から除去する工程と、(d)該マスクを処理する工程
と、を包含する、方法。
【0034】24. 分離可能な蓋を有するデバイスに
除去可能に固定されるマスクを支持するボックスと、実
質的に大気圧で、フィルタリングされた空気を含む第1
の部分と、該第1の部分内で該ボックスを移動する大気
マニピュレータと、該マスクを該第1の部分とガスミニ
エンバイロメント部分との間を移動させるために用いら
れるポッド解除器であって、該ガスミニエンバイロメン
ト部分は、実質的に大気圧で、清浄なガスを用いてパー
ジされ、該ガスミニエンバイロメント部分は、該ミニエ
ンバイロメント部分内で該ボックスを移動させるために
エンバイロメントマニピュレータを有する、ポッド解除
器と、該ミニエンバイロメント部分と真空部分との間で
マスクを移動させるロードロックと、該マスクを該真空
部分内で移動させる真空マニピュレータと、を備える、
システム。
【0035】本発明の実施形態は、マスクを移送する方
法を提供する。この方法は(a)マスクの第1の部分を
除去可能な粒子カバーで被覆して、マスクカバー構成を
生成する工程と、(b)この構成をマスク運搬部分、お
よびこのマスク運搬部分から分離可能な蓋を有する気密
ボックスの内側に封入する工程、ならびに(c)この構
成をボックスの内側に移送する工程を包含する。
【0036】本発明の実施形態は、マスクを移送する気
密ボックスを提供する。この気密ボックスは、マスク運
搬部分、蓋、マスク運搬部分と蓋との間のガスフローを
防ぐためのガスシーリングデバイス、および蓋をガス運
搬部分に一時的に取り付けかつ固定するためのラッチを
備える。
【0037】本発明の実施形態は、マスクを移送、搬送
および搬送および処理する方法を提供する。この方法
は、(a)マスクの第1の部分を、除去可能な粒子カバ
ーで被覆し、マスクカバー構成を生成する工程、(b)
この構成をマスク運搬部分、およびこのマスク運搬部分
から分離可能な蓋を有する気密ボックスの内側に封入す
る工程、(c)この構成を含むボックスを、各々のコン
ポーネント、すなわち、ポッド解除器、ミニエンバイロ
メントチャンバ、ミニエンバイロメントマニピュレー
タ、ロードロック、真空チャンバ、真空マニピュレー
タ、およびマスク台のうちの少なくとも1つを有するプ
ロセスツールに移送する工程、(d)この構成を含むボ
ックスをポッド解除器の第1の開口部上に配置して、ボ
ックスの蓋が、第1の開口部をガスフローすることを防
ぐようにする工程、(e)ポッド解除器の内部を清浄な
ガスで排出する工程、(f)マスク運搬部分を蓋から分
離することによってボックスを開放し、ガスフローを阻
止するために、蓋を所定の位置で保持し、かつマスク運
搬部分および構成をポッド解除器の内部に移動させる工
程、(g)ミニエンバイロメントマニピュレータを用い
て、構成をポッド解除器から第2のポッド解除器の開口
部を通ってミニエンバイロメントチャンバ内に抽出し、
ミニエンバイロメントマニピュレータを用いて、構成を
第1のロードロック開口部を通ってロードロック内部に
配置する工程(h)ロードロックをポンプダウンする工
程、(i)構成を第2のロードロック開口部を通ってロ
ードロックから抽出し、真空マニピュレータを用いて、
この構成を真空チャンバ内部に移動させる工程(j)構
成をマスク台に配置し、マスクの被覆されない部分がそ
の台と接触するようにする工程と、(k)マスクをその
台と共に支持する工程、(l)真空マニピュレータを用
いて、カバーをマスクから分離し、かつそのカバーを取
り去る工程、ならびに(m)このマスクを処理する工程
を包含する。
【0038】本発明の実施形態は、ロードロックを提供
する。このロードロックは、少なくとも2つの開口部を
有する筐体、この筐体の第1の開口部と結合された大気
側ゲートバルブ、筐体の第2の開口部と結合された真空
側ゲートバルブ、筐体の内部に配置され、マスクを支持
するマスクホルダ、筐体の内部に配置されて、マスクを
被覆する可動ドーム、およびドームを移動させ、ドーム
がマスクを被覆するように配置し得るドームアクチュエ
ータを備える。
【0039】本発明の実施形態は、ロードロック内でマ
スクを大気圧から真空に移行させる方法を提供する。こ
の方法は、(a)マスクをロードロック内に配置する工
程、(b)ロードロック内の空中浮遊粒子がマスクに到
達するのを防ぐために、マスクをドームで被覆する工
程、(c)ロードロックを閉じる工程、(d)ロードロ
ックをポンプダウンする工程、(e)ロードロックを開
放して真空にする工程、(f)ドームを取り外すことに
よってマスクの覆いを取る工程、および(g)マスクを
ロードロックから除去する工程を包含する。
【0040】本発明の実施形態は、マスクを移送、搬送
および処理する方法を提供する。この方法は、(a)マ
スク運搬部分、およびこのマスク運搬部分から分離可能
な蓋を有する気密ボックスの内側にマスクを封入する工
程、(b)マスクを含むボックスを、各々のコンポーネ
ント、すなわち、ポッド解除器、ミニエンバイロメント
チャンバ、ミニエンバイロメントマニピュレータ、ロー
ドロック、真空チャンバ、真空マニピュレータ、および
マスク台のうちの少なくとも1つを有するプロセスツー
ルに移送する工程、(c)マスクを含むボックスをポッ
ド解除器の第1の開口部上に配置して、ボックスの蓋
が、第1の開口部を通るガスフローを防ぐようにする工
程、(d)ポッド解除器の内部を清浄なガスで一掃する
工程、(e)マスク運搬部分を蓋から分離することによ
って、ボックスを開放し、蓋を所定の位置で保持する工
程、(f)ミニエンバイロメントマニピュレータを用い
て、マスクをポッド解除器から第2のポッド解除器開口
部を通ってミニエンバイロメントチャンバ内に抽出し、
このマスクを第1のロードロック開口部を通ってロード
ロック内部に配置する工程、(g)ロードロックをポン
プダウンする工程、(h)マスクを第2のロードロック
開口部を通ってロードロックから抽出し、真空マニピュ
レータを用いて、マスクを真空チャンバの内部に移動さ
せる工程、(i)マスクをマスク台に配置する工程、な
らびに(j)マスクを処理する工程を包含する。
【0041】本発明の実施形態は、ボックスの内側の機
構の内外に移送されるマスクを処理する機構を提供す
る。この機構は、フィルタリングされた空気環境部分、
少なくとも1つの大気マニピュレータ、少なくとも1つ
のポッド解除器、実質的に大気圧で、清浄なガスによっ
て一掃されたガスミニエンバイロメント部分、少なくと
も1つのミニエンバイロメントマニピュレータ、少なく
とも1つのロードロック、真空部分、および少なくとも
1つの真空マニピュレータを備える。
【0042】本発明の実施形態は、レチクル、およびこ
のレチクルと結合されるレチクルを保護するカバーを含
むシステムを提供する。カバーは、フレームおよび可動
パネルを備える。この可動パネルは、露光プロセスの
間、レチクルへの光の直接的なアクセスを可能にするよ
うに移動する。レチクルおよびカバーは、ロボットグリ
ッパーを用いてステージに移動される。レチクルおよび
カバーは、移動される前にベースプレートと結合され得
る。
【0043】本発明のさらなる実施形態、特徴および利
点ならびに本発明の種々の実施形態の構造および動作
は、添付の図面を参照して以下において詳細に説明され
る。
【0044】本明細書中に援用され、本明細書の一部分
をなす添付の図面は、本発明を、説明と共に例示し、さ
らに、本発明の原理を説明し、かつ当業者が本発明を実
施および用いることを可能にするために利用される。
【0045】本発明は、ここで、添付の図面を用いて説
明される。図面において、いくつかの同様の参照符号
は、等価または機能的に等価な素子を示す。さらに、ほ
とんどの参照符号の最左桁(単数または複数)は、その
参照符号が最初に現れる図面を識別する。
【0046】
【発明の実施の形態】(概要)本発明の実施形態は、従
来のシステムに関して改良されたレチクルを保護するた
めのカバーを提供する。本発明の他の実施形態は、カバ
ーと適合するポッドまたはレチクル移送ボックスを提供
する。ポッドまたは移送ボックスは、粒子に対してレチ
クルをさらに保護する。本発明のさらに他の実施形態
は、カバーと適合するロードロック(loadloc
k)を提供する。大気圧と真空との間でレチクルを移行
させる場合、ロードロックは、粒子に対してレチクルを
さらに保護する。本発明のさらなる実施形態は、3つの
別々の環境(例えばフィルターを設けた部屋の空気、ガ
スで浄化したミニエンバイロメント(mini−env
ironment)および真空)を有するレチクルハン
ドラを提供し、各環境は、各処置工程に対してレチクル
の汚染をコスト効率的に低減するために最良に適合され
る。本発明のさらなる実施形態では、レチクル汚染を最
小化する上述の全てを有するレチクルを処理するための
方法を提供する。
【0047】リソグラフィーは、従来、微粒子の汚染か
らレチクルのパターニングされた領域を保護するための
薄膜に依存してきた。しかし、上述のように、超紫外線
(EUV)光を透過する薄膜材料の不在は、このアプロ
ーチを除外する。さらに、内部アラインメントの制限
は、全レチクルカバーの除去を修正することを困難にす
る。従って、本発明の実施形態によると、レチクルは、
レチクルを支持するためのフレームを含むレチクルカバ
ーおよび露出および洗浄の間、除去され得るパネルによ
って保護される。
【0048】リソグラフィーシステムが清浄な環境にお
いて動作すると、処理中に粒子が生成する。これらの粒
子はレチクルを汚染し得る。このレチクルは、レチクル
上の微粒子レベルが許容閾値未満に維持するように定期
的に洗浄される。従って、リソグラフィーシステム内で
粒子生成の原因を考察する必要がある。典型的には、他
の清浄なシステム内の粒子は、磨耗の結果として生成さ
れる。従来のシステムでは、レチクルがある場所から別
の場所に搬送された場合、粒子が生成される。従来のシ
ステムでは、レチクルが移送中スライドすることが可能
になるため、さらなる粒子は、移送中任意のレチクルが
スライドする結果として生成され得る。最終的に従来の
システムにおける振動もまた摩擦およびこれに関連する
粒子生成を引き起こす。
【0049】本発明の実施形態によると、除去可能なカ
バーの位置ロケータおよびリッジは、搬送およびレチク
ルスライド磨耗を除去するためにを含まれる。しかし、
カバーの取り付けおよび除去は磨耗を生成し得る。同様
に、従来のシステムのような振動もまた粒子形成に寄与
する。従って、これらの粒子生成の原因の差異は、本発
明の実施形態を実現する場合に考慮されてきた。
【0050】粒子生成に加えて、粒子沈下(settl
ing)もまたリソグラフィーシステムを設計する際に
考慮される。本発明の実施形態における除去可能なパネ
ルの使用は、露光ステップの間を除いて、粒子が常にレ
チクル上に沈下する機会を除去する。露光間以外で著し
い粒子沈下が発生するため、本発明の実施形態による除
去可能なパネルの使用は、たとえカバーが露光ステップ
間で除去されても、粒子沈下からのレチクルに対する著
しい保護を提供する。
【0051】最終的には、粒子凝集も考慮しなければな
らない。粒子凝集は、高速運動および迅速な圧力変化に
よって引き起こされる乱流の間に発生する。EUVシス
テムでは、多くの運動が高真空環境下で発生する。従っ
て、運動の間の乱流が最小である、例えば、ライブラリ
シェルフ(library shelf)から投影台ま
での運動の間の乱流が最小である。しかし圧力変化が含
まれ、そのため乱流の原因が考察されなければならな
い。従って本発明の実施形態によると、粒子凝集のさら
なる原因は、レチクルに配置されるフレームに結合され
た除去可能なパネルの使用によって実質的に除去され
る。
【0052】(2部分カバーおよびその擬似運動学的係
合)図1は、本発明の実施形態による2部分カバー10
2を含むシステム100の展開図を示す。2部分カバー
102は、処置中にレチクル1を支持するフレーム2を
含み、露光中にレチクル1およびステージ7との接触を
維持する。フレーム2は開口部14を含む。この開口部
14は、化学線が露光プロセス中に開口部14を通るこ
とを可能にするためにレチクル1の視野よりも大きくあ
り得る。さらにフレーム2は、ステージ7に結合された
取り付けデバイス9に対応する取り付けデバイス8を含
む。従って、取り付けデバイス8は、フレーム2がステ
ージ7上の取り付けデバイス9によって保持されること
を可能にする。
【0053】さらに本実施形態は、リソグラフィー露光
の直前にフレーム2から分離され、リソグラフィー露光
の直後にフレーム2に再取り付けされたパネル3を含
む。パネル3は、可視光を透過させる材料から作製され
得、レチクル1の正面側の目視検査および目視識別を可
能にする。
【0054】取り付けデバイス8および9は、ステージ
7とフレーム2との間およびフレーム2とパネル3との
間に示される。示されたように、機構対5aおよび5b
は、ステージ7とフレーム2との間に含まれ得る。機構
対5aおよび5bは、「中間部品」であり得、少なくと
も本明細書中で説明された教示に基づいて当業者が理解
するように、各部品における磁石および磁石ターゲッ
ト、機械的なファスナ(一体型のバネが装着されたラッ
チまたは双安定性ラッチおよび他の部品の突合せタブ
等)、および重力依存デバイス(他の部品に配置された
係合機構上に一体型で配置された静止機構等)を含む群
から選択され得る。
【0055】本発明の実施形態によると、取り付けデバ
イス8および/または9は、以下の設計基準を有し得る
が、これらの基準には限定されない。これらの基準は、
a)取り付けデバイス8および/または9は、レチクル
1をステージ7上にまたはステージ7自体に装着するロ
ボットグリッパー4において装着され得る、2部分カバ
ー102の外部にあるデバイスによって取り外し可能で
あり得、および/またはb)取り付けデバイス8および
/または9の解除および再取り付けは、レチクル1の汚
染を回避するために(この目的のために、非接触デバイ
スが使用されて取り付けデバイス8および/または9を
作動させ得ることが好ましい)、最小の汚染粒子を生成
すべきである、および/またはc)取り付けデバイス8
および/または9は自己支持すべきであり、一旦この部
品が最初に取り付けられると、付与された外部動作が互
いにこれらの部品を保持するために必要とされない。
【0056】実施形態では、ロボットグリッパー4は、
部品を解除するために2以上のバネが装着されたラッチ
を押し付けるように適応され得る。
【0057】別の実施形態では、ラッチを解除するため
に、ステージ7の電磁石はラッチ内の永久磁石と相互作
用するように適応され得る。
【0058】さらなる実施形態では、ロボットグリッパ
ー4の電磁石は、カバー102の両方の部品の永久磁石
とターゲットとの間それぞれに磁気引力を過剰に与える
ことによってパネル3を解除し得る。同様にステージ7
の電磁石は、フレーム102およびステージ7において
それぞれに配置された永久磁石と磁性ターゲットとの間
の磁気引力を克服するように一時的に付勢され得る。
【0059】ステージの代わりにグリッパーまたはグリ
ッパーの代わりにステージの解除デバイス取り付け機構
および配置の順序および組み合わせに限定されないが、
多くの他の実施形態もまた可能である。これらの順序お
よび組み合わせの全ては、本発明の範囲内にあることが
企図される。
【0060】引き続いて、図1を参照すると、上述の実
施形態の改変は、レチクル1、フレーム2、およびパネ
ル3を互いに維持するように重力を使用し得る。詳細に
は、ロボットグリッパー4はパネル3を支持し、フレー
ム2はパネル3の上に置かれ、レチクル1はフレーム2
に置かれ得る。対応する機構対5aおよび5bは、フレ
ーム2をパネル3に対して位置合わせし、対応する機構
対6aおよび6bは、パネル3をロボットグリッパー4
に対して位置合わせし得る。
【0061】種々の実施形態では、機構対5aおよび5
bならびに6aおよび6bは、動力学的な台(例えば溝
のボールまたは円錐形の取り付け台)、穴およびスロッ
トのだぼピン、および互いに一体型であるネスティング
を含む群から選択され得る。図1に示されるように、ス
テージ7からのフレーム2を保持および解除するために
付勢するためのデバイスがステージ7において配置され
てもよいし、その代わりにロボットグリッパー4に組み
込まれてもよい。
【0062】別の例示的実施形態によると、図2および
図3を参照して以下に示され説明されるように、ステー
ジ7に配置された2つ以上のバネが装着された機械的ラ
ッチ9が使用されて、タブ8の使用によりフレーム2を
ステージ7に保持し得る。
【0063】さらなる実施形態では、例えばステージ7
に取り付けられたソレノイド11を介して磁力を瞬間的
に印加することによって、ばね10による復元力(cl
osing force)を克服し、それにより磁性材
料で作製され得るラッチを引き込むことによってラッチ
の解除が達成され得る。
【0064】機構対14aおよび14bは、システム1
00(例えばレチクル/カバーアセンブリ)が配置され
る必要があるパネル3の下部側および他の表面に配置さ
れ得る。例えば、バキュームライブラリシェルフおよび
標準的な機械的インターフェイス(SMIF)ポッドベ
ースプレート上では、一般的にアイテム13として示さ
れ、以下でより詳細に説明される。
【0065】図2は、本発明の実施形態によるレチクル
1およびカバー102の状態を示す。従って、図2に示
された状態は、ロボットグリッパー4を用いてステージ
7上に装着されたフレーム2およびパネル3を示す。一
実施形態では、この状態は、ソレノイド11が付勢解除
され、ラッチ9がタブ8に捕捉される場合である。この
状態の間、フレーム2はその位置に固定される。この状
態はロボットグリッパー4の引き込みの前に存在し得
る。
【0066】図3は、本発明の実施形態によるシステム
100の状態を示す。この状態の間、レチクル1および
フレーム2は、ロボットグリッパー4(図3に示され
ず)が引き込まれた後でステージ7によってのみ支持さ
れ、パネル3(図3には示されない)を取り出す。いく
つかの実施形態では、リソグラフィー露光は、開口部1
4を通過するこの点において開始し得る。
【0067】ロボットグリッパー4がパネル3の代わり
にフレーム2を保持する実施形態はより簡単であり得、
従って他の実施形態よりも好ましい。これはパネル3お
よびフレーム2を互いに保持するために重力が利用され
るためである。ロボットグリッパー4の下方向の運動
は、フレーム2がステージ7によって捕捉された後、実
質的にフレーム2からパネル3を取り外す必要があるこ
とだけである。
【0068】ステージ7に対するレチクル1のアライン
メントをさらに容易にする代替の実施形態およびアライ
ンメントに対して使用された方法の説明が以下に説明さ
れる。
【0069】本明細書の他の場所で説明されたように、
レチクル1は、ウエハと一致して配置および配向される
べきである。これは、実質的には、ウエハ上にレチクル
パターンから現在転写されている回路の層がウエハ上の
予め存在している層に並べられることを確実にする。
【0070】いくつかの実施形態では、以下により詳細
に説明されるが、レチクル1が、例えばポッド等のコン
テナ(その一部はアイテム13であり得る)におけるリ
ソグラフィーシステム(または「リソツール(lith
o tool)」に移送され得る。ポッドは、レチクル
1と、移送の間にレチクル1から汚染粒子を分離するパ
ネルとを支持するフレームを含み得る。これらの実施形
態では、ポッドフレームの下側は、リソツールに対する
SMIFポッドの配向が一義的に決定されるように、リ
ソツールにおける整合位置調整機構に対応する位置調整
機構を有し得る。
【0071】図1を再度参照すると、レチクル1は、フ
レーム2に組み込まれた静止点およびストップとパネル
3に組み込まれたばねとの組み合わせによってフレーム
2の上面の位置で安全に保持され得る。レチクル1が特
定ではない位置調整機構を有する平坦な矩形であり得る
ため、静止点、ストップ、およびバネによって形成され
たネスト内に適合する8つの態様があり得る。
【0072】レチクル1をポッドに装着する場合、パタ
ーニングされた面を有するレチクル1を、ポッドに対し
て所望の方向(例えば、上面を上にする)および所望の
配向(例えば90°)に向けさせるように配置すること
に注意を払うべきである。例えば、パターンの上部エッ
ジがポッドの正面に向けられ得る。次いで、リソツール
に対するレチクル1の位置および配向は、レチクルポッ
ドがリソツールに配置される場合に公知であり得る。典
型的には、位置(X,Y)の不確定性は、約1mm(ミ
リメータ)のオーダーであり、角配向(θz)の不確定
性は約1°のオーダーである。しかし、この精度は電流
リソグラフィーに対しては十分ではない。この位置不確
定性はたった数マイクロメータに低減され、角方位不確
定性は1アーク未満に低減されなければならない。
【0073】従って、本発明の実施形態によると、リソ
グラフィーツールはプリアライナ(pre−align
er)が装備され得る。このプリアライナは、その位置
および配向を修正する必要がある場合、レチクルパター
ン上のターゲットを目視し、レチクル1を移動させるこ
とによってリソグラフィーツールにレチクル1のパター
ンを精密に位置決定および位置合わせする。ロボット4
または任意の他の専用移動機構は、典型的には、レチク
ル1をフレーム2からプリアライナに搬送し、プリアラ
イナからステージ7に搬送する。プリアライナからステ
ージ7への搬送は、非常に正確でなければならない。な
ぜなら、搬送しているデバイスによって導入された任意
の位置調整誤差は、ステージ7上のレチクル1の配置精
度を低減させるからである。従って、非常に正確な繰り
返し可能なロボットまたは搬送機構は、プリアライナか
らステージ7にレチクル1を搬送する重要なステップに
対して使用されるべきである。
【0074】精密運動ロボットは、深紫外線(DUV)
リソグラフィーに適応するリソグラフィーシステムのプ
リントステージにおいて配置され得る。しかし、これは
EUVリソグラフィーとして作用しないかもしれない。
なぜならEUVプロセスは、真空中で行われなければな
らないためである。これは、上述のように常圧でEUV
光の全吸収によるものである。従って、真空に適応可能
なロボットが使用されなければならない。モータおよび
電子機器が、真空中では除去するのが非常に困難である
熱および排出ガス汚染物を生成するため、真空適合可能
なロボットが真空チャンバ外部にモータおよび電子機器
を有するように設計される。チャンバ内側では、長い機
械的な結合が使用されて、処置されるべき物体に対する
この運動を搬送する。この配置は清浄であり、チャンバ
内部に熱を生成しないが、結合のかなりの長さ、低剛
性、および「遊び(play)」のため、固有の低位置
決定精度および反復性を受ける。従って、利用可能な真
空ロボットは、プリアライナからステージまでレチクル
を搬送する重要なステップを実行するのに適している。
ロボットの精度および反復性を重要でないものにする代
替の解決策が望ましいことが明らかである。
【0075】図4は、パネル3が最終搬送において正確
かつ反復可能な位置決定のために使用され、クリーナー
および必然的に不正確なロボットの使用を可能にし得る
システム100の実施形態を示す。プリアライナに対す
るパネル3の精密な位置は、プリアライナに対する動力
学的なドッキングパネル3によって達成され得る。より
低いV字溝15aは円形チップピン15bと係合する。
このV字溝は本実施形態ではプリアライナに設けられ
る。より低いハーフボール6aは、チップピン15bと
同様であり得、上部のV字溝16bはV字溝15aと同
様であり得る。物体を動力学的にドッキングするV字溝
15aおよび16bおよび円形チップピン15bおよび
16aの使用は周知であり、さらに等価で効果的な動力
学的ドッキングの他の周知の設計が存在する。本発明は
V字溝および円形チップピンの使用によって限定されな
いが、動力学的ドッキングの全ての周知の設計によって
原則的に実現され得る。
【0076】次いでロボットグリッパー4はパネル/フ
レーム/レチクルアセンブリを取り出し、それをステー
ジ7の真下に移動させる。同様に、ステージ7に対する
パネル3の正確な動力学的位置は、ロボットグリッパー
4がパネル/フレーム/レチクルアセンブリを上方に移
動させる場合、ステージ7のハーフボール16aとパネ
ル3の上部V字溝16bとを係合させることによって達
成され得る。パネル3がステージ7を動力学的に係合し
た後、ラッチ9および静電気的なチャック17が付勢さ
れて、それぞれタブ8によってフレーム2をクランプ
し、レチクル1をステージ7に対して引っ張り得る。次
いでロボットグリッパー4は、ステージ7からパネル3
を下方に移動させ、それを引き出し得る。
【0077】動力学的ドッキングに固有の特性は、最初
のアラインメントが係合機構の捕捉範囲内であることを
必要とするのみである、数ミクロンの範囲内で反復可能
であり得ることである。例えば、各上部V字溝16bに
対する各ハーフボール16aのアラインメントは、各ボ
ール16aが対応する溝16bの任意の部分を最初に接
触させるように存在しなければならない。この条件が満
たされる場合、最初の誤アラインメントにもかかわら
ず、同一の最終相対位置に達する。この捕捉範囲は、係
合機構の大きさに依存し得る。例えば、図1〜4に示さ
れた機構サイズを用いて、約±1mmの捕捉範囲が容易
に達成可能である。この範囲は真空ロボットの典型的な
反復可能性誤差よりも大きいため、意図された機能的な
結合除去が達成され得る。ドッキング機構の相互作用に
最終軌道を定義させるが、動力学的ドッキングに応じて
ロボットグリッパー4によって確立された運動軌道を強
制しないように、いつロボットグリッパー4が(X,
Y)平面内で追従するステージ7にパネル3を動力学的
に係合させるかが必要である。ロボット機構内のかなり
の長さ、低剛性、および遊びが必要とされた少量のコン
プライアンスを提供し得る。
【0078】これまで説明されたように、本発明の実施
形態は、精度および反復性を有するロボットを用いてプ
リアライナからステージ7にフレーム2を正確に搬送さ
せる問題を解決する。さらに利用可能な搬送に対して、
レチクル1は、レチクル1がプリアライナからモーメン
トチャック17まで持ち上げた瞬間からパネル3に対し
て正確に同じ位置に維持されなければならず、レチクル
1は付勢される。これを保証するための1つの方法は、
フレーム2内でレチクル1を緊密に固定させ、フレーム
位置調整機構5aが対応する位置調整機構5bと非常に
緊密なクリアランスを有することである。しかし、これ
は、最も望ましいシナリオではあり得ない。なぜなら緊
密な固定部品は、引っ張られた場合、多くの粒子を生成
する傾向があるためである。幸運にも、本発明のほとん
どの実施形態では、緊密な固定が必要とされ得ない。な
ぜなら、この部品間の磨耗は、互いの部品に対する位置
を保持するのに十分であるためである。
【0079】真空内部のモータに関連する困難のため
に、そして吸引グリップが真空で作用しないために、真
空で適用可能なロボットが、重力および摩擦力によって
3つのピン上にウエハを純粋に保持する簡単な受動グリ
ッパーの使用を可能にするのに十分遅く加速および減速
するように設計され得る。真空ロボット製造業者は、簡
単なグリッパーを用いて実質的にスライドすることがな
いことを提供する。
【0080】従って、これまでに最終的な搬送精度問題
に接近してきた。本発明の他の実施形態は、どのように
してカバー2がレチクル1をステージ7に対して位置合
わせする作業もまた容易にし得るかを示す。概して、上
述のように、ポッド内でツールに入るレチクルは、パネ
ルに対して約1mmの位置決定誤差およびパネルに対し
て約1°の配向誤差を有し得る。これらの誤差は数ミク
ロンに低減され、約1アーク未満の角度誤差に低減され
得る。このようにするために、プリアライナが相対的な
アラインメントおよびレチクル1のパネル3に対する位
置決定を測定および修正することが適切である。なぜな
ら、ステージに対するパネルの動力学的ドッキングが上
記に非常に正確に示されるからである。好ましくは、再
位置調整は、レチクル1をフレーム2から除去すること
なく為されるべきであり、その結果、粒子が任意の表面
上に生成されない。
【0081】種々の実施形態では、パネル1をレチクル
3に位置合わせする方法は、2部分カバー102によっ
て簡略化される。ロボットは、パネル/フレーム/レチ
クルアセンブリをプリアライナに導き、これにより一組
の円形チップピン15bが装備される。従って、アセン
ブリは、円形チップピン15bに下部V字溝15aを係
合させることによってプリアライナに動力学的にドッキ
ングされる。従って、パネル3は、プリアライナに対し
て正確に位置合わせおよび位置決定される。従って、パ
ネル3に対してレチクル1を正確に位置決定および位置
合わせするために必要な全ては、プリアライナに対して
レチクル1を正確に位置決定し、それを位置合わせする
ことである。こうすることによって、誤差がまず測定さ
れ、次いで修正されるべきである。
【0082】本発明の一実施形態に従って、誤差を測定
する1つの方法は、プリアライナをカメラベースの視覚
システムに装備することである。このシステムは、レチ
クルパターンのターゲットと、プリアライナに永久的に
取り付けられ、円形チップピンに対して較正されたター
ゲットとの間の角度誤差および位置誤差を測定し得る。
このパターンは、レチクル1の下部側上にあるため、カ
メラは、カメラが動作する波長において透過性であるパ
ネル3を通して見る必要がある。位置誤差および角度誤
差を測定するための他の周知の方法が存在し、本発明は
カメラおよびターゲットのセットを用いることに限定さ
れない。
【0083】実施形態では、プリアライナに対するレチ
クル1の位置配向および角度配向を修正するために、プ
リアライナは、X、Y、Zおよびθzの自由度を有する
精密なマニピュレータが設けられ得る。このプリアライ
ナはまた、下方からタブ8を係合することによってフレ
ーム2をリフトすることを可能にするグリッパーを有し
得る。この精密マニピュレータは、フレーム/レチクル
をパネル3から最初にリフトし、次いでX、Yおよびθ
zを修正して、次いでフレーム/レチクルを再度パネル
3に下げる。この時点では、レチクル1は、パネル3に
対して位置合わせされ、ステージ7への移動の準備がで
きている。パネル3に対してフレーム2の再位置決定を
可能にすることは、位置決定機構5aと5bとの間の十
分なクリアランスが存在することを必要とする。
【0084】真空ロボットが滑りなしで物体を搬送させ
ることを可能にすることが公知であるため、種々の機構
対が精密な位置に対する精度を増大し得ることが理解さ
れるべきである。さらに種々の機構対が安全な機構であ
り得、急激なロボット停止の場合に、衝突またはパワー
故障によって引き起こされ得るひどい偶発的なスライド
を回避し得る。その場合、精密な位置合わせが失われる
が、種々の機構対は、レチクル1がロボットグリッパー
4から落ちることを回避する。
【0085】最後に、1つの長距離自由度を有するスキ
ャニングリソグラフィーシステムにおいて使用される実
施形態(例えば、Y軸に沿ってスキャンする)では、プ
リアライナは、ステージ7のスキャン軸に一致する自由
度に沿う位置誤差を修正することが必ずしも必要でない
場合がある。位置誤差を測定し、その位置誤差をステー
ジコントローラに伝達させることのみが必要であり、ス
キャンの間にそれに従ってステージYをオフセットする
ことによって位置誤差を補償し得る。
【0086】従って、種々の実施形態では、種々の機構
対が、1つの水平方向の並進自由度Xのみを有するプリ
アライナにおける精密なマニピュレータを生じ得るが、
本例の場合、Zおよびθzもさらに必要とされる。従っ
て、プリアライナにおける精密なマニピュレータの設計
は、スキャニングリソグラフィーツールのために簡略化
され得る。
【0087】図5は、本発明の実施形態による方法50
0を示すフローチャートを示す。方法500は、2部品
カバーを用いて位置合わせおよび移動させる方法であり
得る。ステップ501では、パネル/フレーム/レチク
ルアセンブリは、パネル中の機構対およびプリアライナ
中の対応する機構対の第1のセットを用いてプリアライ
ナに動力学的にドッキングされ得る。ステップ502で
は、プリアライナに対するレチクルの位置オフセットお
よび角度オフセットが測定される。ステップ503で
は、フレームが測定されたオフセットを修正するために
操作され、これによりパネルに対してレチクルを再位置
決定する。ステップ504では、パネル/フレーム/レ
チクルアセンブリがプリアライナから取り出される。ス
テップ505では、パネル/フレーム/レチクルアセン
ブリが、実質的に相対的な滑りがほとんどないステージ
装着位置に移動される。ステップ506では、パネル/
フレーム/レチクルアセンブリは、パネルの機構対およ
びこのステージに対応する機構の第2のセットを用いて
ステージに動力学的にドッキングされる。ステップ50
7では、レチクルおよびフレームがステージに組み込ま
れたクランピングデバイスに固定される(例えば、静電
チャックおよび機械的ラッチにそれぞれ固定される)。
ステップ508では、パネルはレチクルを露光するよう
に除去される。
【0088】以前に説明されたように、本発明の実施形
態は、EUVツールにおけるレチクルを処置および位置
合わせする場合、微粒子汚染物生成を実質的に低減する
ことに対して利用可能である。カバーを使用しない従来
のシステムでは、レチクルがSMIFポッドから除去さ
れる/SMIFポッドにおいて置換される度に、レチク
ルが真空におけるライブラリにおいて置換/真空におけ
るライブラリから除去される度に、そしてレチクルがス
テージにおいて装着/脱着される度に、レチクルコンタ
クトが形成され/破壊される。
【0089】上記および下記の本発明の実施形態による
と、従来のシステムの改良が2部品カバーを用いて実現
され得る。レチクルとフレームとの間のコンタクトは、
フレームが露光中であってもレチクルと接触を維持して
いるために決して破壊されない。いくつかの粒子がレチ
クル表面に生成される一方で、レチクルを処理すること
がレチクル表面との機械的接触が形成/破壊される回数
によって直接変更されることが想定される。リソグラフ
ィーツール内でレチクルとの接触を形成/破壊する必要
性を完全に除去することによって2部品のカバーは、従
来の技術において教示されたような一体型カバーを超え
た重要な改良であり、これはグリッパーによるレチクル
の直接処置と比較して、レチクルの表面に直接的に関連
する粒子生成イベントの数を単に低減させるだけであ
る。
【0090】本発明の実施形態による2部品カバーはま
た、軟らかい材料の耐久性に関してではなく、レチクル
を接触させるための軟らかい材料の使用を可能にするた
め、反復された磨耗動作が原則的に除去される。恐ら
く、十分に選択された軟らかい材料の使用によって、フ
レーム内のレチクルの初期の配置の間の表面損傷および
粒子生成を低減させる。例えば軟らかいポリマー等の軟
らかい材料は、レチクルの繊細な磨かれた表面を引っ掻
くのではなくこの表面に適合させるために流れる傾向が
あり得る。
【0091】対照的に、2部分カバーを使用せず、ロボ
ットグリッパーでレチクルを直接処置する従来のシステ
ムは、グリッパーの受容可能な耐久性のためにグリッパ
ーの接触点において硬い材料を必要とする。多くは発生
しないがいくつかの接触イベントがさらに発生するの
で、一体型のカバーの接触点の最良な硬度は、他の場所
で低下する。しかし、本発明の実施形態による2部分カ
バーの使用によって、変形が受け入れられない精度にな
る場合、2部分カバーが置換されることを可能にする。
【0092】本発明の実施形態による2部分カバーはま
た、ステージに対するレチクルの予備位置合わせを容易
にする。これは、低精度のロボットを用いて、プリアラ
イナからステージまでの精密な最終搬送を可能にする。
【0093】また本発明の2部分カバーは、ロボットグ
リッパーよりも清潔さを保つことがより簡単であり得
る。侵入型のメンテナンスがリソグラフィーツールおよ
び真空において深く位置されたロボットグリッパーを清
潔にするように必要とされる一方で、レチクルがリソツ
ールから排出される度にさらにより簡便にカバーを清潔
にするまたは置換する機会がある。
【0094】本発明はSMIFポッドを用いてこの例示
的なリソグラフィー環境の点において説明される。これ
らの点に関する説明は、簡便さのみのために提供され
る。本発明は、これらの例示的な環境における用途に限
定されることを意図しない。実際には、以下の説明を読
むと、現在公知であるかまたは将来開発された代替的な
環境において本発明をいかにして実現するかが当業者に
明らかになる。
【0095】従って、本発明の実施形態によると、シス
テムおよび方法が使用されて、保護カバーに対するレチ
クルを擬似動力学的に係合する。これは、予備位置合わ
せ測定の間、レチクルの精密な相対位置を維持し、レチ
クルステージに搬送するように行われ得、それによっ
て、リソグラフィーツールにおけるレチクルの予備位置
合わせの間、機械的にレチクルを再位置決定することに
対する必要性を除去する。第2に本発明は、レチクルコ
ンタクト領域を硬化する方法を開示し、レチクルがカバ
ーと接触するようになる場合、この方法によって、より
少ない粒子を生成するようになる。
【0096】図6は、本発明の実施形態による2部分カ
バー102を示す。レチクル1は、フレーム2の係合機
構602に対して精密な係合を可能にし得るエッジ60
1を有する。一実施形態では、動力学的係合機構602
を接触させるレチクル1のエッジ601の少なくとも一
部上で面取りが実行される。別の実施形態では、レチク
ル1のエッジ601の一部は、面取りではなく曲面部
(radius)が形成される。さらなる実施形態で
は、レチクル1のコーナーにおいて改変されたエッジ部
の交点が、各コーナーにおいて球面部またはトロイド部
を生成する(球またはトロイドの8番目の部分)を生成
し、フレーム2の各コーナーにおいて適合可能な係合機
構602に対してインターフェイスを取る。ユーザは、
改変されたレチクルエッジ601のどの部分が接触され
るかおよびどの部分が避けられるかを選択し得る。
【0097】フレーム2における係合特性602を参照
すると、配置が図6に示されるようなフレームのコーナ
ーに限定されない。しかし、好適な実施形態では、これ
は有利な配置であり得る。例えば、フレーム2は、各面
の中央において係合機構602を有し得る。係合機構6
02の実際の形状は、最良の適応レチクルエッジ601
に変更され得ることが明らかである。例えば、一実施形
態では、係合機構602はV字形状溝であり得る。溝6
02の各表面603は平坦である。この特定の形状は、
レチクルエッジ601における半径に適応するように適
応される。他の実施形態では、レチクルエッジ601が
面取りされる場合、レチクルエッジは係合機構602に
おける凸状溝(平坦ではなく)表面によって最良に適応
されることが理解される。
【0098】本発明の実施形態によると、係合機構60
2の使用は、図5におけるステップ503を取り除き得
る。これは非常に有利である。なぜなら、実質的に複雑
なプリアライナ機構は、フレーム2を操作するために必
要とされるためである。パネル3に対してフレーム2を
再位置決定する必要性を取り除くことによって、リソグ
ラフィーツールの設計が簡略化される。
【0099】図7は、本発明の実施形態によるシステム
100を示す。フレーム2は、レチクル1を保持し得、
動力学的機構対701aおよび701bの第1のセット
を介してパネル3に動力学的に係合され得る。同様にパ
ネル3は、動力学的機構対702aおよび702bの第
2のセットを介してロボットグリッパー4に動力学的に
係合され得る。さらに、動力学的機構対703aおよび
703bの第3のセットを使用して、一般的にアイテム
13によって示された真空ライブラリシェルフおよびS
MIFポッドベースプレートに対するパネル3を動力学
的に係合し得る。
【0100】図7に示される実施形態では、動力学的機
構702aおよび703bは、同じ溝を共有する。70
2aは溝の最も内側の部分内において702bにインタ
ーフェイスを取り、703aは溝の最も外側の部分内に
おいて703bにインターフェイスを取る。この相対的
な配置が逆転され得ることが当業者に明らかである。別
の溝がこれらの動力学的機構のそれぞれを実現するため
に使用され得ることも明らかである。
【0101】別の実施形態では、一体型のカバーが使用
され得る。この実施形態では、フレーム2が一体型のカ
バーを生成するためにパネル3に固定され得る(例え
ば、パネル3と同じ材料のブロックから接着または作製
される)。この一体型カバーは、レチクル1のリソグラ
フィー露光に対して完全に除去される。従って、機構対
701aおよび701bは、この一体型カバーの実施形
態において必要とされ得ない。
【0102】(硬化されたレチクル)レチクル1のEU
V反射コーティングが実質的には繊細かつ軟らかくても
よいことが一般的に公知である。従って、接触された場
合はいつでも、コーティングは粒子を生成する傾向があ
り得る。従って、レチクル1の反射面によってレチクル
1を支持するかまたは処置する目的のために使用され得
るEUV反射コーティングのない指定された領域を有す
ることが望ましい。従って、これを行うために、より硬
い基板材料は、被覆されていない(以後「露光され
た」)表面をもたらす。不幸にも、むきだしのレチクル
基板(以後「むきだしのスポット」と呼ぶ)を露光する
領域を生成することが実用的に非常に困難であるように
見える。むきだしのスポットを生成する1つの公知の方
法は、EUV反射コーティングを堆積するために使用さ
れるイオンビームの堆積プロセスの間、むきだしのスポ
ットを被覆するマスクを使用することである。このアプ
ローチに関する問題は、マスクがプロセスの終了時に除
去される場合、堆積プロセスの性質のために、緩い粒子
またはフレークがマスク上に形成されそして破壊される
傾向があり、いくつかの粒子またはフレークがレチクル
上に堆積され、レチクルを汚染する。基板の露光領域の
ための別の公知の方法は、処置のために指定された領域
からEUV反射コーティングを選択的にエッチングする
ことである。このアプローチに関する問題は、このエッ
チングプロセスもまたレチクルの残存領域を損傷する傾
向があることである。
【0103】この開示の他の箇所で説明されたように、
レチクルを支持する問題は曲面に切断されたエッジまた
は面取りされたエッジを用いることによってのみ解決さ
れ得ることも明らかであり得る。しかしこれは真実では
ない。なぜなら、EUV反射コーティングの脆弱性は、
未処理(blank)の基板が、コーティングの前、そ
して均一(すなわちコーティングを堆積するスパッタリ
ングプロセスによって生成された非選択的カバレッジ)
にするために、最終形状に機械加工されたエッジを既に
有さなければならないことが示されるため、マスクされ
ていない面取りされたエッジまたは曲面に切断されたエ
ッジはまた、脆弱な材料でコーティングされるようにな
るからである。
【0104】上記問題を解決するために、EUV反射材
料をより硬い材料でコーティングすることが提案されて
きた。この材料の通常の選択は、レチクルパターンを生
成すなわち「書き込む」ために、EUV反射層の上部上
に堆積されおよび選択的にエッチングされたEUV遮光
層である。不幸にも修正された光特性を有するために、
この層は非常に薄くなければならない。軟らかい反射材
料の上部上の薄い遮光層は、機械的処置接触の高い水平
方向の応力下において破壊される可能性がある。遮光層
の上面上に薄い層を追加することおよび選択的にエッチ
ングすることが可能であるが、高価であり確認されてい
ない。
【0105】従って、必要とされることは、むきだしの
スポットを生成するためにコーティングのマスキングま
たは除去を必要とせず、反射コーティングの本来の軟ら
かさおよび脆弱性を改善し得るプロセスである。さらに
必要とされることは、選択されたコンタクト領域におけ
るむきだしのスポットもこの領域をさらなる保護層で被
覆することも必要としない方法である。
【0106】EUV反射層の本来の軟らかさがその多層
の性質のためであることが推測される。当該分野で公知
のように、EUV反射層は、以後「多層構造」または簡
単に「多層」と呼び、これはモリブデンおよびシリコン
の約100の交互の層を含み得、この層を構成する層
は、たった約数ナノメータの厚さである。シリコンもモ
リブデンも通常は軟らかい材料ではない。従って、本発
明の実施形態によると、これらの材料は、所望の接触ス
ポットにおいて互いに局所的に溶融され、この軟らかい
多層構造をより硬い合金化された材料の均一な層に変換
し得る。純粋に簡便さのために、以後、多層のより硬い
物質への局所的な変換を生成するプロセスを「局所化さ
れた熱処理」と呼ぶ。
【0107】いくつかの実施形態では、所望の硬さを達
成するために多層を完全に溶融することが必ずも必要で
はないかもしれない。これは、熱によって、多層の各材
料を1つ以上の他の材料への速やかな拡散を引き起こ
し、より均一な層を形成することが公知であるためであ
る。これは、多層の構成要素の任意の融点未満の十分な
温度であっても発生し得る。従って、局所化された熱処
理も、多層を溶融の代わりに内部拡散によって均一な層
に多層を変換するように適用され得ることが明らかであ
る。
【0108】他の実施形態では、層上の異種物質の堆積
および拡散は、この層を強化するために使用され得る。
従って、このような物質を層に添加することを意図した
異種物質の存在する接触領域の局所化された加熱は、熱
処理プロセスとして実行される。
【0109】図8は、本発明の実施形態による方法80
0を示すフローチャートを示す。方法800は、多層構
造のEUV反射コーティングを局所的に変換することに
よってコンタクトを処置することが意図されたレチクル
内の強化領域のために使用され得る。ステップ802で
は、レチクル基板をEUV反射多層構造(現在の技術で
は、モリブデン−シリコンまたはモリブデン−ルテニウ
ム−シリコン多層)でコーティングする動作が実施され
る。ステップ804では、多層構造の局所的に加熱され
た部分をより強い(より硬い、より強靭な)材料に変換
するために、コンタクトを処理することが意図された領
域を局所的熱処置する動作が実施される。
【0110】実施形態では、例えば、恐らく反応剤およ
び触媒を含む化学物質の存在下で、強力なレーザビーム
をレチクルの指定された領域上に集光させることによっ
てステップ804が実施され得る。放射エネルギーの他
のタイプは、例示のレーザビームと置換され得る。無線
周波数電磁場を使用する例示的な誘導加熱などの他の局
所化された加熱方法が使用され得る。
【0111】典型的なEUVレチクル基板材料および多
層自体の低い熱伝導率は、所望の領域に対して排他的に
多層変換の局所化を容易にする。これは、レチクルのパ
ターニングされたフィールドの内部または付近において
EUV反射材料を意図的でなく変更する恐れのないよう
に行われ得る。多層構造はその独特の光特性を維持する
ために未処理のままであるべきである。本開示において
他の箇所で提案されたように、レチクル1のコーナーに
おけるコンタクト領域の配置は、フィールドまでの距離
を最大化し、それにより、コンタクト領域を局所的に加
熱処理する効果を、レチクルのパターニングされたフィ
ールドの光特性に関する限りは無視できるようにする。
【0112】(実質的に平坦なレチクルカバー)図9〜
11は、本発明の実施形態によるレチクルカバー902
を示す。所定のイベント間で除去可能なレチクルカバー
902は、レチクル(例えばマスク)901を保護す
る。光の所定の波長に対して透過性であり得るレチクル
カバー901は、支持パッドまたはスペーサー903、
ネスティングピン904、動力学的ロケータ(例えばマ
スクロケータ)905、およびホール906を含む。ホ
ール906は、カバー902とレチクル901との間の
加圧されたガス掃引の注入を可能にするために使用され
得、そしてガスフィルタを含み得る。種々の材料がパッ
ド903およびピン904を作製するために使用され
得、その結果これらの材料はレチクル901に損傷を与
えないかまたはレチクルとの接触を形成または破壊する
場合に粒子を削減する。いくつかのクリアランスが、カ
バー902を除去するために、レチクル901とネステ
ィングピン904との間で必要とされるため、レチクル
はカバー902に関して少量スライドし得る。上述の実
施形態にわたる改良は、カバー902が実質的に平坦で
あることである。実質的に平坦な設計を利用することに
よって、ほとんどの液体は洗浄中にトラップされない。
なぜなら、この液体をトラップし得るポケットまたはキ
ャビティが存在しないためである。従って、カバー90
2は、洗浄または「超洗浄」することが簡単である。い
くつかの実施形態では、浴内での超音波洗浄、すすぎ、
およびスピン乾燥は、カバー902を洗浄する。従っ
て、洗浄することが困難な従来の複雑なカバーとは対照
的に、カバーの構成のために、カバー902は洗浄する
ことが非常に容易である。
【0113】(二重ラップされたレチクルボックス(例
えばレチクルポッド))図12〜図13は、本発明の実
施形態によるレチクルボックスまたはポッド1250
(以後「ポッド」と呼ぶ)の側面図および分解図をそれ
ぞれ示す。例示的なポッド1250のより多くの機構
は、図17に示され、以下に詳細に説明される。ポッド
1250は、カバーまたは蓋1256に固定された(ラ
ッチ(図示せず)によって固定され得る)ベース125
4を有するガス気密可能な外部ボックス1252を含
む。上記カバー902と同様のプレート1258は、穴
またはキャビティを有さない実質的に平坦であり得る。
これにより、粒子の生成を低減し、プレート1258の
洗浄をより容易にする。さらに、粒子生成はスクリュー
等を必要とすることなくさらに低減され得る。粒子シー
リングデバイス1260(例えば内部または第1のラッ
プ)は、粒子に対してレチクル1を保護するために使用
され得、ガスシーリングデバイス1262(例えば外部
または第2のラップ)は外部ボックス1252をガス気
密にするために使用され得、それにより分子汚染物に対
して内部または第1のラップ1263を保護する。粒子
シーリングデバイス1260は、ポッド1250が閉じ
る場合、ガスシーリングデバイス1262より前に係合
し、ポッド1250が開く場合、ガスシーリングデバイ
ス1262より後に係合解除する。これは両方のガスシ
ーリングデバイスがない従来のシステムとは対照的であ
り得る。なぜなら、従来のシステムは真空および粒子シ
ーリングデバイスを使用しないからである。
【0114】外部ボックス1252は、ガス透過性内部
カプセル1263を内部に固定し、このカプセルは微粒
子汚染物に対して保護し、簡単な洗浄のために取り外し
可能な部分を有する。内部カプセル1263はドーム1
264(例えばPyrex(R)ガラスドーム)を含
む。これは、プレート1266(例えば、ポリイミド、
ESDグレードポリエーテルイミド等から形成またはコ
ーティングされる)に結合された薄壁(例えば2mm)
を有し得る。レチクル1およびレチクルカバー102
(例えばPyrex(R)ガラス等から形成される)
は、ロボットグリッパー1266と相互作用し得る内部
カプセル1263の内側に位置決定される。デバイス1
268(例えばバネ等)を使用して、ドーム1264を
蓋1256に結合し得、移動中のレチクル1を固定する
ために内部カプセル1263に押し付ける圧力を付与す
る。これはまた、粒子シーリングデバイス1260を圧
縮する。レチクル1の表面1302は、ガラス、クロム
メッキ(例えばCrメッキ)、または耐久性材料を用い
るメッキであり得る。使用中に、ポッド1250のカバ
ーまたは蓋1256は、レチクル1に近づけるために除
去される。フィルタリングされた通路1304は、ドー
ム1264とプレート1266との間に含まれた量をポ
ッド1250内に含まれた残りの量に接続させ、ガスが
2つの量間に流れることを可能にするが粒子の流れを妨
げ得る。例示的なフィルタリングされた通路1304
は、膜ガスフィルタ等で被覆されたドーム1264の壁
を通るホールであり得る。別の例は、焼成されたパウダ
ー金属ガスフィルタ等で充填されたプレート1258を
通るホールであり得る。当該分野で公知のように、他の
位置およびフィルタリングデバイスが使用され得ること
が理解されるべきであり得る。アラインメントデバイス
1306は、ポリイミドがコーティングされた表面を有
し得る。
【0115】ポッド1250の種々の部品を製造するた
めに使用された上記例示的な材料は、粒子の生成を低減
させる。これらの材料は好適な例として使用されるのみ
であり、他の公知の材料が使用され得ることが理解され
るべきであり得る。
【0116】このシステムを使用する方法論に関して以
下により詳細に説明されたように、ポッド1250は2
つのステージで開かれ得る。第1に蓋1256を所定量
だけリフトし、ガスシーリングデバイス1262によっ
て引き起こされたガスシールを破壊する。これはガスを
ポッド1250に流し、粒子をガスを用いて移動させ
る。しかし、粒子はレチクル1に直接到達することがで
きない。
【0117】ドーム1264の内部の圧力と大気圧とを
等しくするために、ガスはファイルタリングされた通路
1304を通って流れる。第2に、蓋1256が連続的
にリフトされ得る場合、ドーム1264は、プレート1
266からリフトされ得る。ドーム1264内部の圧力
が以前のステップで大気圧に等しくされた後、蓋がリフ
トされた場合、ドーム1264の内部または外部のガス
または粒子の著しい流れが存在し得ない。これらの実施
形態では、ドーム1264、プレート1266のいずれ
か、またはこれらの両方はガス透過性である。すなわ
ち、これらは、ガスフローがドーム1264の内部また
は外部間の圧力差を除去することを可能にする。
【0118】(ロードロック)図14〜図15は、本発
明の実施形態によるロードロックの側面図および分解図
をそれぞれ示す。一実施形態では、レチクル1401
(支持ピン1404の上面上にあり得る)およびレチク
ルカバー1402は、ベース1403とドーム1405
との間で位置決定される。ドーム除去デバイス(例えば
ドームリフタ)1406はモータ1407、親ねじ14
08、およびベロー1409を含む。ロードロックはま
た、大気側および真空側ゲートバルブのための開口部1
410を含む。上述の部分の全ては、下部セクション
(例えば真空シェル)1411および上部セクション
(例えば真空シェルフルーフ)1412によって形成さ
れた密閉物の内側に位置決定される。さらにロードロッ
クは、ドームとシートとの間の粒子フローを妨げるため
に、ポッドおよび/または粒子シーリングデバイスのド
ームの開口端と実質的に一致するシールシートを含み得
る。このロードロックは、ドーム内部のガス圧とドーム
外部のガス圧とを等しくするフィルタリングされた通路
1413((例えば、膜ガスフィルタで被覆されたドー
ム壁を貫通する穴))と、ロードロックにおける空気中
またはガス中(以後両方とも「空気中」と呼ぶ)の粒子
を検出するためのデバイス(例えばセンサまたは検出
器)とをさらに含み得る。
【0119】典型的には、ロードロックは、主にロード
ロックをポンプダウンまたは排気するためにシールする
ゲートバルブのためにかなり汚れている。シールが形成
または破壊される度に、空気中またはガス中の粒子とな
る粒子が生成される。あるいは、ゲートバルブは、多く
の移動、摩擦、部品によって生じる磨耗、および潤滑物
を有する複雑な機構アセンブリである。これは、不純物
をロードロックの内部に蓄積させる。ロードロックを排
出する間、ガスはロードロックに流れ、圧力を大気圧に
等しくし、これにより粒子の運動を引き起こす。あるい
は、ロードロックをポンプダウンする場合、ガスがロー
ドロックの外部から流れ、これにより粒子の運動を引き
起こす。従って、本発明の実施形態によるドームおよび
プレートの内側のレチクルのカプセル化を用いることに
よってレチクルは粒子から保護される。
【0120】(レチクルハンドラ)図16〜図17は、
本発明の実施形態によるレチクルハンドラーコア170
1およびレチクル処理システムをそれぞれ示す。レチク
ル処理システムはコア環境(例えば真空およびミニエン
バイロメント)および大気(空気)環境を含む。このコ
ア環境は実質的にレチクルハンドラーコア1701に位
置決定される。図16を参照すると、レチクルハンドラ
ーコア1701は、真空チャンバ1602内にレチクル
1601を含む。レチクル1601は、2つのアームを
有し得る真空ロボット1603を介して真空チャンバ1
602によって移動される。レチクルコア1701はま
た、真空チャンバ1602とプロセスチャンバとの間に
ゲートバルブ1604を含む。レチクルコア1701
は、ロードロックターボポンプ1606およびロードロ
ックゲートバルブ1607を有するロードロック160
5をさらに含む。レチクルコア1701は、ポッド16
09を開けるポッド解除器(de−podder)16
08をさらに含む。ロードロックおよびポッド解除器の
開口部は、清浄なガスミニエンバイロメントチャンバ1
610に接続し、ミニエンバイロメントロボット161
1を介してアクセス可能である。
【0121】動作中では、レチクル(この図では見えな
い)がミニエンバイロメントロボット1611によって
開いたポッド1609から除去される。次いで、レチク
ルはゲートバルブ1607を介して内側のロードロック
1605に配置される。ロードロックがポンプダウンさ
れ、レチクルが真空ロボット1603によってロードロ
ックから除去される。レチクル1601は、ロボット1
603を用いて真空チャンバ1602を介して移送さ
れ、プロセスチャンバ(この図では示されない)の内側
のゲートバルブ1604を介して配置される。処理後、
真空ロボット1603は、ゲートバルブ1604を貫通
するプロセスチャンバからレチクルを除去し、レチクル
をゲートバルブ1607を介したゲートロック1605
の内側に配置させる。次いでこのロードロックが排出さ
れ、ミニエンバイロメントチャンバ1610を入れる前
に、レチクル1601が右から左にロードロック160
5を通過する。ミニエンバイロメントチャンバ1610
は、清浄な、フィルタ処理された、および/または乾燥
したガス(例えば乾燥窒素)で満たされ得る。次いでミ
ニエンバイロメントロボット1611は、レチクルをロ
ードロックから除去し、レチクルをポッド解除器160
8内に配置された開いたポッド1609に配置させる。
次いでこのポッド解除器はポッドを閉じる。
【0122】ここで図17を参照すると、レチクルハン
ドラシステムはまた、ポッド1703を移動させるため
に使用される大気ロボット1702を有する空気環境ま
たは大気環境を含む。ポッド1703は、示されたよう
にポッド収容ラックに収容され得る。ポッド1703
は、典型的には、オペレータが掴む上部部分にわたって
示されたバーまたはハンドルを使用し、種々のロボット
が係合するハウジングの種々の部分を使用して処理され
る。上方部分において示されたポッドエレベータ170
5は、投入位置1706においてオペレータによって配
置されたポッド1703をロボット1702の処置面に
上げる。あるいは、ポッド1703は、ロボット170
2によって直接到達され得る位置1707にポッド17
03を配置させるオーバーヘッドトラック(図示せず)
によってツールに送達され得る。ロボット1702は、
上部エレベータストップ1712、ポッド収容ラック1
714、オーバヘッド位置1707、および/またはポ
ッド解除器1609間のポッド1703を移動させるこ
とが可能である。一旦ポッド1703がポッド解除器1
609内に配置されると、レチクルハンドラコア170
1は、上記で詳細に説明されたようにポッド1703を
開いてレチクル1601を処理する。同様に、レチクル
1601が処理された後、レチクルハンドラコア170
1はポッド1703にレチクル1601を置換し、ポッ
ド1703を閉じる。従って作業は、ポッド1703の
外部でレチクル1601を処理するレチクルハンドラコ
ア1701とポッド1703のみを処置するハンドラの
大気圧部分との間で分割される。
【0123】これらの2つのサブシステムは、ポッド解
除器1609によって互いにレチクル1601の処理を
変換する。図16に示されるレチクルハンドラコア17
01は、この図(図17)においても見える大気ロボッ
ト1702の下にある。読み手の理解を助けるために、
真空チャンバ1602、ミニエンバイロメントチャンバ
1610、ポッド解除器1609、およびミニエンバイ
ロメントロボット1611が指摘される。大気の環境お
よびコア環境の両方において収容能力が存在し得る。
【0124】いくつかの実施形態では、フィルタ処理さ
れた空気環境は、ポッド1703上に符号化されたID
マーク、ポッド1703の取り付けられたスマートタグ
等を読み出すための識別ステーションをさらに含み得
る。
【0125】いくつかの実施形態では、ガスミニエンバ
イロメントは、(a)マスク上で符号化されたIDマー
クを読み出すための識別ステーション、(b)入来マス
クの温度を所定の処理温度に等しくするための熱調整ス
テーション、(c)マスクの表面の少なくとも1つ上の
汚染物を検出するためのマスク検査ステーション、
(d)マスクの表面の少なくとも1つから表面汚染物を
除去するためのマスク洗浄ステーション、(e)機械に
対してマスクを正確に配向するためのマスク配向ステー
ションを含み得る。あるいは、いくつかの実施形態で
は、ミニエンバイロメントは、フィルタ処理された乾燥
空気、合成空気、乾燥窒素および乾燥酸素の混合物、お
よび/または乾燥窒素、あるいは他のガスを含む群から
選択されたガスを用いて浄化する。
【0126】いくつかの実施形態では、真空部分は、
(a)マスク上で符号化されたIDマークを読み出すた
めの識別ステーション、(b)少なくとも1つのマスク
を一時的に格納するためのライブラリ、(c)入来マス
クの温度を所定の処理温度に等しくするための熱調整ス
テーション、(d)マスクの少なくとも1つの表面上の
汚染物を検出するマスク検査ステーション、(f)マス
クの表面の少なくとも1つから表面汚染物を除去するた
めのマスク洗浄ステーション(g)機械に対するマスク
を正確に配向するためのマスク配向ステーション、およ
び/または(h)少なくとも1つのマスクを処理するた
めの処理ステーションを含む。いくつかの実施形態で
は、処理ステーションは、光を用いてマスクの表面上の
パターンをフォトレジストでコーティングされたウエハ
上にフォトリソグラフィカルに再生するためのものであ
る。いくつかの実施形態では、光の波長がスペクトルの
超紫外線(EUV)部分に対応し、この光の波長は10
〜15ナノメータであり、好適には13nmである。
【0127】(方法論)図18は、本発明の実施形態に
よるマスクを移送する方法1800を示すフローチャー
トを示す。ステップ1802では、マスクの第1の部分
が除去可能な粒子カバーを用いて被覆される。これは、
第1の部分が空気中の粒子によって汚染されることから
保護される一時的なマスクカバー構成を生成する。ステ
ップ1804では、マスクの第2の部分が被覆されてい
ないままである。ステップ1806では、この構成はガ
ス気密ボックスの内側に封入される。空気中の分子汚染
物からマスクを保護するために、このボックスは、マス
ク運搬部分およびマスク運搬部分から分離可能な蓋を有
し得る。ステップ1806では、ボックスの内側の構成
が移送される。
【0128】図19は、本発明の実施形態によるマスク
を移送、処置、および処理するための方法1900を示
すフローチャートを示す。ステップ1902では、マス
クの第1の部分は、除去可能な粒子カバーを用いて被覆
される。これは、第1の部分がガス中の粒子によって汚
染されることから保護される、一時的なマスクカバー構
成を生成する。ステップ1904では、マスクの第2の
部分がカバーされていないままである。ステップ190
6では、この構成がガス気密ボックスの内側に封入され
る。空気中の分子汚染物からマスクを保護するために、
このボックスは、マスク運搬部分およびスク運搬部分か
ら分離可能な蓋を有し得る。
【0129】ステップ1908では、この構成を含むボ
ックスは、処理ツールに移送される。この処理ツール
は、以下の各コンポーネントの少なくとも1つを有し得
る。ポッド解除器、ミニエンバイロメントチャンバ、ミ
ニエンバイロメントマニピュレータ、ロードロック、真
空チャンバ、真空マニピュレータ、およびマスク台を有
し得る。ステップ1910では、この構成を含むボック
スは、ポッド解除器の第1の開口部上に配置され、その
結果ボックスの蓋は、ボックスの蓋が第1の開口部を通
るガスフローを遮断する。ステップ1912では、ポッ
ド解除器の内側は、清浄なガスによって排気される。ス
テップ1914では、このボックスは蓋からマスク運搬
部分を分離し、蓋を、ガスフローを遮断するための位置
に保持し、そしてマスク運搬部分およびポッド解除器の
内側構成を移動させることによって開けられる。ステッ
プ1916では、ミニエンバイロメントマニピュレータ
を用いて、そして第1のロードロック開口部を介してこ
の構成をロードロックの内側に配置することによって、
この構成は、ポッド解除器から第2のポッド解除器の開
口部を通ってミニエンバイロメントチャンバに抽出され
る。ステップ1918では、ロードロックはポンプダウ
ンされる。ステップ1920では、この構成は、ロード
ロックから第2のロードロック開口部を通ってロードロ
ックから抽出され、真空マニピュレータを用いて真空チ
ャンバの内側に移動される。ステップ1922では、こ
の構成はマスク台上に配置され、その結果マスクの被覆
されていない部分は台と接触する。ステップ1924で
は、この台はマスクを保持する。ステップ1926で
は、カバーがマスクから分離され、真空マニピュレータ
を用いて除去または再位置調整される。ステップ192
8では、マスクが処理される。
【0130】図20は、ロードロックにおいて、マスク
を大気圧から真空に移行するための方法2000を示す
フローチャートを示す。ステップ2002では、マスク
がロードロック内部に配置される。ステップ2004で
は、マスクがドームを用いて被覆され、ロードロックに
おける空気中の粒子がマスクに到達することを妨げる。
ステップ2006では、ロードロックが閉じられる。ス
テップ2008では、ロードロックがポンプダウンされ
る。ステップ2010では、ロードロックは真空に向か
って開く。ステップ2012ではマスクはドームを引っ
張ることによって取り除かれる。ステップ2014で
は、マスクはフィードロックから除去される。
【0131】図21は、ロードロックにおいて真空から
大気圧にマスクを移動させるための方法2100を示す
フローチャートを示す。ステップ2012では、マスク
はロードロック内部に配置される。ステップ2104で
は、マスクはドームで被覆される。被覆ステップ210
4は、以後の排気ステップおよび開放ステップの間、ロ
ードロック内側で空気中の粒子になる粒子がマスクに到
達することを妨げるためのステップである。ステップ2
106では、ロードロックが閉じられる。ステップ21
08では、ロードロックが排気される。ステップ211
0では、ロードロックの大気端が大気環境に開放され
る。ステップ2112では、空気中の粒子が沈降する。
ステップ2114では、マスクはドームを引っ張ること
によって除去される。ステップ2116では、マスクは
ロードロックから除去される。
【0132】図22は、マスクを移送、処置、および処
理するための方法2200を示すフローチャートを示
す。ステップ2202では、マスクは、空気中の分子汚
染物から保護されるためにマスク運搬部およびマスク運
搬部から分離可能な蓋を有するガス気密ボックスの内側
にマスクが封入される。ステップ2204では、マスク
を含むボックスが、以下の各コンポーネントの内の少な
くとも1つを有する処理ツールに移送される。(a)ポ
ッド解除器、(b)ミニエンバイロメントチャンバ、
(c)ミニエンバイロメントマニピュレータ、(d)ロ
ードロック、(e)真空チャンバ、(f)真空マニピュ
レータおよびマスク台。ステップ2206では、マスク
を含むボックスは、ポッド解除器の第1の開口部上に配
置され、その結果ボックスの蓋は、第1の開口部を通る
ガスフローを遮断する。ステップ2208では、ポッド
解除器の内側は、清浄なガス(例えば乾燥窒素)を用い
て排気される。ステップ2210では、このボックスは
蓋からマスク運搬部分を分離し、蓋をガスフローを遮断
するための位置に保持し、そしてマスク運搬部分および
マスクをポッド解除器の内側構成に移動させることによ
って開けられる。ステップ2212では、ミニエンバイ
ロメントマニピュレータを用いて、そして第1のロード
ロック開口部を介してマスクをロードロックの内側に配
置することによって、マスクは、ポッド解除器から第2
のポッド解除器の開口部を通ってミニエンバイロメント
チャンバに抽出される。ステップ2214では、ロード
ロックはポンプダウンされる。ステップ2216では、
マスクは第2のロードロック開口部を通ってロードロッ
クから抽出され、真空マニピュレータを用いて真空チャ
ンバの内側に移動される。ステップ2218では、この
マスクはマスク台上に配置される。ステップ2220で
は、マスクが処理される。
【0133】要約すると、上述のいくつかの実施形態に
おいてレチクルは3つの環境に遭遇する。すなわちポッ
ド環境(例えば、排気された乾燥ガスミニエンバイロメ
ント)、ロードロックへのポッド解除器環境(例えば真
空)、およびロードロックからチャックへの環境であ
る。レチクルは各環境の移動の間カプセル化され得る。
いくつかの実施形態では、2重ラップポッドは、ポッド
を開くことによって、ポッド解除器を排気することによ
って、空気フローが安定化するのを待つことによって、
カプセルを開くことによって、カプセルからレチクルお
よび/またはカバーを抽出することによって使用され
る。他の実施形態では、ドームを有する特別の設計のロ
ードロックは、レチクルおよび/またはカバーをロード
ロック内に配置することによって、レチクルをドームで
被覆することによって、ロードロック排気するために排
出することによって、空気フローが安定することを待つ
ことによって、このドームをリフトすることによって、
ロードロックからレチクルおよび/またはカバーを抽出
することによって使用される。さらなる実施形態では、
粒子沈降は圧力移動間のガスフローを制御することによ
ってまたはレチクル前面のガスフロー(カーテン/バリ
ア)をフィルタリングすることによって、物理障壁を用
いることなくパターニングされた領域上で防がれる。な
おさらなる実施形態では、レチクルは、ガス透過性カバ
ーを用いた粒子不透過性の物理バリアで保護される。レ
チクルは、カバーを付けた状態でポッド内側に格納され
る。レチクルおよびカバーはロードロック内に配置さ
れ、圧力がカバーを付けた状態で遷移され、カバーは真
空環境内部で一度に除去される。
【0134】上述の実施形態を使用することによって、
種々のシステムおよびシステムの一部に対して非理想的
な材料を使用する場合であっても粒子生成が低減され
る。これは、保護フレーム、カバーなどを用いることお
よび操作スキームを用いることによって部分的に達成さ
れる。
【0135】
【発明の効果】空気中の粒子による汚染からマスクを保
護するためのシステムおよび方法が使用される。このシ
ステムおよび方法は、2部分カバーにおいて固定された
レチクルを提供することを含む。2部分カバーは、レチ
クルを汚染から保護するために使用された除去可能な保
護デバイスを含む。このカバーは、ポッドまたはボック
ス内側に保持されて、カバーをリソグラフィーシステム
によって大気セクションから真空セクションまで移送す
るために使用得る。除去可能なカバーが真空セクション
にあるが、このカバーは露光プロセスの間移動され得、
この間、レチクル上のパターンがウエハ上に形成され得
る。
【0136】本発明の種々の実施形態が上記で示されて
きたが、これらの実施形態は、例示のみによって示さ
れ、限定されないことが理解されるべきである。形態お
よび詳細の種々の変形が本発明の精神および範囲を逸脱
することなく為され得ることが当業者に明らかである。
従って、本発明の広さおよび範囲は、上述された例示的
な実施形態のいずれかによって限定されないが、以下の
特許請求の範囲およびその等価物によってのみ限定され
るべきである。
【図面の簡単な説明】
【図1】図1は、本発明の実施形態による、レチクル上
の位置における2部分のカバーの展開図である。
【図2】図2は、本発明の実施形態による、ロボットに
よるステージ上に装着された2部分のカバーにおけるレ
チクルを示す図である。
【図3】図3は、本発明の実施形態による、リソグラフ
ィーのために露光されるレチクルを示す。
【図4】図4は、本発明の実施形態による2部分カバー
を強調して示す外挿図である。
【図5】図5は、本発明の実施形態による、2部分カバ
ーを用いる位置合わせおよび搬送の方法である。
【図6】図6は、本発明の実施形態による位置決め機構
を示す図である。
【図7】図7は、本発明の実施形態による、位置決め機
構を有する2部分カバーを強調して示す外挿図である。
【図8】図8は、本発明の実施形態によるレチクル領域
を強化する方法である。
【図9】図9は、本発明の実施形態による例示的なレチ
クルカバーの平面図を示す。
【図10】図10は、本発明の実施形態による例示的な
レチクルカバーの底面図を示す。
【図11】図11は、図9〜図10のレチクルカバーの
分解図を示す。
【図12】図12は、本発明の実施形態による二重ラッ
プポッド概念を示す。
【図13】図13は、図12の二重ラップポッドの分解
図を示す。
【図14】図14は、本発明の実施形態によるロードロ
ックを示す。
【図15】図15は、図14のロードロックの分解図を
示す。
【図16】図16は、本発明の実施形態による、レチク
ルハンドラーコアを示す。
【図17】図17は、本発明の実施形態による、全体の
レチクルハンドラを示す。
【図18】図18は、本発明の実施形態によるマスクを
移送するための方法を示すフローチャートを示す。
【図19】図19は、本発明の実施形態による、マスク
を移送、処置、処理するための方法を示すフローチャー
トを示す。
【図20】図20は、本発明の実施形態による、ロード
ロック内で大気圧から真空にマスクを移行するための方
法を示すフローチャートを示す。
【図21】図21は、本発明の実施形態による、ロード
ロック内で真空から大気圧にマスクを移行するための方
法を示すフローチャートを示す。
【図22】図22は、本発明の実施形態による、マスク
を移送、処置、処理するための方法を示すフローチャー
トを示す。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アンドリュー マッサー アメリカ合衆国 コネチカット 06468, モンロー, ストーンヘッジ レーン 39 (72)発明者 アブドゥラー アリカン アメリカ合衆国 コネチカット 06811, ダンバリー, パダナラム ロード 7, アパートメント256 (72)発明者 ジョナサン エイチ. フェロース アメリカ合衆国 コネチカット 06484, シェルトン, ボナ ビスタ テラス 9 (72)発明者 エリック アール. ループストラ オランダ国 5591 ビーエー, ヒーズ, ホディボルダスラーン 15 (72)発明者 ドゥエイン ピー. キッシュ アメリカ合衆国 コネチカット 06811, ダンバリー, ベレア ドライブ 8 (72)発明者 ウッドロウ ジェイ. オルソン アメリカ合衆国 コネチカット 06903, スタンフォード, スピニング ウィー ル レーン 39 Fターム(参考) 2H095 BB11 BB29 BB33 5F046 GD20

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 レチクルと、 該レチクルを保護するために該レチクルと結合されたカ
    バーと、を備え、該カバーは、 フレームと、 露光プロセスの間、該レチクルに光が直接的にアクセス
    することを可能にするように移動する、除去可能なパネ
    ルと、 を備えるシステム。
  2. 【請求項2】 前記カバーと結合された、ロボットグリ
    ッパーであって、かつロボットが該カバーおよび該レチ
    クルを、ロボットグリッパーを介して移動することを可
    能にするように構成される、請求項1に記載のシステ
    ム。
  3. 【請求項3】 前記ロボットグリッパーおよび前記カバ
    ーと結合されるベースプレートをさらに備える、請求項
    2に記載のシステム。
  4. 【請求項4】 ステージをさらに備える、請求項1に記
    載のシステム。
  5. 【請求項5】 前記フレームを前記ステージに除去可能
    に取り付ける手段をさらに備える、請求項4に記載のシ
    ステム。
  6. 【請求項6】 前記フレームと前記除去可能なパネルと
    を位置合わせする手段をさらに包含する、請求項3に記
    載のシステム。
  7. 【請求項7】 前記ロボットグリッパーと前記除去可能
    なパネルとを位置合わせする手段をさらに備える、請求
    項3に記載のシステム。
  8. 【請求項8】 前記ベースプレートと前記除去可能なパ
    ネルとを位置合わせする手段をさらに備える、請求項3
    に記載のシステム。
  9. 【請求項9】 前記フレームと前記除去可能なパネルと
    を位置合わせする手段をさらに備える、請求項1に記載
    のシステム。
  10. 【請求項10】 前記レチクルを、移送の前にステージ
    と位置合わせする事前位置合わせデバイスをさらに備え
    る、請求項1に記載のシステム。
  11. 【請求項11】 前記事前位置合わせデバイスと前記フ
    レームとを位置合わせする手段と、 該フレームと前記ステージとを位置合わせする手段と、 をさらに備える、請求項10に記載のシステム。
  12. 【請求項12】 前記レチクルおよび前記カバーを、前
    記システムを介して移送するために用いられるポッドを
    さらに備える、請求項1に記載のシステム。
  13. 【請求項13】 前記レチクルを、ポッド内に配置され
    る前に位置合わせする事前位置合わせデバイスをさらに
    備える、請求項1に記載のシステム。
  14. 【請求項14】 前記レチクルの所定の領域は局所的に
    処理されて、該レチクルと接触している間、粒子の生成
    を低減する、請求項1に記載のシステム。
  15. 【請求項15】 正面および裏面を有するマスクと、 該マスクの該正面を実質的に被覆する除去可能な粒子カ
    バーと、 を備え、該除去可能な粒子カバーは、 該マスクの該正面と実質的に同じ大きさのフラットプレ
    ートであって、該マスクと面する第1の面および該マス
    クと面しない第2の面を有する、フラットプレートと、 該プレートの第1の面から突き出し、かつ該マスクと該
    カバーとの間の分離を維持する該プレートと結合される
    スペーサと、 該プレートの該第1の面から該マスクの周囲長さを超え
    て突き出す該プレートと結合され、該マスクを該カバー
    内に封入するマスクロケータと、 を備える、システム。
  16. 【請求項16】 マスク運搬部分と、 蓋と、 該マスク運搬部分と該蓋との間のガスフローを防ぐガス
    シーリングデバイスと、 該蓋を該マスク運搬部分に除去可能に固定するラッチ
    と、 を備える気密ボックス。
  17. 【請求項17】 前記ボックス内の粒子が前記マスクに
    到達するのを実質的に防ぐ前記蓋の内部と結合されるド
    ームと、 該ドームと前記マスク運搬部分との間の粒子の流れを実
    質的に防ぐ粒子シーリングデバイスと、 をさらに備える、請求項16に記載のボックス。
  18. 【請求項18】 (a)マスクの第1の部分を除去可能
    な粒子カバーで被覆し、第1の部分を汚染から保護し、
    かつ、該マスクの第2の部分を被覆されない状態にして
    おくシステムを形成する工程と、 (b)該システムをマスク運搬部分、および該マスク運
    搬部分から分離可能な蓋を有する気密ボックスの内側に
    封入し、該ボックスは、該マスクを汚染から保護する、
    工程と、 (c)該システムを該ボックスの内側に移送する工程
    と、 を包含する、方法。
  19. 【請求項19】 開口部を有する筐体と、 該筐体の該開口部の第1の開口部と結合される大気側ゲ
    ートバルブと、 該筐体の該開口部の第2の開口部と結合される真空側ゲ
    ートバルブと、 マスクを支持し、かつ該筐体の内側に配置されるマスク
    ホルダと、 該マスクを被覆し、かつ該筐体の内側に配置される移動
    可能なドームと、 該マスクを被覆するように配置されるように該ドームを
    移動させるドームアクチュエータと、 をさらに備える、ロードロック。
  20. 【請求項20】 前記筐体の内部と結合されるシールシ
    ートであって、該シールは、実質的に前記ドームの開口
    端と合わせられる、シールシートと、 該ドームと該シートとの間の粒子の流れを防ぐ粒子シー
    リングデバイスと、 をさらに備える、請求項19に記載のロードロック。
  21. 【請求項21】 前記ドームと前記シートとの間に形成
    される空隙から、前記ロードロックの内部容量の残り部
    分にガスが流れるか、または戻ることを可能にし、一方
    で粒子が通路を通って流れることを防ぐ、フィルタリン
    グされた通路をさらに備える、請求項20に記載のロー
    ドロック。
  22. 【請求項22】 (a)マスクをロードロックの内側に
    配置する工程と、 (b)該マスクをドームで被覆し、該ロードロック内の
    粒子が、該マスクに到達することを防ぐ、工程と、 (c)該ロードロックを閉じる工程と、 (d)該ロードロック内の気圧を低減する工程と、 (e)真空にするために該ロードロックを開く工程と、 (f)該ドームを取り外して、該マスクの覆いを取る工
    程と、 (g)該マスクを該ロードロックから除去する工程と、 を包含する、方法。
  23. 【請求項23】 (a)蓋から分離可能であるマスク移
    送部分を有する気密ボックス内部にマスクを封入する工
    程であって、該蓋は、該マスクを汚染から保護するため
    に用いられる、工程と、 (b)該マスクを含む該ボックスを、該ボックスを処理
    するために用いられるプロセスツールに移送する工程
    と、 (c)該ボックスを処理する工程の間、該プロセスツー
    ル内で該マスクを該ボックスから除去する工程と、 (d)該マスクを処理する工程と、 を包含する、方法。
  24. 【請求項24】 分離可能な蓋を有するデバイスに除去
    可能に固定されるマスクを支持するボックスと、 実質的に大気圧で、フィルタリングされた空気を含む第
    1の部分と、 該第1の部分内で該ボックスを移動させる大気マニピュ
    レータと、 該マスクを該第1の部分とガスミニエンバイロメント部
    分との間を移動させるために用いられるポッド解除器で
    あって、該ガスミニエンバイロメント部分は、実質的に
    大気圧で、清浄なガスを用いて排出され、該ガスミニエ
    ンバイロメント部分は、該ミニエンバイロメント部分内
    で該ボックスを移動させるためにエンバイロメントマニ
    ピュレータを有する、ポッド解除器と、 該ミニエンバイロメント部分と真空部分との間でマスク
    を移動させるロードロックと、 該マスクを該真空部分内で移動させる真空マニピュレー
    タと、 を備える、システム。
JP2003046630A 2002-02-22 2003-02-24 レチクルを保護する2部分カバーを用いるシステムおよび方法 Pending JP2003257852A (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US35835402P 2002-02-22 2002-02-22
US60/358,354 2002-02-22
US36412902P 2002-03-15 2002-03-15
US60/364,129 2002-03-15
US41435802P 2002-09-30 2002-09-30
US60/414,358 2002-09-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007293776A Division JP4758410B2 (ja) 2002-02-22 2007-11-12 実質的に気密であるボックス

Publications (2)

Publication Number Publication Date
JP2003257852A true JP2003257852A (ja) 2003-09-12
JP2003257852A5 JP2003257852A5 (ja) 2007-07-05

Family

ID=27739178

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2003046630A Pending JP2003257852A (ja) 2002-02-22 2003-02-24 レチクルを保護する2部分カバーを用いるシステムおよび方法
JP2007293776A Expired - Lifetime JP4758410B2 (ja) 2002-02-22 2007-11-12 実質的に気密であるボックス
JP2011086217A Expired - Lifetime JP5186018B2 (ja) 2002-02-22 2011-04-08 レチクルを保護する2部分カバーを用いるシステムおよび方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2007293776A Expired - Lifetime JP4758410B2 (ja) 2002-02-22 2007-11-12 実質的に気密であるボックス
JP2011086217A Expired - Lifetime JP5186018B2 (ja) 2002-02-22 2011-04-08 レチクルを保護する2部分カバーを用いるシステムおよび方法

Country Status (6)

Country Link
US (6) US6906783B2 (ja)
EP (1) EP1341042A3 (ja)
JP (3) JP2003257852A (ja)
KR (3) KR20040002437A (ja)
CN (1) CN1323325C (ja)
TW (1) TWI319123B (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006184442A (ja) * 2004-12-27 2006-07-13 Nikon Corp レチクル保護装置および露光装置
JP2007141924A (ja) * 2005-11-15 2007-06-07 Nikon Corp マスク収納容器開装置、露光装置
JP2008113046A (ja) * 2003-10-27 2008-05-15 Asml Netherlands Bv レチクルホルダおよびレチクルのアセンブリ
JP2009510525A (ja) * 2005-09-27 2009-03-12 インテグリス・インコーポレーテッド レチクルポッド
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
US7839489B2 (en) 2003-10-27 2010-11-23 Asml Netherlands B.V. Assembly of a reticle holder and a reticle
JP2011124591A (ja) * 2004-10-29 2011-06-23 Nikon Corp レチクル保護装置及び露光装置
JP5071109B2 (ja) * 2005-12-28 2012-11-14 株式会社ニコン レチクル搬送装置、露光装置、レチクル搬送方法、レチクルの処理方法、及びデバイス製造方法
US8921812B2 (en) 2004-10-29 2014-12-30 Nikon Corporation Reticle protection member, reticle carrying device, exposure device and method for carrying reticle
JP6286090B1 (ja) * 2017-01-26 2018-02-28 家登精密工業股▲ふん▼有限公司 レチクルポッド
JP2021179595A (ja) * 2020-05-14 2021-11-18 家登精密工業股▲ふん▼有限公司Gudeng Precision Industrial Co., Ltd 有効気密封止状態で基板を受け入れる容器
JP2022058152A (ja) * 2020-09-30 2022-04-11 家登精密工業股▲ふん▼有限公司 ワーク容器システム
JP2022058128A (ja) * 2020-09-30 2022-04-11 家登精密工業股▲ふん▼有限公司 ワーク容器システム

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6847434B2 (en) * 2000-02-10 2005-01-25 Asml Holding N.V. Method and apparatus for a pellicle frame with porous filtering inserts
KR100597035B1 (ko) * 2001-03-01 2006-07-04 에이에스엠엘 네델란즈 비.브이. 마스크핸들링방법, 마스크, 그를 위한 그리퍼를 포함하는기구 또는 장치, 디바이스 제조방법 및 그 디바이스
US6906783B2 (en) * 2002-02-22 2005-06-14 Asml Holding N.V. System for using a two part cover for protecting a reticle
SG107133A1 (en) * 2002-02-22 2004-11-29 Asml Holding Nv System and method for using a two part cover for protecting a reticle
US7008487B1 (en) * 2002-03-04 2006-03-07 Micron Technology, Inc. Method and system for removal of contaminates from phaseshift photomasks
JP2003315983A (ja) * 2002-04-22 2003-11-06 Mitsubishi Electric Corp フォトマスク
US7061589B2 (en) * 2002-09-03 2006-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for mounting a hard pellicle
US6822731B1 (en) * 2003-06-18 2004-11-23 Asml Holding N.V. Method and apparatus for a pellicle frame with heightened bonding surfaces
US7068347B2 (en) * 2002-12-20 2006-06-27 Intel Corporation Apparatus for reducing pellicle darkening
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
EP1434094A1 (en) * 2002-12-27 2004-06-30 ASML Netherlands B.V. Container for a mask
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
SG115632A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
SG115630A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
TWI224719B (en) * 2003-05-28 2004-12-01 Gudeng Prec Ind Co Ltd Reinforced structure device of mask frame
EP1500980A1 (en) * 2003-07-22 2005-01-26 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7084961B2 (en) * 2003-12-22 2006-08-01 Asml Netherlands B.V. Safety mechanism for a lithographic patterning device
US7123344B2 (en) 2003-09-29 2006-10-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005123292A (ja) * 2003-10-15 2005-05-12 Canon Inc 収納装置、当該収納装置を用いた露光方法
EP1531363A1 (en) * 2003-10-27 2005-05-18 ASML Netherlands B.V. Reticle holder
JP4332409B2 (ja) * 2003-10-31 2009-09-16 キヤノン株式会社 基板保持機構およびそれを用いた露光装置並びにデバイス製造方法
US20070211232A1 (en) * 2003-11-10 2007-09-13 Phillips Alton H Thermophoretic Techniques for Protecting Reticles from Contaminants
US6862817B1 (en) * 2003-11-12 2005-03-08 Asml Holding N.V. Method and apparatus for kinematic registration of a reticle
KR101003666B1 (ko) * 2003-12-10 2010-12-23 엘지디스플레이 주식회사 정렬장치
US20050223973A1 (en) * 2004-03-30 2005-10-13 Infineon Technologies Ag EUV lithography system and chuck for releasing reticle in a vacuum isolated environment
FR2869452B1 (fr) * 2004-04-21 2006-09-08 Alcatel Sa Dispositif pour le transport de substrats sous atmosphere controlee
US7136151B2 (en) * 2004-07-29 2006-11-14 Asml Holding N.V. Reticle gripper barrier system for lithography use
AU2005282396A1 (en) * 2004-09-07 2006-03-16 Smith & Nephew, Inc. Minimal thickness bone plate locking mechanism
JP2006078763A (ja) * 2004-09-09 2006-03-23 Fujitsu Ltd 露光用マスクの製造方法
US7477358B2 (en) * 2004-09-28 2009-01-13 Nikon Corporation EUV reticle handling system and method
US7551265B2 (en) * 2004-10-01 2009-06-23 Nikon Corporation Contact material and system for ultra-clean applications
JP2006128188A (ja) * 2004-10-26 2006-05-18 Nikon Corp 基板搬送装置、基板搬送方法および露光装置
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
JP4667018B2 (ja) * 2004-11-24 2011-04-06 ミライアル株式会社 レチクル搬送容器
JP2006173273A (ja) * 2004-12-14 2006-06-29 Miraial Kk レチクル搬送容器
US7607543B2 (en) * 2005-02-27 2009-10-27 Entegris, Inc. Reticle pod with isolation system
US7528936B2 (en) * 2005-02-27 2009-05-05 Entegris, Inc. Substrate container with pressure equalization
JP2006245257A (ja) * 2005-03-03 2006-09-14 Canon Inc 処理装置、当該処理装置を有する露光装置、保護機構
US20060243300A1 (en) * 2005-04-27 2006-11-02 Patrick Klingbeil Method for cleaning lithographic apparatus
JP4667140B2 (ja) * 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
US20070076292A1 (en) * 2005-09-27 2007-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fully electric field shielding reticle pod
JP4789566B2 (ja) * 2005-09-30 2011-10-12 ミライアル株式会社 薄板保持容器及び薄板保持容器用処理装置
US20070146658A1 (en) * 2005-12-27 2007-06-28 Asml Netherlands B.V. Lithographic apparatus and method
US7522263B2 (en) * 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
US7808616B2 (en) * 2005-12-28 2010-10-05 Nikon Corporation Reticle transport apparatus, exposure apparatus, reticle transport method, and reticle processing method
US7537114B2 (en) * 2006-01-25 2009-05-26 International Business Machines Corporation System and method for storing and transporting photomasks in fluid
US20070190430A1 (en) * 2006-02-10 2007-08-16 Heng-Chung Wu Photo-mask stage
US20080060974A1 (en) * 2006-02-21 2008-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Mask carrier treatment to prevent haze and ESD damage
US7773198B2 (en) * 2006-03-28 2010-08-10 Nikon Corporation Filtered device container assembly with shield for a reticle
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
CN101506087B (zh) * 2006-06-19 2011-09-21 诚实公司 用于净化光罩存储器的系统
TW200809943A (en) * 2006-08-15 2008-02-16 Gudeng Prec Ind Co Ltd Cleaning device for photo mask
JP4863064B2 (ja) * 2006-08-22 2012-01-25 大日本印刷株式会社 露光用マスクの管理方法
US7933000B2 (en) * 2006-11-16 2011-04-26 Asml Netherlands B.V. Device manufacturing method, method for holding a patterning device and lithographic apparatus including an applicator for applying molecules onto a clamp area of a patterning device
US20080128303A1 (en) * 2006-12-05 2008-06-05 Nikon Corporation Device container assembly with adjustable retainers for a reticle
US7808612B2 (en) * 2007-04-05 2010-10-05 Asml Netherlands B.V. Lithographic apparatus and method for masking a substrate
WO2008151095A2 (en) * 2007-05-30 2008-12-11 Blueshift Technologies, Inc. Vacuum substrate storage
WO2008154907A2 (de) * 2007-06-21 2008-12-24 GeSIM Gesellschaft für Silizium-Mikrosysteme mbH Verfahren und vorrichtung zur übertragung von mikro- oder nanostrukturen durch kontaktstempeln
JP5169206B2 (ja) 2007-12-21 2013-03-27 日本電気株式会社 フォトマスク受納器並びにこれを用いるレジスト検査方法及びその装置
US8215510B2 (en) * 2008-03-24 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask storage apparatus
NL1036785A1 (nl) 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
US8424703B2 (en) * 2008-05-01 2013-04-23 Brooks Automation, Inc. Substrate container sealing via movable magnets
US8227768B2 (en) * 2008-06-25 2012-07-24 Axcelis Technologies, Inc. Low-inertia multi-axis multi-directional mechanically scanned ion implantation system
JP2010027810A (ja) * 2008-07-17 2010-02-04 Yaskawa Electric Corp 真空搬送装置
US8071262B2 (en) * 2008-11-05 2011-12-06 Micron Technology, Inc. Reticles with subdivided blocking regions
NL2003638A (en) * 2008-12-03 2010-06-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
TWI344926B (en) * 2008-12-05 2011-07-11 Gudeng Prec Industral Co Ltd Reticle pod
WO2010131485A1 (ja) * 2009-05-15 2010-11-18 株式会社ニコン 移動体装置、用力伝達装置、及び露光装置、並びにデバイス製造方法
US8159654B2 (en) * 2009-06-03 2012-04-17 Matsushita Seiki Co., Ltd. Pressure body and pellicle mounting apparatus
TWI412883B (zh) * 2009-06-04 2013-10-21 Matsushita Seiki Co Ltd 加壓體及薄膜黏貼裝置
TWI411563B (zh) 2009-09-25 2013-10-11 Gudeng Prec Industral Co Ltd 光罩盒
US8207504B2 (en) * 2009-11-19 2012-06-26 Applied Materials Israel, Ltd. Inspection of EUV masks by a DUV mask inspection tool
TWI450324B (zh) * 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd 微影設備之光罩清潔方法及微影設備之光罩清潔系統
CN101825841B (zh) * 2010-03-30 2012-07-04 东莞宏威数码机械有限公司 掩膜存储清洗系统
TWI481835B (zh) * 2010-04-20 2015-04-21 Oto Photonics Inc 適用於光譜儀之狹縫座模組與光譜儀
TW201206787A (en) * 2010-04-30 2012-02-16 Fortrend Engineering Corp Opener for extreme ultra violet lithography reticle pods
TWI414464B (zh) 2011-01-11 2013-11-11 Gudeng Prec Ind Co Ltd 具有固定結構之極紫外光光罩儲存傳送盒
US8888086B2 (en) * 2011-05-11 2014-11-18 Sematech, Inc. Apparatus with surface protector to inhibit contamination
JP5665679B2 (ja) * 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
NL2008806A (en) * 2011-07-22 2013-01-24 Asml Holding Nv Lithographic apparatus and device manufacturing method.
US8925290B2 (en) 2011-09-08 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Mask storage device for mask haze prevention and methods thereof
FR2986815B1 (fr) * 2012-02-13 2014-12-19 Micro Controle Spectra Physics Dispositif et systeme d'alignement et de maintien en position de poutres.
US9851643B2 (en) * 2012-03-27 2017-12-26 Kla-Tencor Corporation Apparatus and methods for reticle handling in an EUV reticle inspection tool
JP5943519B2 (ja) * 2012-09-20 2016-07-05 ヒューグルエレクトロニクス株式会社 基板ケース洗浄装置
EP2909110B1 (en) 2012-10-19 2017-08-30 Entegris, Inc. Reticle pod with cover to baseplate alignment system
US8939289B2 (en) * 2012-12-14 2015-01-27 Shenzhen China Star Optoelectronics Technology Co., Ltd Packing box for liquid crystal display panel and waterproof structure thereof
JP6217101B2 (ja) * 2013-03-22 2017-10-25 富士電機株式会社 半導体装置の製造方法及び取り付け治具
KR102218656B1 (ko) * 2013-05-08 2021-02-23 삼성디스플레이 주식회사 마스크 조립체 및 이의 제조 방법
CN103713468A (zh) * 2013-12-24 2014-04-09 京东方科技集团股份有限公司 一种掩模板盒
KR101464706B1 (ko) * 2014-07-16 2014-11-28 마이다스시스템주식회사 스캔 앤 스텝 노광기
KR102205403B1 (ko) * 2014-10-08 2021-01-21 삼성디스플레이 주식회사 증착용 마스크 포장 용기
CN111458973B (zh) 2014-11-17 2024-02-09 Asml荷兰有限公司 表膜框架附接设备
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
CN112162461A (zh) * 2015-01-26 2021-01-01 株式会社尼康 光罩箱、保管装置及方法、搬送装置及方法、及曝光装置
CN105988303B (zh) * 2015-02-26 2018-03-30 上海微电子装备(集团)股份有限公司 一种掩模版传输装置及传输方法
JP2016170310A (ja) * 2015-03-13 2016-09-23 株式会社荏原製作所 レチクル搬送装置、検査装置およびレチクル搬送方法
USD761261S1 (en) * 2015-06-09 2016-07-12 Teco Image Systems Co., Ltd Handheld scanner
US9915867B2 (en) 2015-09-24 2018-03-13 International Business Machines Corporation Mechanical isolation control for an extreme ultraviolet (EUV) pellicle
KR102502727B1 (ko) * 2015-11-09 2023-02-23 삼성전자주식회사 레티클 및 그를 포함하는 노광 장치
CN108375872B (zh) * 2017-01-25 2022-04-15 家登精密工业股份有限公司 极紫外光光罩容器
US11249392B2 (en) 2017-01-25 2022-02-15 Gudeng Precision Industrial Co., Ltd EUV reticle pod
KR20200015766A (ko) * 2017-06-15 2020-02-12 에이에스엠엘 네델란즈 비.브이. 펠리클 및 펠리클 조립체
KR102134639B1 (ko) * 2017-08-14 2020-07-17 구뎅 프리시젼 인더스트리얼 코포레이션 리미티드 기밀성 측정 방법과 시스템 및 이로 측정되는 용기
KR101880401B1 (ko) * 2017-09-15 2018-07-20 주식회사 미래솔루텍 마스크 어셈블리 케이스
US10658215B2 (en) * 2017-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle transportation container
US10684559B2 (en) * 2017-11-20 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for cleaning reticle stage
TWI690771B (zh) * 2018-01-11 2020-04-11 家登精密工業股份有限公司 光罩壓抵單元及應用其之極紫外光光罩容器
KR102570321B1 (ko) * 2018-02-14 2023-08-24 삼성디스플레이 주식회사 표시 장치용 포장 용기
KR200488135Y1 (ko) * 2018-06-14 2018-12-17 박철규 섀도우 마스크 위치고정장치
DE202019101794U1 (de) * 2018-06-27 2019-10-09 Murata Machinery, Ltd. Vorrichtungen zum mindestens einen aus Substrat-Handhabung, Substrat-Lagerung, Substrat-Behandlung und Substrat-Verarbeitung
KR102445717B1 (ko) * 2018-09-12 2022-09-21 주식회사 원익아이피에스 마스크, 그가 적재되는 마스크적재모듈, 마스크적재모듈을 가지는 기판처리시스템
CN114995071A (zh) * 2018-10-29 2022-09-02 家登精密工业股份有限公司 光罩固持系统及提供光罩固持系统观察光罩的方法
US11137693B2 (en) * 2018-11-30 2021-10-05 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Pellicle holder, pellicle inspection apparatus, and pellicle inspection method
CN109633853B (zh) * 2018-12-25 2020-11-27 同济大学 一种自清洁旋转棱镜装置
TWI705522B (zh) * 2019-07-30 2020-09-21 家登精密工業股份有限公司 基板容納裝置及其製造方法
TWD209927S (zh) * 2019-08-02 2021-02-21 家登精密工業股份有限公司 光罩傳送盒之上蓋
TWD209117S (zh) * 2019-08-02 2021-01-01 家登精密工業股份有限公司 光罩傳送盒之上蓋
EP4066060A1 (en) * 2019-11-25 2022-10-05 Brooks Automation (Germany) GmbH Euv reticle stocker and method of operating the same
US11555791B2 (en) 2019-12-03 2023-01-17 Corning Incorporated Chamber for vibrational and environmental isolation of thin wafers
JP7176165B2 (ja) * 2020-04-24 2022-11-22 家登精密工業股▲ふん▼有限公司 Euvレチクルポッド
TWI803860B (zh) * 2020-04-30 2023-06-01 美商恩特葛瑞斯股份有限公司 光罩盒密封
TWI802030B (zh) * 2020-10-02 2023-05-11 美商恩特葛瑞斯股份有限公司 晶圓容器及其尺寸調適系統
TWI770791B (zh) * 2021-01-28 2022-07-11 家登精密工業股份有限公司 具有快拆式支撐機構之光罩盒
US11822257B2 (en) * 2021-03-12 2023-11-21 Gudeng Precision Industrial Co., Ltd. Reticle storage pod and method for securing reticle
KR20240021950A (ko) * 2021-06-18 2024-02-19 엔테그리스, 아이엔씨. 극자외선 플레이트 상의 본딩된 층
CN114114824B (zh) * 2022-01-26 2022-05-20 上海传芯半导体有限公司 一种光掩模保护罩、具有保护结构的光掩模及其制备方法
EP4258330A1 (en) * 2022-04-08 2023-10-11 Brooks Automation (Germany) GmbH Stocker pod, method and stocker for storing a semiconductor fabrication article
CN114823370B (zh) * 2022-05-10 2022-10-14 山东汉旗科技有限公司 指纹识别芯片封装结构及其封装的方法

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US21781A (en) * 1858-10-12 Improved method o f lighting street-lamps by electricity
US3615006A (en) * 1969-06-26 1971-10-26 Ibm Storage container
US4369475A (en) 1979-01-26 1983-01-18 Priam Enclosed disc drive with improved air flow
US4248508A (en) * 1979-08-03 1981-02-03 The Perkin-Elmer Corporation Projection mask storage and carrier system
US4250388A (en) 1979-08-16 1981-02-10 General Electric Company Device for interfacing an x-ray image intensifier and spot film device
US4412739A (en) 1981-01-13 1983-11-01 Ppg Industries, Inc. Hinged glass photomask assembly
US4443098A (en) 1982-12-21 1984-04-17 General Signal Corporation Pellicle mounting fixture
US4549843A (en) * 1983-03-15 1985-10-29 Micronix Partners Mask loading apparatus, method and cassette
JPS6083032A (ja) 1983-10-13 1985-05-11 Asahi Chem Ind Co Ltd 光透過性に優れたフオトマスク用防塵カバ−
JPS61245163A (ja) 1985-04-23 1986-10-31 Nippon Kogaku Kk <Nikon> マスク保護装置
JPS6197924A (ja) 1984-10-19 1986-05-16 Nippon Sheet Glass Co Ltd 保護カバ−
US4724874A (en) 1986-05-01 1988-02-16 Asyst Technologies Sealable transportable container having a particle filtering system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4719705A (en) 1986-06-24 1988-01-19 The Perkin-Elmer Corporation Reticle transporter
US4760429A (en) 1986-11-05 1988-07-26 The Perkin-Elmer Corporation High speed reticle change system
US4973217A (en) 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4778332A (en) 1987-02-09 1988-10-18 The Perkin-Elmer Corporation Wafer flip apparatus
US4986007A (en) 1987-03-25 1991-01-22 Svg Lithography Systems, Inc. Reticle frame assembly
JPH0675188B2 (ja) 1988-02-29 1994-09-21 キヤノン株式会社 ペリクル着脱機構
JPH0298122A (ja) 1988-10-04 1990-04-10 Mitsubishi Electric Corp X線転写装置
JP3037745B2 (ja) 1990-11-29 2000-05-08 三井化学株式会社 ペリクル構造体
JP2534167B2 (ja) 1991-06-18 1996-09-11 株式会社斉木製作所 露光装置におけるマスク保持装置
JP3089590B2 (ja) 1991-07-12 2000-09-18 キヤノン株式会社 板状物収納容器およびその蓋開口装置
US5469963A (en) * 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US5422704A (en) * 1992-07-13 1995-06-06 Intel Corporation Pellicle frame
US5296893A (en) 1992-07-31 1994-03-22 Vlsi Technology, Inc. Box for an optical stepper reticle
ATE129360T1 (de) 1992-08-04 1995-11-15 Ibm Unter druck stehende koppelsysteme zum transferieren von einem halbleiterwafer zwischen einem tragbaren abdichtbaren unter druckstehenden behälter und einer bearbeitungsanlage.
JP3200776B2 (ja) * 1992-08-06 2001-08-20 大日本印刷株式会社 基板保持用ケース
US5344677A (en) 1992-08-27 1994-09-06 Hong Gilbert H Photochemically stable deep ultraviolet pellicles for excimer lasers
US5308989A (en) * 1992-12-22 1994-05-03 Eaton Corporation Fluid flow control method and apparatus for an ion implanter
US5453816A (en) 1994-09-22 1995-09-26 Micro Lithography, Inc. Protective mask for pellicle
JP3356897B2 (ja) * 1994-12-16 2002-12-16 信越化学工業株式会社 ペリクル収納容器
JPH0968792A (ja) 1995-08-31 1997-03-11 Shin Etsu Chem Co Ltd フィルター付ペリクル
US5700046A (en) 1995-09-13 1997-12-23 Silicon Valley Group, Inc. Wafer gripper
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5727685A (en) 1995-10-19 1998-03-17 Svg Lithography Systems, Inc. Reticle container with corner holding
US6317479B1 (en) * 1996-05-17 2001-11-13 Canon Kabushiki Kaisha X-ray mask, and exposure method and apparatus using the same
JPH09320935A (ja) 1996-05-28 1997-12-12 Canon Inc X線マスク、該x線マスクを用いたx線露光装置、前記x線マスクを用いた半導体デバイスの製造方法、及び前記x線マスクを用いて製造した半導体デバイス
JPH09306820A (ja) * 1996-05-17 1997-11-28 Canon Inc X線マスク構造体とその製造方法、x線露光方法およびx線露光装置、並びに半導体デバイスとその製造方法
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
KR19990019435A (ko) * 1997-08-29 1999-03-15 윤종용 반도체 소자 제조방법
JPH11153855A (ja) * 1997-08-29 1999-06-08 Nikon Corp マスクケース、搬送装置及び搬送方法
EP1022615A4 (en) * 1997-08-29 2001-01-03 Nikon Corp PHOTOMASK BOX, ROUTING DEVICE AND METHOD
KR19990025656A (ko) 1997-09-13 1999-04-06 이종수 골프공 연속공급장치
KR19990025656U (ko) * 1997-12-17 1999-07-05 구본준 레티클 카세트
US5928817A (en) 1997-12-22 1999-07-27 Intel Corporation Method of protecting an EUV mask from damage and contamination
KR200195116Y1 (ko) * 1998-03-07 2000-09-01 김영환 레티클 공급장치
JPH11295880A (ja) 1998-04-07 1999-10-29 Seiko Epson Corp ペリクルフレーム
AU3731299A (en) * 1998-05-20 1999-12-06 Nikon Corporation Method and apparatus for wafer transportation, exposure system, micro device, and reticle library
JP2000019721A (ja) * 1998-07-03 2000-01-21 Canon Inc レチクル容器、露光方法及びデバイス製造方法
US6197454B1 (en) * 1998-12-29 2001-03-06 Intel Corporation Clean-enclosure window to protect photolithographic mask
US6216873B1 (en) * 1999-03-19 2001-04-17 Asyst Technologies, Inc. SMIF container including a reticle support structure
US6251543B1 (en) * 1999-06-14 2001-06-26 Agere Systems Guardian Corp. Process for fabricating a projection electron lithography mask and a removable reusable cover for use therein
JP2001033943A (ja) * 1999-07-23 2001-02-09 Mitsubishi Electric Corp マスク装置
JP3647330B2 (ja) * 1999-09-02 2005-05-11 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6239863B1 (en) * 1999-10-08 2001-05-29 Silicon Valley Group, Inc. Removable cover for protecting a reticle, system including and method of using the same
US6279249B1 (en) * 1999-12-30 2001-08-28 Intel Corporation Reduced particle contamination manufacturing and packaging for reticles
US6607984B1 (en) * 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
US6513654B2 (en) * 2000-07-10 2003-02-04 Asyst Technologies, Inc. SMIF container including an electrostatic dissipative reticle support structure
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6569582B2 (en) * 2001-04-23 2003-05-27 Intel Corporation Hinged pellicles and methods of use
US6734445B2 (en) * 2001-04-23 2004-05-11 Intel Corporation Mechanized retractable pellicles and methods of use
US6566018B2 (en) * 2001-04-23 2003-05-20 Intel Corporation Dual-member pellicle assemblies and methods of use
US6734443B2 (en) * 2001-05-08 2004-05-11 Intel Corporation Apparatus and method for removing photomask contamination and controlling electrostatic discharge
US6630988B2 (en) * 2001-06-28 2003-10-07 Intel Corporation Reticle stop block apparatus and method
US6646720B2 (en) * 2001-09-21 2003-11-11 Intel Corporation Euv reticle carrier with removable pellicle
US6767674B2 (en) * 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
US6906783B2 (en) 2002-02-22 2005-06-14 Asml Holding N.V. System for using a two part cover for protecting a reticle
US6803159B2 (en) * 2002-03-28 2004-10-12 Intel Corporation Method of keeping contaminants away from a mask with electrostatic forces
US6733024B1 (en) * 2002-10-29 2004-05-11 Egidio Savegnago Commode convertible wheelchair apparatus

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008113046A (ja) * 2003-10-27 2008-05-15 Asml Netherlands Bv レチクルホルダおよびレチクルのアセンブリ
US7839489B2 (en) 2003-10-27 2010-11-23 Asml Netherlands B.V. Assembly of a reticle holder and a reticle
US8921812B2 (en) 2004-10-29 2014-12-30 Nikon Corporation Reticle protection member, reticle carrying device, exposure device and method for carrying reticle
JP2011124591A (ja) * 2004-10-29 2011-06-23 Nikon Corp レチクル保護装置及び露光装置
JP2006184442A (ja) * 2004-12-27 2006-07-13 Nikon Corp レチクル保護装置および露光装置
JP4581681B2 (ja) * 2004-12-27 2010-11-17 株式会社ニコン レチクル保護装置および露光装置
JP2009510525A (ja) * 2005-09-27 2009-03-12 インテグリス・インコーポレーテッド レチクルポッド
JP2012177930A (ja) * 2005-09-27 2012-09-13 Entegris Inc レチクルポッド
JP2007141924A (ja) * 2005-11-15 2007-06-07 Nikon Corp マスク収納容器開装置、露光装置
JP4692238B2 (ja) * 2005-11-15 2011-06-01 株式会社ニコン マスク収納容器開装置、露光装置
JP5071109B2 (ja) * 2005-12-28 2012-11-14 株式会社ニコン レチクル搬送装置、露光装置、レチクル搬送方法、レチクルの処理方法、及びデバイス製造方法
JPWO2008007521A1 (ja) * 2006-07-11 2009-12-10 株式会社ニコン レチクル保持部材、レチクル・ステージ、露光装置、投影露光方法およびデバイス製造方法
JP6286090B1 (ja) * 2017-01-26 2018-02-28 家登精密工業股▲ふん▼有限公司 レチクルポッド
JP2018120200A (ja) * 2017-01-26 2018-08-02 家登精密工業股▲ふん▼有限公司 レチクルポッド
JP2021179595A (ja) * 2020-05-14 2021-11-18 家登精密工業股▲ふん▼有限公司Gudeng Precision Industrial Co., Ltd 有効気密封止状態で基板を受け入れる容器
JP2022058152A (ja) * 2020-09-30 2022-04-11 家登精密工業股▲ふん▼有限公司 ワーク容器システム
JP2022058128A (ja) * 2020-09-30 2022-04-11 家登精密工業股▲ふん▼有限公司 ワーク容器システム
JP7288480B2 (ja) 2020-09-30 2023-06-07 家登精密工業股▲ふん▼有限公司 ワーク容器システム
JP7288481B2 (ja) 2020-09-30 2023-06-07 家登精密工業股▲ふん▼有限公司 ワーク容器システム
US11874596B2 (en) 2020-09-30 2024-01-16 Gudeng Precision Industrial Co., Ltd Workpiece container system

Also Published As

Publication number Publication date
KR20070030253A (ko) 2007-03-15
KR100868744B1 (ko) 2008-11-13
KR100730676B1 (ko) 2007-06-21
CN1323325C (zh) 2007-06-27
EP1341042A2 (en) 2003-09-03
US20110001955A1 (en) 2011-01-06
TWI319123B (en) 2010-01-01
CN1456938A (zh) 2003-11-19
KR20060026934A (ko) 2006-03-24
TW200304051A (en) 2003-09-16
US20030218728A1 (en) 2003-11-27
US20030227605A1 (en) 2003-12-11
US7209220B2 (en) 2007-04-24
US7830497B2 (en) 2010-11-09
JP5186018B2 (ja) 2013-04-17
US6906783B2 (en) 2005-06-14
US8446570B2 (en) 2013-05-21
JP2011138168A (ja) 2011-07-14
EP1341042A3 (en) 2004-03-31
JP2008118146A (ja) 2008-05-22
KR20040002437A (ko) 2004-01-07
US20070258061A1 (en) 2007-11-08
US20130010277A1 (en) 2013-01-10
US7304720B2 (en) 2007-12-04
US20060087639A1 (en) 2006-04-27
JP4758410B2 (ja) 2011-08-31

Similar Documents

Publication Publication Date Title
JP4758410B2 (ja) 実質的に気密であるボックス
KR100562803B1 (ko) 레티클 이송 시스템 및 그 방법과 기판 이송 시스템 및 그방법
JP6325518B2 (ja) Euvレチクル検査ツールにおけるレチクルの取り扱い装置及び方法
US20070211232A1 (en) Thermophoretic Techniques for Protecting Reticles from Contaminants
JP2007141925A (ja) マスク収容容器、露光装置
CN101650528B (zh) 使用两件式盖子保护模版的系统和方法
SG193643A1 (en) System and method for using a two part cover for protecting a reticle
SG177023A1 (en) System and method for using a two part cover for protecting a reticle

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040901

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060224

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070523

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20070523

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20070612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070711

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070815

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071011

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071016

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080306

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080711

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080926

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20081024