CN103688345A - 用于减少脱气的表面处理及沉积 - Google Patents

用于减少脱气的表面处理及沉积 Download PDF

Info

Publication number
CN103688345A
CN103688345A CN201280035181.0A CN201280035181A CN103688345A CN 103688345 A CN103688345 A CN 103688345A CN 201280035181 A CN201280035181 A CN 201280035181A CN 103688345 A CN103688345 A CN 103688345A
Authority
CN
China
Prior art keywords
base material
layer
carbon
predecessor
free
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201280035181.0A
Other languages
English (en)
Inventor
J·梁
X·陈
N·K·英格尔
S·文卡特拉马
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103688345A publication Critical patent/CN103688345A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述一种形成介电层的方法。该方法首先通过自由基成分的化学气相沉积(CVD)沉积含硅氮与氢(聚硅氮烷)层。该含硅氮与氢层是通过将自由基前驱物(在远端等离子体中激发)与无激发的无碳的硅前驱物结合而形成。氧化硅覆盖层可由一部分的无碳的含硅氮与氢层形成,以避免底下的层在转换成氧化硅之前该层的性质随时间演变。或者,该氧化硅覆盖层形成于该含硅氮与氢层上。任一形成方法皆涉及在基材处理区域内形成本地等离子体。

Description

用于减少脱气的表面处理及沉积
背景技术
自从数十年前引入半导体元件以来,半导体元件的几何形状在尺寸上已剧烈减少。现代的半导体制造设备例行生产具有45nm、32nm、与28nm的特征尺寸的元件,且正开发及实行新设备以制作具有更小的几何形状的元件。减少的特征尺寸造成元件上的结构性特征具有减少的空间尺度。元件上的间隙与沟槽的宽度变窄至间隙深度对间隙宽度的深宽比(aspect ratio)高得足以造成难以用介电材料填充该间隙的地步。在间隙完全填充前,沉积的介电材料易于在顶部阻塞,在间隙中间产生空隙或缝线(seam)。
过去几年,已开发许多技术去避免使介电材料阻塞间隙顶部或“愈合”已经形成的空隙或缝线。已以高度可流动的前驱物材料开始进行一项解决手段,可以液相施加该高度可流动的前驱物材料至旋转基材表面(例如,SOG沉积技术)。这些可流动的前驱物能够流进非常小的基材间隙并且填充该等间隙,而不形成空隙或脆弱缝线。然而,一旦这些高度可流动的材料被沉积,它们必须被硬化成固体介电材料。
许多例子中,该硬化步骤包括热处理,以从沉积的材料移除碳与氢氧基,而留下诸如氧化硅的固体介电质。不幸的是,离开的碳与氢氧物种经常在硬化的介电质中留下孔隙,这些孔隙降低最终材料的品质。此外,硬化的介电质也倾向在体积上绉缩,这可于介电质与周围基材的介面处留下裂隙与空间。一些例子中,硬化的介电质的体积可减少40%或更多。
旋涂介电质(SOD)也已用于流入图案化基材上的特征。该材料大体上由硅氮烷类型的层转换成氧化硅,该硅氮烷类型的层含有硅、氮、与氢。含有硅、氮、与氢的层一般在高温下于含氧环境中转换成氧化硅。来自环境的氧置换氮与氢以建立氧化硅层。对某些电路构造而言,对氧环境的高温暴露可能摧毁底下的层。此考量导致需要在制造流程期间维持在“热预算”内。热预算的考量已大幅将SOD限制至结合底下的氮化硅层的流程,该氮化硅层能够保护底下的特征免受氧化(例如DRAM应用)。
已开发替代性方法,该等替代性方法藉由自由基成分的CVD沉积含硅氮烷层。自由基成分的CVD可通过激发一种前驱物并且将该前驱物与无激发的含硅前驱物在无等离子体的基材处理区域中结合而建立可流动层。层性质(包括密度)可能随时间会稍微改变,直到含硅氮烷层转变成氧化硅为止。控制层性质的演变(evolve)改善使用这些层的元件的制造能力。因此,需要新的沉积制程与材料以形成不会随时间演变的介电材料。此需要与其他需要在本发明中获得解决。
发明内容
在此描述一种形成介电层的方法。该方法首先通过自由基成分的化学气相沉积(CVD)沉积含硅氮与氢(聚硅氮烷)层。该含硅氮与氢层是通过将自由基前驱物(在远端等离子体中激发)与无激发的无碳的硅前驱物结合而形成。氧化硅覆盖层可由一部分的无碳的含硅氮与氢层形成,以避免底下的层在转换成氧化硅之前该层的性质随时间演变。或者,该氧化硅覆盖层形成于该含硅氮与氢层上。任一形成方法皆涉及在基材处理区域内形成本地等离子体。
本发明的实施例包括在基材上形成介电层的方法。该等方法包括下述依序的步骤:(1)在含有该基材的第一基材处理区域中,于该基材上形成无碳的含硅氮与氢层,以及(2)在该无碳的含硅氮与氢层上形成氧化硅覆盖层。形成该无碳的含硅氮与氢层包括以下步骤:将无激发的前驱物流进远端等离子体区域以产生自由基前驱物,在该第一基材处理区域中将无碳的含硅前驱物与该自由基前驱物结合,以及沉积无碳的含硅氮与氢层于该基材上。在形成该无碳的含硅氮与氢层的操作期间,该第一基材处理区域无等离子体。该无碳的含硅氮与氢层在沉积期间可流动。形成该氧化硅覆盖层包括以下步骤:将含氧前驱物流进含有该基材的第二基材处理区域,将含硅前驱物流进该第二基材处理区域,在该第二基材处理区域中由该含氧前驱物与该含硅前驱物形成等离子体,以及沉积该氧化硅覆盖层于该无碳的含硅氮与氢层上。
本发明的实施例包括在基材上形成介电层的方法。该等方法包括下述依序的步骤:(1)在含有该基材的第一基材处理区域中,于该基材上形成无碳的含硅氮与氢层,以及(2)处理该无碳的含硅氮与氢层,以将该无碳的含硅氮与氢层的一部分转换成氧化硅覆盖层。形成该无碳的含硅氮与氢层包括以下步骤:将无激发的前驱物流进远端等离子体区域以产生自由基前驱物,在该第一基材处理区域中将无碳的含硅前驱物与该自由基前驱物结合,以及沉积无碳的含硅氮与氢层于该基材上。在形成该无碳的含硅氮与氢层的操作期间,该第一基材处理区域无等离子体。该无碳的含硅氮与氢层在沉积期间可流动。处理该无碳的含硅氮与氢层包括以下步骤:将含氧前驱物流进含有该基材的第二基材处理区域,在该第二基材处理区域中由该含氧前驱物形成等离子体,以及将该无碳的含硅氮与氢层的一部分转换成该氧化硅覆盖层。
额外的实施例与特征部分在随后的实施方式中提出,而部分对于本领域技术人员而言,在详阅本说明书后可清楚该等实施例与特征,或者可由操作所揭露的实施例而学得。可通过本说明书中所述的设备、各式组合物及方法而明了及获得所揭露的实施例的特征与优点。
附图说明
通过参考说明书的其余部分及图式,可进一步了解本发明的本质与优点,在该等图式中,相似的附图标记用于全部多幅图式中以指类似部件。一些例子中,次符号与一附图标记相连且置于一破折号后,以标注类似部件之一者。当引用一附图标记而不指定现有次符号时,申请人希望是指所有此类多个类似部件。
图1是流程图,示出用于根据本发明的实施例制做介电层的所选步骤;
图2是流程图,示出用于根据本发明的实施例制做介电层的所选步骤;
图3显示根据本发明实施例的基材处理系统;
图4A显示根据本发明实施例的基材处理腔室;
图4B显示根据本发明实施例的气体分配喷头。
具体实施方式
在此描述一种形成介电层的方法。该方法首先通过自由基成分的化学气相沉积(CVD)沉积含硅氮与氢(聚硅氮烷)层。该含硅氮与氢层是通过将自由基前驱物(在远端等离子体中激发)与无激发的无碳的硅前驱物结合而形成。氧化硅覆盖层可由一部分的无碳的含硅氮与氢层形成,以避免底下的层在转换成氧化硅之前该层的性质随时间演变。或者,该氧化硅覆盖层形成于该含硅氮与氢层之上。任一形成方法皆涉及在基材处理区域内形成本地等离子体。
已发现将自由基成分CVD的含硅氮与氢层定位在氧化硅覆盖层下方可减少及/或消除脱气以及伴随的层性质的随时间演变。没有氧化硅覆盖层,层的性质与化学当量可能随着时间改变。由于含硅物种、氨气、与类似物的脱气,这些层随时间演变。由于吸收存在于一般制造设施内的周围气氛中的水或其他成分,性质也可能演变。改变层性质可能会因需要严密控制层形成与其他基材处理系统或腔室内的处理之间的延迟,而使制造制程复杂化。这些复杂与需求都是不被期望的。已发现此述的氧化硅覆盖层抑制脱气,但仍容许底下的含硅氮与氢层转变成含硅与氧层且转变成氧化硅。
已发现根据在此所含的方法以含氧化硅覆盖层覆盖自由基成分的CVD层在基材暴露至一般清洁室气氛时显现不会演变的性质。现在,将描述关于形成氧化硅覆盖层的方法与系统的额外细节。
第一示范性介电质堆迭制程
图1是流程图,显示根据本发明的实施例制作介电层堆迭的方法100中所选步骤。方法100包括提供无碳的含硅前驱物至基材处理区域102。一些实施例中,该无碳的含硅前驱物不通过等离子体激发,所以该前驱物完整无缺地行进至基材处理区域中。随后仅通过即将要描述的自由基前驱物提供激发。该无碳的含硅前驱物除了其他类的硅前驱物外可以是例如含硅与氮前驱物、含硅与氢前驱物、或含硅氮与氢前驱物。缺乏碳减少了沉积层的绉缩。含硅前驱物除了无碳之外可为无氧。缺乏氧造成由前驱物形成的含硅与氮层中有低浓度的硅烷醇(Si-OH)基。沉积层中过剩的硅烷醇基团(moiety)可能在从沉积层移除氢氧(-OH)基团的后沉积步骤期间引发孔隙度与绉缩增加。
无碳的硅前驱物的特定范例可包括硅烷胺,除了其他硅烷胺之外,可以诸如为H2N(SiH3)、HN(SiH3)2与N(SiH3)3。不同实施例中,硅烷胺的流速可为约200sccm或更大、300sccm或更大、或者约500sccm或更大。在此所给的所有流速是参考双腔室基材处理系统。单一晶圆系统将需要这些流速的一半,而其他晶圆形状/尺寸将需要经过按处理面积缩放(scaled)的流速。这些硅烷胺可与额外气体混合,这些额外气体可做为载气、反应性气体、或前述二者。额外气体除其他气体之外可包括H2、N2、NH3、He、Ne及/或Ar。无碳的含硅前驱物的范例也可包括甲硅烷(SiH4),该甲硅烷可单独存在或与其他含硅气体(例如N(SiH3)3)、含氢气体(例如H2)及/或含氮气体(例如N2、NH3)混合。无碳的含硅前驱物也可包括乙硅烷、丙硅烷、更高等级的硅烷、与氯化硅烷,前述硅烷为单独存在或彼此结合或与先前提及的无碳含硅前驱物结合。
也提供自由基前驱物至基材处理区域104。自由基前驱物描述在基材处理区域外的等离子体激发中由任何稳定物种(惰性或反应性)产生的等离子体流出物。该自由基前驱物可以是含氮自由基前驱物,该含氮自由基前驱物在此是指自由基氮前驱物。该自由基氮前驱物是一种在基材处理区域外由更稳定的氮前驱物所生成的含氮自由基前驱物。稳定的前驱物可在此指无激发的前驱物,以指示该前驱物尚未通过等离子体。可在腔室等离子体区域或另一远端等离子体系统(RPS)中活化含有NH3、联胺(N2H4)及/或N2的稳定的氮前驱物化合物以形成该自由基氮前驱物,该自由基氮前驱物随后被输送进入基材处理区域,以激发含硅前驱物,前述的远端等离子体系统(RPS)是位在处理腔室外。将稳定氮前驱物活化成自由基氮前驱物涉及解离,除其他方法之外,该解离可通过以下方法完成:热解离、紫外光解离、及/或等离子体解离。等离子体解离可涉及:在远端等离子体生成腔室中由氦、氩、氢(H2)、氙、氨(NH3)等点燃等离子体,以及将稳定的氮前驱物导入等离子体区域以生成自由基氮前驱物。
不同实施例中,稳定的氮前驱物也可以是包含NH3与N2、NH3与H2、NH3与N2与H2、以及N2与H2的混合物。也可使用联胺以取代NH3或与NH3结合,且可用于涉及N2与H2的混合物中。不同实施例中,稳定的氮前驱物的流速可为约300sccm或更大、约500sccm或更大、或者是约700sccm或更大。在腔室等离子体区域中产生的自由基氮前驱物可以是·N、·NH、·NH2等之一或多者,且可伴随在等离子体中形成的离子化物种。在本发明的实施例中,氧源也可在远端等离子体中与更稳定的氮前驱物结合。添加氧源预先使层载有氧,同时减少了流动性。氧源可包括O2、H2O、O3、H2O2、N2O、NO、或NO2之一或多者。
在运用腔室等离子体区域的实施例中,自由基氮前驱物在基材处理区域的一区段中生成,该区段自沉积区域划分出来,在该沉积区域,该等前驱物混合并且反应而沉积含硅与氮层于沉积基材上(例如半导体晶圆)。自由基氮前驱物亦可伴随诸如氢(H2)、氮(N2)、氦、氖、氩等载气。在此可将基材处理区域描述为在生长无碳的含硅氮与氢层期间及后续制程期间“无等离子体”。“无等离子体”并非必然指该区域缺乏等离子体。腔室等离子体区域中等离子体的边界是难以界定的,且可能通过喷头中的穿孔(aperture)侵入基材处理区域上。在感应耦合等离子体的情况中,例如可在基材处理区域内启动少量的离子化。大体而言,低强度的等离子体可在基材处理区域中建立,而不至于损害形成的层的可流动的本质。在建立自由基氮前驱物期间,离子密度远低于远端/腔室等离子体区域的等离子体的所有成因不偏离在此所用的“无等离子体”的范畴。
在基材处理区域中,无碳的硅前驱物与自由基氮前驱物混合并且反应,而在沉积基材上沉积含硅氮与氢层106。多个实施例中,所沉积的含硅氮与氢层具有可流动特性,此可流动特性与习知氮化硅(Si3N4)层沉积技术并不类似。形成期间可流动的本质使层得以在固化前流进狭窄的特征中。
含硅氮与氢层中的氮可源自自由基前驱物或无激发的前驱物的任一者(或源自于前述二者)。一些实施例中,该无碳的含硅前驱物可基本上无氮。然而,其他实施例中,无碳的含硅前驱物与自由基氮前驱物二者皆含有氮。在第三套实施例中,自由基前驱物可基本上无氮而用于无碳的含硅氮与氢层的氮可由无碳的含硅前驱物供应。所以,在此自由基前驱物可指“自由基氮及/或氢前驱物”,意味该前驱物含有氮及/或氢。可以此类推,流进等离子体区域而形成自由氮及/或氢前驱物的前驱物可指含氮及/或氢前驱物。此命名法则可应用到在此揭露的每一实施例。在多个实施例中,含氮及/或氢前驱物包含氢(H2),而自由基氮及/或氢前驱物包含·H等。
回到图1所示的特定范例,含硅氮与氢层的可流动性可能是由于从将自由基氮前驱物与无碳的含硅前驱物混合造成的各种性质。这些性质可包括在沉积的层中有显著的氢成分及/或存在短链的聚硅氮烷聚合物。在形成层期间或之后,这些短链生长并且互成网络,而形成更加致密的介电材料。例如沉积层可具有硅氮烷形式的Si-NH-Si骨架,即无碳的Si-N-H层。当含硅前驱物与自由基前驱物二者皆无碳时,沉积的含硅氮与氢层也实质上无碳。当然,“无碳”并非必然意味该层缺乏甚至痕量的碳。碳混入物(contamination)可存在于前驱物材料中而找到进入沉积的含硅与氮前驱物的途径。然而,这些碳杂质的量远低于具有碳基团的硅前驱物(例如TEOS、TMDSO等)中可见的量。
该制程中的此点,在本发明的多个实施例中,制程流出物可由基材处理区域移除。制程流出物可包括任何未反应的含硅前驱物、未反应的自由基氮前驱物、惰性的载气以及来自层生长的反应产物。在所揭露的实施例中,可通过将惰性物种流进基材处理区域及/或通过排放通口排尽来移动制程流出物。
该方法100还包括额外沉积氧化硅于含硅氮与氢层上,以避免底下的层的脱气。氧化硅层在此是指氧化硅覆盖层。氧化硅覆盖层的形成可发生在相同的基材处理区域中,或该基材可传送到分开的处理区域。本发明的多个实施例中,该基材可在传送期间暴露至气氛,或可维持在真空下。形成氧化硅覆盖层的优点是,避免执行后续处理之前,含硅氮与氢层的膜性质随时间演变。就此而言,期望在沉积氧化硅覆盖层之前有可控制且可再现的基材暴露量。通过提供含氧前驱物至基材处理区域而启动氧化硅覆盖层的沉积108。含硅前驱物还流进基材处理区域109,且在基材的附近与含氧前驱物结合。等离子体同步在基材处理区域中形成110,以形成氧化硅覆盖层112。已使用远端等离子体(在基材处理区域外)沉积含硅氮与氢层,而本地等离子体(在基材处理区域内)用于沉积氧化硅覆盖层。
含氧前驱物可包括分子氧(O2)及/或臭氧(O3)。较佳实施例中,含硅前驱物可包括正硅酸乙酯(TEOS)。大体而言,该含硅前驱物可包括正硅酸乙酯(TEOS)、八甲基三硅氧烷(octamethyltrisiloxane,OMTS)、八甲基环四硅氧烷(octamethylcyclotetrasiloxane,OMCTS)、甲基二乙氧基硅烷(methyldiethoxysilane,MDEOS)、双(叔丁基胺基)硅烷(bis(tertiary-butylamino)silane,BTBAS)、三甲基氨基硅烷(tridimethylaminosilane,TriDMAS)、三二甲基氨基硅烷(trisdimethylaminosilane,TrisDMAS)、甲硅烷(silane)、乙硅烷(disilane)、二氯硅烷、三氯硅烷、二溴硅烷、四氯化硅、四溴化硅、二甲基硅烷、三甲基硅烷、四甲基硅烷、二乙基硅烷、正硅酸甲酯(tetramethylorthosilicate,TMOS)、或前述前驱物的组合。施加至基材处理区域的等离子体功率可为约1000W或更低、约500W或更低、或者是约300W或更低。在本发明多个实施例中,施加至基材处理区域的等离子体功率可为约50W或更高,约100W或更高,或者是约200W或更高。等离子体功率的该等上限可与该等下限结合以形成额外实施例。该等离子体功率低于许多等离子体增强化学气相沉积(PE-CVD)制程,以基本上维持底下的含硅氮与氢层的结构。等离子体频率可以是13.56MHz及/或350KHz。视情况与含硅前驱物同时导入腔室的气体包括氦气及/或氩气。氦气或其他惰气可用做为载气,以递送平常为液体的前驱物至基材处理区域。
可用介于约10sccm至约1000sccm之间的流速将该含氧前驱物导进腔室。可用介于约5sccm至约1000sccm之间的流速将该含硅前驱物导进腔室。可用介于约100sccm至约20000sccm之间的流速将可选的载气(例如氦气)导进腔室。进入腔室的含硅前驱物(例如正硅酸乙酯)流速对载气(例如氦气)流速的比为约1:1或更高,诸如介于约1:1至约1:100之间。当含硅前驱物与含氧前驱物流进腔室以沉积氧化硅覆盖层的同时,基材处理区域内的压力可大于约500mTorr(诸如介于约2Torr至约10Torr之间),且腔室中基材支撑件的温度可以介于约100℃至约250℃之间。在所揭露的实施例中,该温度较佳为低于约250℃或低于约200℃。低基材温度也是期望的,以保有含硅氮与氢层的结构。含硅前驱物与含氧前驱物可各自流入腔室一段时间,该段时间足以沉积厚度为约5nm或更大、约10nm或更大、约20nm或更大、或者约50nm或更大的氧化硅覆盖层。
第二示范性介电质堆迭制程
图2是一流程图,该流程图显示根据本发明的实施例制做介电层堆迭的第二方法200的所选步骤。该方法200包括形成含硅氮与氢层,如参考图2所描述。因此,该方法包括提供无碳的含硅前驱物至基材处理区域202,该步骤无等离子体激发且如先前所述的所有实施例。该方法进一步包括提供自由基前驱物至基材处理区域204,如先前所述。该自由基前驱物提供主要的激发,此述的主要的激发是在无等离子体的基材处理区域中“断裂”无碳的含硅前驱物以及产生沉积含硅氮与氢层于沉积基材上206的反应所需。再一次,所沉积的含硅氮与氢层可具有可流动特性,此可流动特性与习知氮化硅(Si3N4)层沉积技术并不类似。形成含硅氮与氢层之后,可由基材处理区域移除制程流出物。制程流出物可包括任何未反应的含硅前驱物、未反应的自由基氮前驱物、惰性的载气以及来自层生长的反应产物。在所揭露的实施例中,可藉由将惰性物种流进基材处理区域及/或藉由通过排放通口排尽来移动制程流出物。
该方法200进一步包括额外处理该含硅氮与氢层,以由该含硅氮与氢层的一部分形成氧化硅。与图1的方法呈对比,此氧化硅覆盖层是通过使用一些含硅氮与氢层的材料形成。但是,所形成的氧化硅覆盖层的目的与图1相同:为了避免从底下的层脱气。氧化硅覆盖层的形成可发生在相同的基材处理区域,或该基材可被传送至分开的处理区域。本发明的多个实施例中,该基材可在传送期间暴露至气氛,或可维持在真空下。形成氧化硅覆盖层的优点是,避免执行后续处理之前,含硅氮与氢层的膜性质随时间演变。就此而言,期望在形成氧化硅覆盖层之前有可控制且可再现的基材暴露量。通过提供含氧前驱物至基材处理区域,而启动氧化硅覆盖层的形成208。等离子体同步在基材处理区域中形成210,以使用来自含硅氮与氢膜的材料以及来自含氧前驱物的氧形成氧化硅覆盖层112。已使用远端等离子体(在基材处理区域外)沉积含硅氮与氢层,而本地等离子体(在基材处理区域内)用于沉积氧化硅覆盖层。
含氧前驱物可包括分子氧(O2)及/或臭氧(O3)。施加至基材处理区域的等离子体功率可为约1000W或更低、约500W或更低、或者是约300W或更低。在本发明多个实施例中,施加至基材处理区域的等离子体功率可为约50W或更高,约100W或更高,或者是约200W或更高。等离子体功率的该等上限可与该等下限结合以形成额外实施例。该等离子体功率低于许多等离子体增强化学气相沉积(PE-CVD)制程,以基本上维持底下的含硅氮与氢层的结构。等离子体频率可以是13.56MHz及/或350KHz。视情况与含硅前驱物同时导入腔室的气体包括氦气及/或氩气。氦气或其他惰气可用做为载气,以递送平常为液体的前驱物至基材处理区域。
可用介于约10sccm至约1000sccm之间的流速将该含氧前驱物导进腔室。当含氧前驱物流进腔室以形成氧化硅覆盖层的同时,基材处理区域内的压力可大于约500mTorr(诸如介于约2Torr至约10Torr之间),且腔室中基材支撑件的温度可以介于约100℃至约250℃之间。在所揭露的实施例中,该温度较佳为低于约250℃或低于约200℃。低基材温度也是期望的(类似低等离子体功率),以保有含硅氮与氢层的结构,故在进一步处理开始的同时可预测层性质。含硅前驱物与含氧前驱物可各字流入腔室一段时间,该段时间足以沉积厚度为约10nm或更大、约20nm或更大、约50nm或更大、或者约100nm或更大的氧化硅覆盖层。
在示范性方法100或200任一者完成后,层堆迭准备受到固化或退火。固化阶段可涉及将氧化硅覆盖层与无碳的含硅氮与氢层暴露至含氧的气氛。本发明的实施例中,该含氧气氛可包括臭氧。沉积基材可留在基材处理区域中以供固化,或该基材可传送到导入含氧的气氛的不同腔室。不同实施例中,基材的固化温度可为约300℃或更低,250℃或更低,约225℃或更低,或者约200℃或更低。在不同实施例中,该基材的温度可以为约室温(25℃)或更高,约50℃或更高,约100℃或更高,约125℃或更高,或约150℃或更高。根据额外揭露的实施例,任一上限可与任一下限结合以形成基材温度的额外范围。
固化操作将无碳的含硅氮与氢层修饰成含硅与氧层。该含硅与氧层可转换成氧化硅层,这是通过在含氧环境中于相对高温下退火基材而完成。当导入含氧气氛时,沉积基材可留在相同的用于固化的基材处理区域,或该基材可传送到导入含氧的气氛的不同腔室。除了其他含氧气体外,含氧气氛可包括诸如为氧气(O2)、臭氧(O3)、水蒸气(H2O)、过氧化氢(H2O2)与氮氧化物(NO、NO-2等)等一或多种含氧气体。含氧气氛也可包括自由基氧与氢氧物种,诸如原子氧(O)、氢氧化物(OH)等,该等物种可远端生成并且输送进入基材腔室。也可存在含氧物种的离子。固化与退火操作的含氧气氛提供氧,以将含硅氮与氢层转换成氧化硅(SiO2)层。基材的氧退火温度在不同实施例中可为约1100℃或更低、约1000℃或更低、约900℃或更低,或者是约800℃或更低。基材的温度在不同实施例中可为约500℃或更高,约600℃或更高、约700℃或更高,或者是约800℃或更高。再一次,根据额外揭露的实施例,任一上限可与任一下限结合以形成基材温度的额外范围。
用于沉积无碳的含硅氮与氢层及覆盖层的基材可为图案化基材且可具有多个间隙以用于基材上形成的元件部件(例如电晶体)的间隔与结构。该等间隙可具有一高度与宽度,该高度与宽度界定该高度对宽度(即H/W)的深宽比(AR),该AR远大于1:1,例如5:1或更大,6:1或更大,7:1或更大,8:1或更大,9:1或更大,10:1或更大,11:1或更大,12:1或更大等。许多范例中,高AR是由于小间隙宽度,该宽度范围为约90nm至约22nm或更小,例如低于90nm、65nm、50nm、45nm、32nm、22nm、16nm等。因为该无碳的含硅氮与氢层可流动,该层能够填充高深宽比的间隙,而不会在填充材料的中心周围建立空隙或脆弱缝线。例如,沉积可流动材料在完全填充之前,不太可能过早地阻塞间隙顶部,而在间隙中间留下空隙。
描述示范性氧化硅沉积系统期间,可引入额外的制程参数。
示范性氧化硅沉积系统
可实施本发明实施例的沉积腔室除其他类型的腔室外特别可包括高密度等离子体化学气相沉积(HDP-CVD)腔室、等离子体增强化学气相沉积(PE-CVD)腔室、次气氛压化学气相沉积(SACVD)腔室、与热化学气相沉积腔室。可实施本发明的实施例的CVD系统的特定范例包括CENTURA
Figure BDA0000457250520000101
HDP-CVD腔室/系统与
Figure BDA0000457250520000102
PECVD腔室/系统,此二者可购自美国加州Santa Clara的应用材料公司。
可与本发明的示范方法一并使用的基材处理腔室的范例可包括显示于及描述于具有共同受让人的Lubomirsky等人的美国临时专利申请案第60/803,499号中的该等腔室,该案于2006年5月30日提出申请,且发明名称为“PROCESS CHAMBER FORDIELECTRIC GAPFILL”,该案全文在此并入作为参考,以供所有目的之用。额外的示范性系统可包括显示于及描述于美国专利第6,387,207号与第6,830,624号中的该等系统,该等专利的全文亦在此并入作为参考,以供所有目的之用。
沉积系统的实施例可结合至较大的制造系统,以生产集成电路芯片。图3显示根据所揭露的实施例的一个此类沉积、烘烤及固化腔室的系统300。在该图中,一对FOUP(前开式晶圆盒)302供给基材(例如300mm直径的晶圆),在该等基材放进基材处理腔室308a-f之一者前,基材是由机械手臂304接收并且放置到低压固持区域306。第二机械手臂310可用于从低压固持区域306传输基材晶圆至基材处理腔室308a-f并且往回传输。
基材处理腔室308a-f可包括一或多个用以在基材晶圆上沉积、退火、固化及/或蚀刻可流动介电层的系统部件。在一个配置方式中,两对处理腔室(例如,308c-d及308e-f)可用于沉积可流动介电材料于基材上,而第三对处理腔室(例如,308a-b)可用于退火沉积的介电质。在另一配置方式中,相同的两对处理腔室(例如308c-d及308e-f)可经装设以在基材上沉积及退火可流动介电层,同时第三对腔室(例如308a-b)可用于UV或电子束固化沉积的层。另一配置方式中,所有三对腔室(例如308a-f)可经装设以于基材上沉积及固化可流动的介电层。尚有另一配置方式,两对处理腔室(例如308c-d及308e-f)可用于沉积及以UV固化或电子束固化可流动介电质,同时第三对腔室(例如308a-b)可用于退火介电层。所述制程的任一者或多者可在与不同实施例中所示的制造系统分开的腔室上执行。
此外,一个或更多个基材处理腔室308a-f可被装设成湿式处理腔室。该等制程腔室包括在含水分(moisture)的气氛下加热该可流动介电层。因此,系统300的实施例可包括湿式处理腔室及退火处理腔室,以在沉积的介电层上执行湿式及干式退火二者。
图4A是根据所揭露的实施例的基材处理腔室400。远端等离子体系统(RPS)410可处理气体,随后该气体行进穿过气体入口组件411。在气体入口组件411中可见两个不同的气体供给通道。第一通道412搭载穿过远端等离子体系统(RPS)410的气体,而第二通道413绕过RPS410。在揭露的实施例中,第一通道412可用于制程气体而第二通道413可用于处理气体(treatment gas)。图中图示盖(或导电的顶部部分)421以及穿孔隔件(或喷头)453之间有一绝缘环424,该绝缘环使AC电位得以相对于喷头453施加到盖421。制程气体行进穿过第一通道412进入腔室等离子体区域420,且可单独在腔室等离子体区域420中(或者与RPS410相结合)的等离子体里受到激发。在此腔室等离子体区域420及/或RPS410的结合可指远端等离子体系统。穿孔隔件(也称为喷头)453将腔室等离子体区域420分隔喷头453下方的基材处理区域470。喷头453使等离子体得以存在于腔室等离子体区域420中,以避免直接于基材处理区域470中激发气体,同时依然使激发的物种得以从腔室等离子体区域420行进至基材处理区域470。
喷头453定位在腔室等离子体区域420与基材处理区域470之间,且使等离子体流出物(前驱物或其他气体的受激发的衍生物)在腔室等离子体区域420产生,而穿过多个横穿板厚的透孔(through hole)456。喷头453亦具有一或多个中空空间451,该空间可被蒸气或气态形式的前驱物(诸如含硅前驱物)填充,并且穿过小孔洞455进入基材处理区域470但不直接进入腔室等离子体区域420。在此揭露的实施例中,喷头453比透孔456的最小直径450的长度还厚。为了维持受从腔室等离子体区域420穿透至基材处理区域470的受激发物种具显著浓度,可通过形成透孔456的较大的直径部分使该较大的直径部分穿过喷头453达某一程度(part way),而限制透孔最小直径450的长度426。在所揭露的实施例中,透孔456的最小直径450的长度可与透孔456的最小直径相同数量级,或者为较小的数量级。
在所示的实施例中,一旦制程气体受到腔室等离子体区域420中的等离子体激发,喷头453可(通过透孔456)分配制程气体,该等制程气体含有氧、氢及/或氮,及/或此类制程气体的等离子体流出物。在实施例中,通过第一通道412导入RPS410中及/或腔室等离子体区域420中的制程气体可含有氧(O2)、臭氧(O3)、N2O、NO、NO2、NH3、包括N2H4的NxHy、甲硅烷、乙硅烷、TSA及DSA的一者或多者。该制程气体亦可包括诸如氦气、氩气、氮气(N2)等之类的载气。第二通道413亦可传递制程气体及/或载气,及/或层固化气体(例如O3),该层固化气体用于从生长中的层或刚沉积的层中移除非期望的成分。等离子体流出物可包括制程气体的离子化或中性衍生物,且在此等离子体流出物亦可指自由基氧前驱物及/或自由基氮前驱物,前述二前驱物所指的是所导入的制程气体的原子的组分。
在实施例中,透孔456的数量可介于约60个至约2000个之间。透孔456可具有多种形状,但最容易做成圆形。在所揭露的实施例中,透孔456的最小直径450可介于约0.5mm至约20mm之间,或介于约1mm至约6mm之间。在选择透孔的截面形状上,亦有范围,截面可做成锥形、圆柱形或该二种形状的组合。不同实施例中,用于将气体导进处理区域470的小孔洞455数目可介于约100至约5000之间,或介于约500至约2000之间。小孔洞455的直径可介于约0.1mm至约2mm之间。
图4B是根据所揭示的实施例与处理腔室一并使用的喷头453的底视图。喷头453对应图3A中所图示的喷头。透孔456被绘成在喷头453底部处具有较大的内径(ID),而在顶部处具有较小的ID。小孔洞455实质上在喷头表面上均匀分布,甚至分布在透孔456之间,相较于此述的其他实施例,这种分布方式助于提供更均匀的混合。
当穿过喷头453中的透孔456抵达的等离子体流出物与源自中空空间451穿过小孔洞455抵达的含硅前驱物结合时,在基材处理区域470内示范性的层建立在由底座(图中未示)支撑的基材上。虽可将基材处理区域470装配成支持等离子体以供诸如固化之类的其他制程所用,然而在生长示范性层期间无等离子体存在。
等离子体既可在喷头453上方的腔室等离子体区域420中点燃,亦可在喷头453下方的基材处理区域470点燃。等离子体存在于腔室等离子体区域420中,以从含氮与氢的气体的流入中产生自由基氮前驱物。于处理腔室的盖中形成的导电顶部部分421及喷头453之间施加一般在射频(RF)范围的AC电压,以在沉积期间于腔室等离子体区域420中点燃等离子体。RF电源供应器产生13.56MHz的高RF频率,但亦可产生单独其他频率或者与13.56MHz频率结合的频率。
当于第二固化阶段开启基材处理区域470中的底部等离子体或该底部等离子体清洁接壤基材处理区域470的内部表面时,顶部等离子体可处于低功率或无功率。通过在喷头453及底座(或腔室底部)之间施加AC电压,而点燃基材处理区域470中的等离子体。清洁气体可在等离子体存在时导入基材处理区域470。
底座可具有热交换通道,热交换流体流过该热交换通道中以控制基材温度。此配置方式使基材温度得以冷却或加热,以维持相对低的温度(从室温直到约120℃)。热交换流体可包含乙二醇与水。底座的晶圆支撑浅盘(较佳为铝、陶瓷或前述材料的组合)亦可被电阻式加热以达成相对高的温度(从约120℃直到约1100℃),此加热是通过使用嵌入式单回圈嵌入的加热器元件达成,该元件设以造成平行的同心圆形式的两个完整回转。加热器元件的外部可绕于邻接支撑浅盘的周边处,同时内部绕于具有较小半径的同心圆的路径上。至加热器元件的配线穿过底座的心柱。
基材处理系统是由系统控制器控制。在一示范性实施例中,系统控制器包括硬盘驱动器、软盘驱动器及处理器。处理器含有单板电脑(SBC)、模拟数字输入/输出板、介面板及步进马达控制板。CVD系统的各部件符合Versa Modular European(VME)标准,该标准界定电路板、介面卡插件箱(card cage)以及连结器尺寸与类型。VME标准亦界定总线结构为具有16比特数据总线或24比特地址总线。
系统控制器控制所有沉积系统的活动。系统控制器执行系统控制软件,该软件是储存在电脑可读媒体中电脑程序。该媒体较佳为硬盘,但该媒体也可以是其他种类的存储器。电脑程式包括指令集,该等指令集指示时间、气体混合、腔室压力、腔室温度、RF功率层级、基座位置及其他特殊制程参数。储存在其他存储器元件(其他存储器元件包括例如软盘或其他适合的驱动器)上的其他电脑程序亦可用于指示系统控制器。
可使用由系统控制器执行的电脑程序产品实施用于在基材上沉积层堆迭(例如依序沉积无碳的含硅氮与氢层而随后沉积氧化硅覆盖层)、将层转换成氧化硅的制程或者用于清洁腔室的制程。电脑程序代码可用任何习知电脑可读的编程语言撰写,例如68000汇编语言、C、C++、Pascal、Fortran或其他程式语言。使用习知的文本编辑器将适合的程序代码编入单一文件或多个文件,并且储存或收录于电脑可使用媒体(如电脑的存储器系统)。倘若输入的代码文本是高级语言,则编译该代码,而所得的编译代码随后与预先编译的Microsoft
Figure BDA0000457250520000141
函式库例程的目标码连结。为了执行该连结、编译的目标码,系统使用者援用该目标码,使电脑系统载入存储器中的代码。CPU随后读取并且执行该代码,以操作程序中标识的任务。
使用者与控制器之间的介面通过平板接触感应显示器。在较佳实施例中,使用两个显示器,一个安装在清洁室壁以供操作者使用,另一个在壁后以供维修技术人员使用。两个显示器可同时显示相同信息,该情况中,一次仅有一个接受输入。为了选择特殊的屏幕或功能,操作者接触该接触感应显示器的指定区域。接触区域改变该区域的强调色彩,或呈现新的选单或屏幕,以确认操作者和接触感应显示器之间的沟通。取代接触感应显示器,或者是除了接触感应显示器之外,可使用其他装置,例如键盘、滑鼠或其他指示或沟通装置,以让使用者与系统控制器沟通。
在此所使用的“基材”可为具有(或不具有)形成在上面的多个层的支撑基材。该支撑基材可为有各种掺杂浓度及掺杂轮廓的绝缘体或半导体,可例如为用在积体电路制造上的该类型的半导体基材。“氧化硅”层可包括次要浓度的其他元素组份,诸如氮、氢、碳与类似元素。在一些实施例中,氧化硅基本上由氧与硅构成。“前驱物”的用语是用于指任何参与反应从表面移除材料或沉积材料在表面上的制程气体。处于“激发态”的气体描述其中至少有一些气体分子处于振动型式的激发、解离及/或离子化的状态的气体。气体(或前驱物)可以是两种或更多种气体(或前驱物)的组合。“自由基前驱物”是用于描述参与反应从表面移除材料或沉积材料在表面上的等离子体流出物(离开等离子体、处于激发态的气体)。“自由基氮前驱物”是一种含有氮的自由基前驱物,而“自由基氢前驱物”是一种含有氢的自由基前驱物。“惰气”一词是指在蚀刻或被并入层中时不形成化学键结的任何气体。示范性的惰气包括稀有气体,但可包括其他气体,只要当(一般而言)在层中补捉到痕量的该气体时不形成化学键结即可。
全文中所用的“沟槽”(trench)的用语并非暗指经蚀刻的几何形状具有大的水平尺寸比(horizontal aspect ratio)。从表面上方所视,沟槽可显现圆形、卵形、多边形、矩形或各种其他形状。“通孔”(via)的用语用于指低深宽比沟槽,该通孔可或可不被金属填充而形成垂直的电连接。如在此所用,共形层指的是表面上与该表面形状相同的大体上均匀的材料层,即,该层的表面与受覆盖的表面大体上平行。此技术领域中具通常知识者将了解沉积的材料可能不会100%共形,而因此“大体上”一词允许可接受的容忍值。
已在此描述数个实施例,发明所属技术领域中具有通常知识者应知可使用多种修饰例、替代架构与等效例而不背离所揭露的实施例的精神。此外,说明书中不描述多种习知制程与元件,以避免不必要地混淆了本发明。故,上文中的描述不应被视为对本发明范畴的限制。
当提供一范围的数值时,除非文本中另外清楚指明,应知亦具体揭露介于该范围的上下限值之间各个区间值至下限值单位的十分之一。亦涵盖了所陈述数值或陈述范围中的区间值以及与陈述范围中任何另一陈述数值或区间值之间的每个较小范围。这些较小范围的上限值与下限值可独立地被包含或排除于该范围中,且其中在该较小范围内包含任一个极限值、包含两个极限值,或不含极限值的各范围也涵盖于本发明内,取决于在该陈述的范围中的任何特别排除的限制。在所陈述的范围包括极限值的一者或两者之处,也包括该些排除其中任一者或两者被包括的极限值的范围。
在此与如附权利要求书中所使用的单数形式“一”与“该”等用语也包括复数形式,除非文本中另外清楚指明。因此,举例而言,“一种制程”所指的制程包括多个此类制程,而“该前驱物”所指的包括一或多种前驱物以及该领域技术人士所熟知的该等材料的等效例等。
同样,申请人希望此说明书与下述申请专利范围中所用的“包括”与“包含”等用语是指存在所陈述的特征、整体、部件或步骤,但该等用语不排除存在或增加一或多种其他特征、整体、部件、步骤、动作或群组。

Claims (18)

1.一种在基材上形成介电层的方法,所述方法包括下述依序的步骤:
在含有所述基材的第一基材处理区域中,于所述基材上形成无碳的含硅氮与氢层,此步骤通过下述步骤完成:
将无激发的前驱物流进远端等离子体区域以产生自由基前驱物;
在所述第一基材处理区域中将无碳的含硅前驱物与所述自由基前驱物结合,其中在形成所述无碳的含硅氮与氢层的操作期间所述第一基材处理区域无等离子体;以及
沉积无碳的含硅氮与氢层于所述基材上,其中所述无碳的含硅氮与氢层在沉积期间可流动;以及
在所述无碳的含硅氮与氢层上形成氧化硅覆盖层,此步骤通过下述步骤完成:
将含氧前驱物流进含有所述基材的第二基材处理区域;
将含硅前驱物流进所述第二基材处理区域;
在所述第二基材处理区域中由所述含氧前驱物与所述含硅前驱物形成等离子体;以及
沉积所述氧化硅覆盖层于所述无碳的含硅氮与氢层之上。
2.如权利要求1所述的方法,其特征在于,在形成所述无碳的含硅氮与氢层期间,所述基材的温度在约25℃或大于25℃至约125℃或小于125℃之间。
3.如权利要求1所述的方法,其特征在于,进一步包含:在含氧气氛中退火所述介电层,以将所述无碳的含硅氮与氢层转换成氧化硅层。
4.如权利要求3所述的方法,其特征在于,所述退火操作发生在形成所述氧化硅覆盖层之后。
5.如权利要求3所述的方法,其特征在于,所述退火操作发生在形成所述无碳的含硅氮与氢层与形成所述氧化硅覆盖层之间。
6.如权利要求1所述的方法,其特征在于,所述基材温度在形成所述氧化硅覆盖层期间为约200℃或更低。
7.如权利要求1所述的方法,其特征在于,所述氧化硅覆盖层的厚度为约10nm或更厚。
8.如权利要求1所述的方法,其特征在于,所述无激发的前驱物包含氮,且所述自由基前驱物是自由基氮前驱物。
9.如权利要求1所述的方法,其特征在于,所述无激发的前驱物包含N2H2、NH3、N2与H2中的至少一者,且所述无碳的含硅前驱物包含H2N(SiH3)、HN(SiH3)2、或N(SiH3)3中的一者。
10.如权利要求1所述的方法,其特征在于,所述第一基材处理区域是所述第二基材处理区域。
11.如权利要求1所述的方法,其特征在于,在所述第二基材处理区域中由所述含氧前驱物与所述含硅前驱物形成等离子体的步骤包含以下步骤:施加约1000W或更低的等离子体功率。
12.一种在基材上形成介电层的方法,所述方法包括下述依序的步骤:
在含有所述基材的第一基材处理区域中,于所述基材上形成无碳的含硅氮与氢层,此步骤通过下述步骤完成:
将无激发的前驱物流进一远端等离子体区域以产生自由基前驱物;
在所述第一基材处理区域中将无碳的含硅前驱物与所述自由基前驱物结合,其中在形成所述无碳的含硅氮与氢层的操作期间所述第一基材处理区域无等离子体;以及
沉积无碳的含硅氮与氢层于所述基材上,其中所述无碳的含硅氮与氢层在沉积期间可流动;以及
处理所述无碳的含硅氮与氢层,以将所述无碳的含硅氮与氢层的一部分转换成氧化硅覆盖层,此步骤通过下述步骤完成:
将含氧前驱物流进含有所述基材的第二基材处理区域;
在所述第二基材处理区域中由所述含氧前驱物形成等离子体;以及
将所述无碳的含硅氮与氢层的一部分转换成所述氧化硅覆盖层。
13.如权利要求12所述的方法,其特征在于,在形成所述无碳的含硅氮与氢层期间,所述基材的温度在约25℃或大于25℃至约125℃或小于125℃之间。
14.如权利要求12所述的方法,其特征在于,进一步包含以下步骤:在含氧气氛中退火所述介电层,以将所述无碳的含硅氮与氢层转换成氧化硅层。
15.如权利要求14所述的方法,其特征在于,所述固化操作发生在处理所述无碳的含硅氮与氢层之后。
16.如权利要求14所述的方法,其特征在于,所述固化操作发生在形成所述无碳的含硅氮与氢层与处理所述无碳的含硅氮与氢层之间。
17.如权利要求12所述的方法,其特征在于,所述氧化硅覆盖层的厚度为约50nm或更厚。
18.如权利要求12所述的方法,其特征在于,在所述第二基材处理区域中由所述含氧前驱物与所述含硅前驱物形成等离子体的步骤包含以下步骤:施加约1000W或更低的等离子体功率。
CN201280035181.0A 2011-07-15 2012-06-28 用于减少脱气的表面处理及沉积 Pending CN103688345A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161508355P 2011-07-15 2011-07-15
US61/508,355 2011-07-15
US13/494,341 2012-06-12
US13/494,341 US9404178B2 (en) 2011-07-15 2012-06-12 Surface treatment and deposition for reduced outgassing
PCT/US2012/044679 WO2013012536A2 (en) 2011-07-15 2012-06-28 Surface treatment and deposition for reduced outgassing

Publications (1)

Publication Number Publication Date
CN103688345A true CN103688345A (zh) 2014-03-26

Family

ID=47558659

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280035181.0A Pending CN103688345A (zh) 2011-07-15 2012-06-28 用于减少脱气的表面处理及沉积

Country Status (5)

Country Link
US (1) US9404178B2 (zh)
KR (1) KR102011079B1 (zh)
CN (1) CN103688345A (zh)
TW (1) TW201310529A (zh)
WO (1) WO2013012536A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113629210A (zh) * 2021-07-27 2021-11-09 深圳市华星光电半导体显示技术有限公司 封装结构、显示面板及显示面板的制作方法
CN115584491A (zh) * 2017-02-08 2023-01-10 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基聚硅氧烷

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2015053121A1 (ja) * 2013-10-10 2015-04-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6761807B2 (ja) * 2015-02-23 2020-09-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
WO2018052477A2 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
US10332739B2 (en) 2016-09-16 2019-06-25 Applied Materials, Inc. UV radiation system and method for arsenic outgassing control in sub 7nm CMOS fabrication
US10811251B2 (en) * 2016-09-30 2020-10-20 Intel Corporation Dielectric gap-fill material deposition
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法
US20210280451A1 (en) * 2020-03-04 2021-09-09 Applied Materials, Inc. Low temperature steam free oxide gapfill
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas

Family Cites Families (450)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
JP3044824B2 (ja) 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
TW297135B (zh) 1995-03-20 1997-02-01 Hitachi Ltd
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
KR100498834B1 (ko) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 절연 박막 제조용 코팅 조성물
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2004526318A (ja) 2001-03-23 2004-08-26 ダウ・コーニング・コーポレイション 水素化シリコンオキシカーバイド膜を生産するための方法
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
EP1602137A2 (en) 2003-03-04 2005-12-07 Dow Corning Corporation Organic light-emitting diode
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
WO2005069498A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
WO2006029388A2 (en) 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007008653A2 (en) 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
ATE437978T1 (de) 2006-04-03 2009-08-15 L Air Liquide Soc Anon A Direc Verfahren zur abscheidung von siliciumnitridfilmen und/oder siliciumoxidnitridfilmen mittels cvd
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
EP2122007A4 (en) 2007-02-27 2011-10-26 Sixtron Advanced Materials Inc METHOD FOR FORMING A FILM ON A SUBSTRATE
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) * 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
WO2013070436A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
SG11201505371UA (en) * 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9136273B1 (en) * 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115584491A (zh) * 2017-02-08 2023-01-10 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基聚硅氧烷
CN113629210A (zh) * 2021-07-27 2021-11-09 深圳市华星光电半导体显示技术有限公司 封装结构、显示面板及显示面板的制作方法
WO2023004839A1 (zh) * 2021-07-27 2023-02-02 深圳市华星光电半导体显示技术有限公司 封装结构、显示面板及显示面板的制作方法
CN113629210B (zh) * 2021-07-27 2024-06-11 深圳市华星光电半导体显示技术有限公司 封装结构、显示面板及显示面板的制作方法

Also Published As

Publication number Publication date
KR20140050059A (ko) 2014-04-28
WO2013012536A2 (en) 2013-01-24
WO2013012536A3 (en) 2013-03-14
KR102011079B1 (ko) 2019-08-14
US9404178B2 (en) 2016-08-02
US20130149462A1 (en) 2013-06-13
TW201310529A (zh) 2013-03-01

Similar Documents

Publication Publication Date Title
CN103688345A (zh) 用于减少脱气的表面处理及沉积
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
US7935643B2 (en) Stress management for tensile films
TWI516630B (zh) 自由基組成化學氣相沉積之原位臭氧硬化之方法
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
TW201308432A (zh) 降低釋氣的覆蓋層
CN103038868A (zh) 用于流动式cvd间隙填充的富含氧化物的衬垫层
CN103477422A (zh) 低温氧化硅转换
JP2013516763A (ja) フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
CN102668061A (zh) 后平坦化致密化
CN103348456A (zh) 自由基蒸汽化学气相沉积
JP2013545284A (ja) アミン硬化ケイ素−窒化物−水素化物膜
TW201127983A (en) Dielectric film formation using inert gas excitation
CN107833825A (zh) 掺杂氧的碳化硅膜的基于远程等离子体的沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140326