JPH08227859A - Cvd処理チャンバ - Google Patents

Cvd処理チャンバ

Info

Publication number
JPH08227859A
JPH08227859A JP7312652A JP31265295A JPH08227859A JP H08227859 A JPH08227859 A JP H08227859A JP 7312652 A JP7312652 A JP 7312652A JP 31265295 A JP31265295 A JP 31265295A JP H08227859 A JPH08227859 A JP H08227859A
Authority
JP
Japan
Prior art keywords
chamber
vacuum
substrate
support plate
wafer support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7312652A
Other languages
English (en)
Inventor
Jun Zhao
ツアオ ジュン
Tom Cho
チョ トム
Charles Dornfest
ドーンフェスト チャールズ
Stefan Wolff
ウルフ ステファン
Kevin Fairbairn
フェアバーン ケビン
Xin Sheng Guo
シェン グオ シン
Alex Schreiber
スケイバー アレックス
John M White
エム. ホワイト ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH08227859A publication Critical patent/JPH08227859A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 物質の堆積における不均一性や汚染ないし可
能性としての汚染に寄与する因子を取り除く。 【解決手段】 基板上方に360゜円形ガス/真空散布
を与えるプロセスチャンバが提供される。基板は加熱冷
却機能を有するペデスタル組立体上に支持される。基板
は、チャンバの真空環境の外のRF電力サプライに接続
されるガス散布フェイスプレートと対向する。プロセス
チャンバ内部面上の表面堆積の程度を観察する装置読み
出しを証明し確認するためにポンピングチャンネルビュ
ーポートが具備される。処理中にプラズマが存在する領
域内に面するチャンバ壁全てがセラミックとなっている
ので、高い腐食性が与えられる。陽極処理されない金属
製のペデスタルが、ペデスタルのウエハ支持面とウエハ
の同心性を維持するための位置決め具を有するセラミッ
クにより緩くフィットして覆われている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体産業に広く
用いられる真空処理チャンバの分野に関し、特に、プラ
ズマ励起化学気相堆積法(PECVD)プロセスを用い
て半導体基板上に誘電層、金属層又は半導体層を堆積さ
せるために用いられるCVD(化学気相堆積法)処理チ
ャンバに関する。
【0002】
【従来の技術】半導体ウエハ(又は基板)上に層を堆積
させるプロセスは通常、基板を加熱する工程と、基板の
方向へ流れプラズマ状態に励起されている堆積(プロセ
ス)ガスの流れのソースから短い距離に基板を保持する
工程を含んでいる。
【0003】ガスフローのパターンが不均一であれば、
ウエハ表面全体に堆積された被覆層の均一性に不要な変
化が生じる。
【0004】典型的には、プロセスチャンバから真空ポ
ンプに延長する排気ポート開口が、ガスフローパターン
の非対称性を生じさせる。対称性を回復させる試みとし
て、ウエハを囲むポンピングチャンネルの多くのデザイ
ンが提供されている。しかし、この多くの構成でも対称
性は可能ではなく、その理由は、ロボットアームがウエ
ハをチャンバ内へ通す際に通過するウエハ挿入開口等の
障害的構造により、ウエハを完全に包囲する事が不可能
だからである。このように機械的な非対称性を有するチ
ャンバでは、ウエハ上に望ましい高度なガスフローの対
称性を実現する事ができない。
【0005】チャンネルの構成並びに、処理されるべき
ウエハの中心附近に対称性が失われている事が、ウエハ
挿入取出開口やこれのチャンバへの出し入れの通路等の
他の構成や通路を排除するために、必要に基づいてオリ
フィスが置かれてデザインを強制することができる位置
に影響する。多くの場合、ポンピングチャンネルへのオ
リフィスはチャンバ内で、ガス散布板からポンピングチ
ャンネルへのガスフローの状態が理想的よりも劣る状態
を与える場所に配置される。基板表面に接触する分子の
数が最も大きいところほど、多くの堆積が生じ、これは
即ち真空アパーチャーの周囲のことである。このような
構成によって生じるプロセスガスフローは、ウエハ上に
堆積された物質の厚みに、小さいが測定可能な変動を生
じさせる。
【0006】基板上への堆積を促進するために、基板支
持ペデスタル(サセプタ)が加熱される。誘電堆積反応
が生じるための反応エネルギーを与えるために、加熱が
必要である。
【0007】
【発明が解決しようとする課題】CVD処理において
は、理想的には、注入されたプロセスガスが基板表面上
のみに堆積される。しかし、実際には、基板表面から逃
れる分子があり、これが基板表面以外のプロセスチャン
バの表面に堆積される。処理チャンバ内部の全てのチャ
ンバ表面は、堆積ガスの成分により被覆され易い。
【0008】処理チャンバ内部表面への表面堆積の蓄積
物が厚くなってきたときは、堆積物質のフレーク又は粒
子がチャンバ表面から処理される基板上へと落ちること
があり、欠陥を生じさせる可能性がある。この問題を防
止するため、処理チャンバの内部表面が、弗素ガスを用
いたエッチング(プラズマクリーニング)によりこれら
表面は定期的に洗浄され、堆積ガスにより堆積された誘
電物質が除去される。
【0009】チャンバ内部の表面被覆の状況を評価する
事は困難である。このことが、処理チャンバ内部表面上
の被覆の厚さがクリーニングの実施が必要な程度に増加
した時を検出する事を困難にしている。洗浄を要する最
適な点を決定することは、トライアルアンドエラーであ
り、これには、直接の測定又は観測よりも、過去のデー
タへの信頼性が必要となる。この最適化には、欠陥を生
じさせないでクリーニング間の時間をできるだけ長くす
ることが含まれている。クリーニングは、通常の製造処
理の障害となる。
【0010】サセプタ表面上の多孔的で場合により不均
一な陽極処理層が、ウエハの処理中及びケミカルエッチ
ング中に存在する苛酷な条件に反復的に暴露されるの
で、陽極処理アルミニウムのペデスタル表面上に腐食
や、摩滅や、小塊の成長がチャンバ内に生じる。更に、
サセプタ上の陽極処理や他の耐腐食性コーティングの品
質が変化すれば、サセプタにわたって不要な電気的及び
化学的(絶縁的)性質の相違を生じさせ、そのことが、
プラズマの不要な変化を生じさせる。そして、プラズマ
が変化すれば、ウエハ(基板)表面上に不均一な堆積が
生じる事となる。
【0011】また、むき出しのアルミニウム表面もサセ
プタに用いられるが、このような表面は弗素ガスに侵食
されて、弗化アルミニウム(AlF)膜が成長して、プ
ロセスパラメータをずらしてしまう。プロセスを復旧す
るために、サセプタ表面はしばしば廃棄される。弗化ア
ルミニウム膜はクラックや剥離が生じやすく、粒子汚染
を生じさせる。
【0012】チャンバと真空ポンプの間の真空ライン中
のある位置において、典型的には、真空遮断弁が与えら
れて、閉のときにプロセスチャンバの汚染の制限として
機能する。活性的なプロセスチャンバの高温条件下で気
相状態で維持される揮発性の汚染物は、処理チャンバの
処理領域からある距離をもった冷却真空パイプの壁面上
に不要に凝縮するが、真空弁により画された処理チャン
バの汚染制限の内部にも凝縮する。流れがない条件の間
は、これらの汚染物はチャンバ内の処理部分へ逆に移動
することがあり、汚染に不要に寄与してしまう。
【0013】従来のデザインにおいては、プロセスチャ
ンバ真空環境内の電気コネクタやねじ式機械止め具が、
汚染の別の発生源である。ねじ止め具を廻して締めるこ
とや、電気コネクタにアークを発生させる事により汚染
物が発生し、汚染物は基板への行程を通って基板を汚染
させるであろう。実際のプロセスの設定において汚染の
効果を最小にするために、プロセスチャンバを組み立て
直した後にしばしば用いられる手順は、処理チャンバ内
の条件が安定し、処理チャンバの分解及び再組立によっ
て導入された汚染物が全て除去されたことを測定が示す
まで、サンプルウエハの多数のセット(例えば10枚、
20枚ないし30枚)を処理することである。この手順
は、チャンバの再組立の後で通常の処理を開始するため
に要する時間を、不要に長くする。
【0014】物質の堆積における不均一性や汚染ないし
可能性としての汚染に寄与する因子を取り除くことが望
ましい。
【0015】
【課題を解決するための手段】本発明に従った装置及び
方法が、上述の従来技術の問題の多くを克服する。
【0016】360゜円形のポンピングチャンネル/プ
レナム(plenum)が、ウエハの位置の全周に与えられる。
ポンピングチャンネルは、処理チャンバ内のある空間内
に形成され、特に、チャンバのリッドの底部側部の外縁
と下チャンバボディの内縁の頂部の間に形成される。円
形のポンピングチャンネルは、マニホールド(即ち、等
圧の通路)を与えて、処理チャンバの附近のプロセスガ
スをチャンバの片側の単一の真空接続部に通じさせる。
このことは、プロセスチャンバを部分的にしか包囲して
いない従来技術のポンピングチャンネルとは対称的であ
る。
【0017】処理チャンバのプラズマ空間から排気プレ
ナム(ポンピングチャンネル)へのプロセスガスの通路
は、プロセスチャンバ全周の連続的な均一の円形ギャッ
プ(スロット)を通過する。スロット(ガスフローチョ
ーキングオリフィス/スロット)は、プロセスチャンバ
下ボディとプロセスチャンバリッドの間に形成される。
ガスフェイスプレートに均一に分配されたオリフィスを
介して処理チャンバ内への向きが与えられたプロセスガ
スは、スロットギャップを介してチャンバの縁部から均
一に脱気される。このスロットギャップは、処理される
べき基板の全部の周囲の基板のエッジから均一な所定の
距離で配置され、堆積される物質の厚さの最大の均一性
を促進する。
【0018】処理チャンバの内面上への物質の蓄積の状
態(厚さを含む)は、チャンバの外側からウィンドウの
内側の物質堆積を見ることにより、直接観測することが
できる。チャンバ内のプラズマは、ウィンドウを介して
間接的に見ることができる。このウインドウは、円形の
排気プレナムから真空システムへのプロセスガスフロー
が集積するポイントに隣接して配置される。真空ダクト
の横側部分を見るようにウインドウは配置され、プロセ
スガスが排気プレナムから真空システムへ引かれている
時、下流の真空遮断弁に到達する以前に、プロセスガス
はウィンドウが固定されるビューウィンドウポートの前
を通過する。
【0019】陽極処理がなされていない金属の加熱ペデ
スタル/サセプタ(ウエハ支持プレート)が具備され
て、処理されるべきウエハを支持する。ペデスタルの構
造は、陽極処理等の表面処理の変化によるインピーダン
ス等の電気的特性を変化させずに、自身の全幅にわたっ
て均一な接地ポテンシャルを与える。また、比較的スム
ーズな仕上の露出した金属表面をベークアウトするに要
する時間と比較して、この構造は、表面処理により生じ
た多孔表面を効果的に脱気するに要する特別のベークア
ウトの時間を排除する。
【0020】ペデスタルのウエハ支持プラタ(皿)(好
ましくはアルミニウム)は、平行な同心円をなすように
構成されるダブルフルターンシングルループ埋め込みヒ
ータ要素を用いて加熱される。このヒータ要素の外側部
分は支持プラタの外周に隣接して通され、内側部分は小
さな半径を有する方の同心円の軌跡上に通される。ヒー
タ要素の電熱線は、ペデスタルのステムを通過する。
【0021】別の構成でも、ウエハ支持プラタは埋め込
み冷却管により必要に応じて冷却される。冷却管は、メ
ンテナンスを行う前に行うペデスタルの冷却の促進を補
助する。冷却管は、ヒータ要素の軌跡と同心な円の軌跡
にそったループに通される。
【0022】ペデスタルは、中央の中空ステムによって
処理チャンバ内に支持される。導体が熱電対と共に、コ
アを通過してウエハ支持プラタ内の埋め込みヒータ(ペ
デスタルヒータ)まで通されて、ペデスタルヒータ温度
を感知し、また、所望により、このコアに冷却管が通さ
れる。ステムへの真空シールが、ステムの底端部の外縁
に形成される。また、ステムの底端部は大地に接続され
る。
【0023】処理されていない金属サセプタの腐食を防
止するため、ペデスタルの頂部は、ゆるくフィッティン
グされるが正確に配置されるセラミックライナによって
覆われている。ペデスタルヒータライナの中心頂部は、
1つの材料、好ましくは、高い熱伝導率を有する窒化ア
ルミニウムセラミックカバーライナであり、処理される
ウエハの温度を上昇させるために、窒化アルミニウムを
介して、加熱されたペデスタルの熱エネルギーが移動可
能なようにしている。ペデスタルヒータライナの外周エ
ッジは「L」字型の酸化アルミニウムセラミックのスカ
ートであり、これは、ペデスタルヒータの外側の上側外
縁をPECVDプロセス中に腐食から保護する。
【0024】処理されるウエハをプラタの中心の位置に
維持することは、接線方向に制限されるが放射方向には
スライドしてスロットフィットする固定中心ジョイント
を用いれば、ペデスタルプラタ(アルミニウム製)とこ
れを覆うライナ(セラミック製)の熱膨張係数が異なっ
ている場合でも可能となる。ペデスタルは開口を貫通す
るリフトピンと沈み穴キャビティを有している。この沈
み穴キャビティは、ウエハリフトピンのシャフトと端部
をガイドし保持するため、ライナの表面の皿穴型窪みを
有する下向き延長中空鋲を有するセラミックカバーライ
ナを受容する(総称的に保持要部として知られてい
る)。中心下向き延長非中空鋲は、カバーライナの中心
とペデスタルの中心の間の中心留め具として機能する。
下向き延長中空鋲のうちの1つは、接線方向に制限され
るが、自身の位置とペデスタルの中心の間の線に沿って
放射方向にスライドすることができる。その他の下向き
延長中空鋲は、プロセス温度の範囲中の熱膨張の差によ
り部品の間の妨害を防止するペデスタル上のそれぞれの
位置にある大きな沈み穴内に配置される。
【0025】ペデスタルは、3角形に配置された3つの
調整可能なねじ結合部を介して、連結器支持板に支持さ
れている。この連結器は、ペデスタルの姿勢の調整を可
能にし、ウエハ支持体のフェイスを、処理チャンバ内の
ガス散布フェイスプレートと平行にする。
【0026】処理中にプラズマが存在する領域を包囲す
る処理チャンバの壁面は、セラミック誘電体で覆われて
おり、そのため、チャンバの金属壁面をプラズマ暴露の
悪影響から保護し、また、チャンバ壁面の大地電位を1
次電極(ガス散布フェイスプレート)から遠くに離すの
で、処理されるウエハの外縁の半径を越えて、均一かつ
安定なプラズマの発生を促進する。
【0027】ウエハが適所にあるときは、カバーライニ
ングの略均一な厚さは1次RF電極(ガス散布フェイス
プレート)から大地(ペデスタル)への電気通路に対し
て略均一なインピーダンスを与え、この均一な大地への
インピーダンスは、場所の間でのプラズマの質の差によ
る不均質な堆積を防止する。
【0028】処理中にプラズマが存在する場所は、ガス
散布フェイスプレートを除いて、プラズマの影響を受け
にくいセラミックの部品で包囲されている。ペデスタル
を包囲するセラミックライナの頂部は、プロセスチャン
バのリッド内のセラミックアイソレータリングの底面の
反対側でこれと短い距離をおいて配置される。この短い
距離は、連続的円形360゜スロットのギャップであ
り、これを介して、プロセスガスが360゜円形ポンピ
ングチャンネル(排気プレナム)に引かれる。ペデスタ
ルを包囲するプロセスチャンバの壁面は、処理されるウ
エハを支持するペデスタルヒータのエッジに近接してい
る。セラミックライナ、即ち一連のセラミックリング
は、プラズマの位置に隣接した壁面だけを覆っている。
これらのリングは、他のセラミックの部品と同様に、適
切にクリーニング及び/又は交換のために容易に除去が
可能である。
【0029】処理チャンバ下側ボディは、排気プレナム
の横側延長部分から下側弁ボディ内部にフィットされる
真空遮断弁へ続く真空通路を有し、下側ボディ内に真空
遮断弁シートを有するように構成されているので、プロ
セスチャンバへの真空が遮断された場合は、プロセスチ
ャンバの弁シートシールと、ペデスタルヒータを包囲す
るプロセスチャンバの空間との間に非常に短い通路が存
在することになり、これは汚染されるようになり、ま
た、処理中及び処理の前後に汚染物が捕捉されてしま
う。チャンバボディに真空弁を有することにより、チャ
ンバボディが加熱されれば、チャンバボディ内の真空弁
よりも先の全ての真空通路も加熱される。この構成によ
り、真空弁の上流の真空通路の表面上に汚染物が凝縮
し、弁が閉のとき処理チャンバ内に逆流する可能性は減
少されあるいは排除される。
【0030】プロセスチャンバ内でRF表面接触のアー
クによる汚染は、処理チャンバの真空シールを通過して
処理チャンバの外側のRF電力ソースに接続される1片
のガス散布板を与えることにより排除され、アークが生
じたとしても処理チャンバの内部で生じない。処理チャ
ンバのリッドは、1片のオープントップの中空のフラン
ジを有するシリンダの形状のガス流入分散板を有し、こ
れは、中空シリンダの内側でリッドに面するフランジ部
の底部上のリッドをシールする。RF電力の接続がガス
散布板のフランジの頂部になされれば、PECVDプロ
セスにおいて処理チャンバ内に汚染物を発生させること
がある従来技術において知られている「インバキュー
ム」接続部は存在しなくなる。
【0031】本発明に従った処理チャンバの構成は、そ
の限界が、ガス散布フェイスプレートの外側から始ま
り、チャンバの真空シール及び真空システム遮断弁のシ
ールまで延長する、処理チャンバの境界の内側にねじ式
の留め具を与えない。ペデスタルリフトの留め具は、処
理チャンバの全ての外側にある。チャンバ内に真空が一
旦存在することになれば、外側の雰囲気圧力は、処理チ
ャンバの積み重ねられた一連の層に対して真空シールを
維持するに要する力を与える。
【0032】
【発明の実施の形態】
1.堆積チャンバの概要 図1及び図3には、本発明に従った堆積プロセスチャン
バの好ましい具体例の断面図が示される(図7のチャン
バリッドのない分解図も参照)。プロセスチャンバ内部
の中心に置かれるヒータペデスタル136は、ペデスタ
ルの平坦な(又はわずかに円錐型な)円形フェイス14
5上のウエハ処理位置で、ウエハ又は基板(図示され
ず)を支持する。リフト機構(図3)143は、ヒータ
ペデスタル組立体135及びウエハリフトピン162を
上昇下降させ、同時に、ウエハはチャンバの側部の挿入
/取出開口142を介してロボットブレードによりチャ
ンバのボディの内外に移送される。
【0033】ペデスタルフェイス145は、チャンバ内
にプロセスガスが進入する際に通過するプロセスガス散
布フェイスプレート122と平行であり且つ近接した間
隔をもっている。RF電力サプライ(図示されず)はガ
ス散布フェイスプレート122とペデスタルの間に電力
を印加し、プロセスガス混合物を励起させて、フェイス
プレートとペデスタルの間の円筒状空間内にプラズマを
形成する。プラズマの成分が反応して、ペデスタルのフ
ェイス145上に支持された半導体ウエハの表面上に、
所望の膜を堆積させる。ガス混合物の残りの部分は、反
応性の物質を含んでいるが、真空ポンプ(図示されず)
によってチャンバから排出される。
【0034】アルミニウムのペデスタル136及びチャ
ンバの円筒状側壁265は、セラミック材料(リング2
36,234)によって覆われ、腐食に抵抗し、プラズ
マにより遭遇するインピーダンスの程度を、RF回路
(図示されず)がその回路を大地電位に完結させるため
に求めているように、調節する。ウエハ全体へのガス散
布の均一性と、チャンバ133及びその対称的なライナ
(236,234)は、チャンバ内のプラズマを更に均
一にかつ安定にすることを促進して、処理されるウエハ
上へ堆積される物質の厚さの均一性の改善に寄与する。
【0035】チャンバ内部の可動部品全てをべローズ2
67,268を介して操作することにより、チャンバ内
部の汚染のソースを減少又は排除される。チャンバ内に
含まれる真空の内側でのアークによる汚染は、RF電力
接続部を真空環境の外側に移動させ真空シールの雰囲気
側部に移動させることにより排除される。また、ねじ式
接続部により発生する粒子からの汚染も、ガス散布フェ
イスプレートのフェイス及びチャンバ133の真空シー
ルによって画された境界内部のねじ式接続部を除去する
ことにより、防止される。チャンバ表面内部上の堆積物
の蓄積を直接観察し、この蓄積が汚染物を剥離させるに
充分大きくなる前にクリーニングのサイクルを予定する
ことを可能にすることにより、チャンバ壁面上への過剰
堆積物のフレークの蓄積による汚染は最小になりあるい
は排除される。処理チャンバ排気プレナムから真空ポー
ト226へのガスフローが収斂する領域に位置するビュ
ーポート232を覆って、単結晶サファイアウィンドウ
230が配置される。ガスフローが収斂する領域では、
最も顕著な堆積物質の蓄積が生じる。
【0036】2.排気マニホールド 上述の如く、堆積プロセス中では、半導体ウエハ(図示
されず)はペデスタル136のフェイス145上に支持
される。ペデスタルフェイス145は、チャンバ内にプ
ロセスガスが進入する際に通過する開口を有するプロセ
スガス散布フェイスプレート122に対して、平行であ
り且つ近接した間隔をもっている。特に、チャンバ内に
流入する堆積プロセスガスは、流入マニホールド126
を通り(矢印123で指示)、従来からの穴開きブロッ
カプレート124を通り、従来の平坦で円形のガス散布
フェイスプレート122を通って流れる(図1及び2の
小矢印144で指示)。RF電力サプライ(図示され
ず)が、ガス散布フェイスプレート121とペデスタル
に電力を印加し、プロセスガス混合物を励起して、フェ
イスプレートとペデスタルの間の円筒形の領域にプラズ
マが発生する(この領域を「反応領域」と称することと
する)。プラズマの成分が反応して、ペデスタルのフェ
イス145の上に支持された半導体ウエハの表面上に所
望の膜を堆積させる。ガス混合物の残りの部分は、反応
性の物質を含んでいるが、真空ポンプ(図示されず)に
よってチャンバから排出される。
【0037】特にガスは、反応領域を放射方向に充分長
く充分に包囲する環状でスロット形状のオリフィス13
1を介して、環状の排気プレナム222へと排気され
る。環状のスロット131とプレナム222は、チャン
バの円筒状側壁134の頂部(壁面状の上側誘電ライニ
ング234を含む)と、円形チャンバリッド221の底
部(リッド221とガス散布プレート121の外縁フラ
ンジ125の間の誘電絶縁体(アイソレータ)120を
含む)の間のギャップによって画される。下記に更に詳
細に説明するがスロットオリフィス131とプレナム2
22の360゜円状の対称性と均一性は、ウエハ上に均
一な膜を堆積するようにウエハ上方にプロセスガスの均
一な流れを得るために重要である。
【0038】ガスは、排気プレナム222から排気プレ
ナムの横側延長部分245(図2)の下側を流れ、ビュ
ーポート232を通過し、下向き延長ガス通路239を
通って真空遮断弁240(この本体は、下側チャンバボ
ディ134と一体になっている)を通過して、外部の真
空ポンプ(図示されず)に接続される排気排出口226
内に流れる。
【0039】図10は、図1での、スロットオリフィス
131の面を通りウエハ184(局部透視図で示され
る)を見下ろす10−10の部分の断面図であり、ペデ
スタルのエッジとウエハ184を完全に包囲している排
気プレナム222が示される。矢印223は、ガス散布
プレートから排気プレナム222へのプロセスガスフロ
ーが均一且つ対称的であることを示している。この均一
なガスフローパターンは、2つの重要なデザイン上の特
徴による結果である。
【0040】第1のデザイン上の特徴は、スロット13
1と排気プレナム222が円形の対称性を有し、スロッ
ト131はウエハ184の外縁又はペデスタル136の
外縁から均一に間隔をおいて配置される。例示される好
ましい具体例では、直径8インチ(203.2mm)の
処理ウエハに対して設計されるものであり、ペデスタル
直径は10.3インチ(261.6mm)で、スロット
オリフィス131の内径は10.5インチ(267m
m)である。
【0041】第2のデザイン上の特徴は、プレナムから
真空ポンプへの流出口239により通常は形成されてし
まう非対称性を克服したことである。従来からのデザイ
ンでは、プレナムの、流出口239に最も近い部分と最
も遠い分の間の圧力差が、非均一なプロセスガスのフロ
ーパターンを形成する傾向があり、特に、流出口239
に最も近いウエハ184の上方の領域でガスフローの速
度が最も高くなる傾向がある。従来のデザインでは、こ
の傾向は、真空ポンプ流出口に最も近いプレナムの部分
に、もっと小さなオリフィスをもっと少なく与えること
により補償されるべきである。その結果の幾何的な関係
は、完全に均一なものではない。
【0042】我々の発明は、軸方向に充分狭く放射方向
に充分長いスロット131を形成し、プレナム222内
部の圧力差よりも大きな圧力降下をスロットの端から端
までに与えることにより、対称的且つ均一な幾何的関係
を可能にする。スロットのはしから端までの圧力降下は
できるだけ大きな方が好ましく、更に好ましくは、プレ
ナム222内部の圧力差の少なくとも10倍以上であ
る。従って、反応領域からスロット131へのガスフロ
ー速度は、スロットの全360゜円周の周囲に均一であ
るので、ウエハ184上への膜堆積の円状の均一性が得
られる。
【0043】スロット131の端から端までの圧力降下
は、スロット(ギャップ)の軸方向の幅に比例し、ま
た、スロットの放射方向の長さ(スロットの対立する壁
面の長さ)に比例する。スロットの放射方向の配置(直
径)は、チャンバ内の処理されるウエハの直径にだいた
い近くなっている。例えば、例示するこのましいい具体
例では、チャンバは、直径8インチ(203.2mm)
のウエハを処理するように設計され、スロットオリフィ
ス131の内径は10.5インチ(267mm)であ
る。スロット131の端から端まで所望の圧力降下を与
えるためには、スロットは0.150インチ(3.8m
m)の軸方向幅(スロットギャップ)と、0.5インチ
(12.5mm)の放射方向長さ(スロットの対立する
壁面の長さ)を有している。典型的なセラミックコーテ
ィングを有するウエハ支持ペデスタル136の直径は、
10.3インチ(261.1mm)である。典型的なチ
ャンバライナ(即ち234,236)の内径と外径は、
それぞれ、約10.5インチと、12.5インチ(31
7.5mm)である。セラミックライナに面しているア
イソレータ表面の内径と外径は、それぞれ、10.01
インチ(254.25mm)と、11.0インチ(27
9.4mm)である。半径方向スロットの最も狭い通路
の長さは、約0.5インチ(12.7mm)であり、こ
の長さは、部品の相対的な直径とそのオーバーラップを
変化させることにより調整することができる。スロット
ギャップ131も同様に変化させることができる。図2
の点線145で示されるように、斜面をつけた表面がア
イソレータ120の底面に与えられてもよい。
【0044】上述のギャップ(スロット)構成131
は、例えば、チャンバ内圧が4.5トールに維持され、
SiH4 が285sccm、NH3 が140sccm、
2 が4000sccmのガス流れが、約400℃の温
度での処理チャンバの操作に対して与えられた場合に有
用である。
【0045】3.排気ビューポート(観測ポート) 堆積プロセスの過剰なプロセスガス成分と反応生成物は
一般に反応を生じて、プロセスチャンバの排気ガスに暴
露されたあらゆる部材に不要な汚染物の膜を堆積させ
る。定期的にチャンバ部材をクリーニングして、汚染物
の膜を、剥離して粒子になり半導体ウエハを汚染するに
充分に厚くなる前に、除去する必要がある。
【0046】排気通路の観測ポートないしビューポート
232により、堆積プロセス中の汚染物蓄積の程度を観
測することが可能となり、また、クリーニング中の汚染
物除去の進展を観測することが可能となる。
【0047】排気プレナム222から真空ダクト横側延
長部分245へのガスフローは、矢印235(図10に
おける)によって示される。図2を参照すれば、360
゜円形排気マニホールド(排気プレナム)222からガ
ス流出通路239へ流れるガスは、ポンピングチャンバ
リッド221の横側延長部分245内を貫通する円錐形
のビューポート212の手前を通過する。ポート232
は、UVフィルタガラス233により覆われて適所に支
持されるポンピングポートビューウィンドウ(好ましく
は単結晶サファイア製)230によりカバーされ、これ
を介して、基板処理の場所におけるガスプラズマのグロ
ーを間接的に見ることが可能となる。
【0048】処理チャンバの内面上の汚染ないし堆積の
程度は、ウィンドウ230の内側上への蓄積を直接見る
ことにより評価することが可能である。ビューウィンド
ウポート232の手前の真空ダクト横側延長部分245
は、処理チャンバから真空システムへのガスフローが収
斂する(下流端の)領域にある。従って、この上への蓄
積は、処理チャンバの汚染(表面への堆積)のレベルに
対して良い指標を提供する。ビューポートの内側が完全
に堆積物質でカバーされた場合は、反応領域に近いチャ
ンバ部材の全ての表面もビューウィンドウ230の内側
に堆積されたよりも少ない厚さで覆われていると考える
方が安全である。
【0049】ビューポートの表面上への堆積の厚さは、
チャンバの表面上への堆積の厚さよりも大きく、その理
由は、ガスが処理チャンバから退去し排気プレナムへ進
入した後も、堆積物質の在留物が存在しているからであ
る。これらのガス残留物は、排気プレナムの表面上及び
ガス通路の下流表面上で粒子として結合し凝集し、内部
ガス通路上に汚染物の蓄積を生成する。汚染物の堆積膜
の厚さは、ガスが反応領域から離れる(粒子形成のため
の分子が多くなる)に従い、漸次増加する。従って、ビ
ューポート(排気プレナムから真空システムへのガスフ
ロー収斂領域に位置する)から観察可能な表面へ堆積さ
れる膜の量は、反応領域に近い他の部材上に堆積される
膜の最も厚い厚さの量と同じ程度である。
【0050】同様に、エッチングクリーニングが行われ
る場合、エッチングプロセスの終点を検出する標準的な
弗素検出装置を加え、ポンピングポートビューウィンド
ウ230の内側の清浄度により、装置の読み出しが、直
接視覚的に確認される。
【0051】4.排気弁 排気遮断弁は、プロセスチャンバの本体内の反応領域の
すぐ外側に配置される。この配置は、少なくとも2つの
利点をもつ。その理由は、弁はチャンバ本体と一体で、
プラズマ処理中は、遮断弁及び弁と反応領域の間の通路
は他のチャンバ部材と共に加熱されているからである。
弁と通路を高温に維持することにより、これらの部材上
への排気ガスの凝縮が抑止されるので、排気ガスは、見
込まれる汚染物粒子を生成することができる前にチャン
バからポンプで排出される。反応領域と排気遮断弁の間
の排気通路内に凝縮させるようなら、ガスフローが停止
した期間、例えばウエハがチャンバに搬入出されるよう
な場合のような期間の間に、このような粒子は反応領域
へ拡散して戻ってしまう。
【0052】我々のデザインの第2の利点は、遮断弁と
反応領域の間の通路の容積を最小にし、堆積プロセスの
終了時に排気遮断弁が閉じられた際にチャンバ内に残留
してしまう排気ガスの体積を最小にすることである。こ
のような残留ガスは、反応領域へと逆流し、続いて処理
されるウエハを汚染するので、これらの体積を最小にす
ることは重要である。図1及び2に示されているよう
に、プロセスチャンバ本体134は、チャンバリッド2
21内のビューポート232のすぐ下流でこの下方の下
向き延長ガス通路239を有している。ガス通路239
は、プロセスガスの流れをチャンバ本体134内の円筒
状の弁キャビティ241へとガイドする。弁キャビティ
は241は、弁キャビティとガス通路239の間の円形
開口に、弁シート面246を有している。弁ピストン2
40は、キャビティ内部を軸方向に移動する。「オフ」
のポジションでは、ピストンは弁シートに隣接し、ピス
トンのフェイス上のOリングは、通路239からのガス
の流出を防止するシールを形成する。「オン」のポジシ
ョンでは、ピストンは収縮して弁シートから離れ、真空
ポンプ(図示されず)へと続く排気排出口ポート226
を露出させる(拡大斜視図の図7を参照。)。ベローズ
が、ピストンとチャンバの外壁上の弁アクチュエーショ
ン機構が載置されるプレートとの間の真空シールを形成
する。弁アクチュエータ、ピストン及びベローズは、従
来からのデザインのものなら何でもよい。
【0053】堆積プロセス中、プラズマは、排気通路2
39と遮断弁を包囲するチャンバ本体134の壁面を含
むプロセスチャンバ全体を加熱する。プラズマが発生し
ていないときは、熱い液体がプロセスチャンバの壁面の
中を循環し、チャンバを高温に維持している。この加熱
は、不要な反応生成物の凝縮を有利に減少させまたは除
去し、揮発性の反応生成物や、冷たい真空通路の壁面上
に凝縮しガスフローがない期間に処理チャンバに逆流す
ればプロセスを汚染してしまう他の汚染物の除去を向上
させる。
【0054】また、真空システム遮断弁240を処置チ
ャンバ本体134と一体させることにより、遮断弁24
0は処理中に処理チャンバと共に加熱されるようにな
る。このことにより、弁の表面上への揮発性のガス生成
物の凝縮が減少する。ガスフロープロセスが一時停止さ
れて、真空システムへの接続が遮断されれば、真空弁は
シート面246で閉じられ、シート面246と中央の処
理チャンバの間のごくわずかなガス容積だけが隔離され
る。この構成により、冷たい下流真空パイプの壁面上に
凝縮された揮発性汚染物が真空開閉弁を通って逆流し、
弁シート246通過することが、最小限に留められ、又
はほぼ排除される。
【0055】5.ペデスタルヒータ 図17は、支持ステム190に付加された、電気抵抗に
より加熱されるウエハ支持ペデスタルを示す。図20及
び21は、ヒータ要素を更に詳細に示すものである。ペ
デスタルないしプラーテン136は、高純度100.1
グレードの陽極処理なしの鋳造(cast)アルミニウムによ
り作製されたディスク状体である。ヒータコイルは、耐
熱性で電気的絶縁の被覆の鞘に納められ、これは、鋳造
プロセス中にペデスタル内にニクロムヒータ要素が埋め
込まれて収容された、酸化マグネシウム充填材で包囲さ
れたステンレス鋼やインコネル(Inconel )等である。ヒ
ータコイル183は、点線197に近接する冷接合によ
りプラーテン136内部の導電リード線193に接続さ
れるヒータ要素192を有している。即ち、ニクロムヒ
ータ線192はこの境界197に近接したところで銅線
193に接続され、ベースの中心は加熱されない。しか
し、ヒータコイルの導線全ては、主に抵抗的であれ主に
導電的であれ、アルミニウムヒータ本体136の鋳造に
耐え得るように高温にたいする耐性を有する連続した絶
縁コーティング(上述のごとく)の鞘に収容される。
【0056】ペデスタル136は、環状のグルーブ19
5を有している。中空のコア191を有するペデスタル
支持ステム190(図17)は、環状のグルーブ195
(円形グルーブ図20)と合わさるように構成され、ペ
デスタル136のためのステムを与える。これら2つの
部品の合わせジョイントは図19に一般的に示される。
図22の切断図で示される中空コア191が雰囲気(大
気)圧下にあるように、真空ウエハ気密ジョイントを与
えるため、ジョイント189で溶接されている外縁電子
ビームはこれら2つの部品の間に形成される。中空コア
191は、ヒータコイル183上の熱電対管201及び
コーティング196の端部と、導線端部186に続く導
電線を示す。ペデスタルステム190のための真空シー
ル及び大地接続は、その底面187(図18)及びヒー
タ線端部に形成され、また、接続部186及び熱電対管
端部203は、雰囲気環境下に形成される。
【0057】図21に示されるように、ペデスタルベー
ス136に埋め込まれているヒータコイル183の道筋
が、ペデスタル136の中心に対する同心円の線に沿っ
て走る略平行な単一のループを与える。このループのパ
ターンは、プレートの幅全体に均一な温度を維持するた
めの加熱を与え、一方では熱損失を許容する。リフトピ
ンが置かれる必要のある場所にはヒータコイルが置かれ
ないようにするよう、鋳造中は注意をする必要がある。
ヒータ要素の外側の部分は、直径約9.5インチ(24
1.3mm)に加工され、ヒータ要素の内側の部分は、
直径約7.0インチ(177.8mm)に加工され、ウ
エハ支持体プレートは、10インチ(254mm)の典
型的な直径を有している。ヒータ要素は、ペデスタル1
36の頂面から1.5インチ(38.1mm)に配置さ
れる。
【0058】6.ペデスタルの冷却 図22及び23は、別の構成のペデスタル206を示
し、これは、中央に載置される管状の熱電対211のほ
かに、前述の電気抵抗加熱コイル207の下に冷却ダク
ト204を有している。
【0059】ウエハ支持プラーテン206を冷却する能
力を有して、処理チャンバ及びこの部品のメンテナンス
処理が必要になった場合に、冷却を促進することが望ま
しい。冷却がゆっくりとならざるを得ない場合は時間が
浪費されてしまうが、その理由は、プロセスチャンバを
冷却ガスで換気する以外に、支持プレートを強制冷却し
て温度を降下させる方法はなく、これでは不十分だから
である。
【0060】図22に示されるように、接続ラグ209
は、支持プレート206の鋳造中に、予備的に形成され
た冷却、加熱及び熱電対管の組立体を保持する。ラグ
は、ペデスタル支持板206が鋳造された後に除去され
てもよく、あるいは、ペデスタルステムの中空開口の内
側にフィットした場合に適所に残されたままでもよい。
図示されないが、前述したものに類似する中空ステムが
この構成に対して与えられる。図23には、冷却コイル
204の底平面が示される。ここに示されるように、冷
却コイルは、ヒータコイル207の軌跡に同心な円路に
沿って走る外縁を有する単一のループである。ここに示
される冷却コイル同心円路の直径は、加熱コイルの内側
部分の直径よりも大きく、加熱コイルの外側部分の直径
よりも小さくなっているが、他の直径を用いてもよい。
その直径は4.0インチ(203.2mm)であり、ウ
エハ支持プラーテン206の頂面から1.87インチ
(47.5mm)のところに配置される。冷却コイル
は、ウエハ支持プレートの全面積にわたってほぼ均等に
冷却を与えるよう試みを行う中で設計される。
【0061】冷却管に用いられる冷却液には、典型的な
液体タイプ、即ち水ベースのエチレングリコールやオイ
ルベースの熱移動液が含まれる。冷却液を選択する場合
は、ウエハ支持プラーテン206の操作温度と、冷却管
を冷却液で満たしておくべきかどうかを考慮するべきで
ある。図22に示されるように、冷却管はヒータコイル
の下に配置される。
【0062】7.ペデスタル上方の耐腐食性シールド プラズマエッチングチャンバは通常、耐腐食性の誘電シ
ールドを金属ウエハ支持ペデスタルの上方に有して、エ
ッチャントガスによる腐食からペデスタルを保護する。
耐腐食性シールドは堆積チャンバでは一般的に使用され
ず、その理由は、堆積プロセスガスは一般的には腐食的
ではなく、ウエハ支持ペデスタル(及び他のチャンバ部
材)は、堆積チャンバで定期的になされるべきクリーニ
ングプロセスの間に堆積による損傷を受けないからであ
る。我々のプラズマ励起堆積チャンバは、有利なこと
に、クリーニングプロセス等の間に腐食からペデスタル
を保護するシールドを有し、ペデスタルの寿命を長くす
る。
【0063】我々のシールドは、反応ガスからペデスタ
ルを充分に保護するため、ペデスタルは陽極処理やその
他の耐腐食性のコーティングを必要としない。ペデスタ
ルの純粋なアルミニウム表面は、陽極処理された面より
も高いスムーズさに研磨できるので、ペデスタルとその
上に支持される半導体ウエハの間に、より良好でより均
一な、熱及び電気結合を可能にする。良好な温度的均一
性及び電気的均一性が得られる結果、ウエハ上に堆積さ
れる膜の均一性がより良好になる。
【0064】ペデスタルを腐食から保護するに適した材
料は、一般には、アルミニウムペデスタルとは異なる熱
膨張係数を有している。我々のシールドは、シールドと
ペデスタルを異なる速度で伸縮せしめ、他方でその上に
置かれる半導体基板の回転の位置決めを維持する、位置
決め装置を有している。
【0065】図11、12及び13は、ウエハ支持ペデ
スタル136の断面の拡大部分を示す(加熱材及び冷却
材は図示されない)。図14、15、16及び17に
は、ペデスタル組立体136の構成上の様々な一般的特
徴が描かれる。ペデスタルプラーテン136は、高純度
アルミニウムの鋳造板であり、4本の沈み穴を有する貫
通開口164が形成されている。この貫通開口は、広が
った上端部を有する(図12、13参照)ウエハリフト
ピン162を受容する下向きの中空スタッドウエハリフ
トピンガイドを受容するように構成されている。図13
に示されるような典型的なセラミックリフトピン162
は、ウエハリフトピン開口164にフィットしこれによ
って支持される。リフトピン162は、酸化アルミニウ
ム等のセラミック材料製であり、図13に示されるよう
に、頂部が落とされた円錐を重ね合わせた形状を有して
いる。ピンの底端部は丸められている。ピン162は
0.139インチ(3.53mm)の直径を有し、中空
スタッドガイドは、0.157インチ(3.99mm)
の内径を有している。
【0066】アルミニウムペデスタルをカバーするカバ
ープレートないしシールド148は、セラミック(好ま
しくは窒化アルミニウム)ディスクであり、中心に下向
きに伸びる中空スタッド(ガイド部)166を有し、こ
れはペデスタルヒータプラーテン136内の開口164
の4つの場所と角度を有して位置決めがされる(図1
4、20参照)。窒化アルミニウムシールドディスク1
48は、ウエハ支持ペデスタル136の頂部上に置か
れ、中心には突起ないしラグ168を有しているが、こ
のラグは、ペデスタル136の頂部の中心のラグ受容開
口171内に、約0.0015インチ(0.38mm)
の狭いクリアランスをもってフィットする。4つのリフ
トピン開口164(図14参照)は、ペデスタルの周囲
に均等に配置されてはいないが、ロボットブレード(薄
い平坦なバー)の幅よりも広い側部を少なくとも1つ有
する長方形を形成し、この周囲で、リフトピン162が
ウエハを昇降させる必要がある。窒化アルミニウムカバ
ープレート148は、ウエハ(図示されない)が支持さ
れる頂部中心面(約0.040”又は1.02mm厚)
を有している。ウエハ支持面154は、環状に盛り上が
った部分を有する外縁フランジ155に包囲されている
ので、処理中にウエハは正確に配置されている。
【0067】アルミニウムのペデスタルないしプラーテ
ン136(高い熱膨張率)とセラミックカバーないしシ
ールド148(低い熱膨張率)の間の熱膨張率の差があ
るので、これら2つの部材の間で動きが許されるべきで
ある。従来のデザインでは、温度変化に対応して予期で
きない方向への誘電カバーとペデスタルの間の相対的な
動きが、損なわれていた。我々のシールド(図14)及
びペデスタル(図15〜16)では、シールドの下に突
起する環状の中心ピン168が、ペデスタルの中心開口
171とぴったり合って、シールドをペデスタル上の中
心に正確に置き、シールド上の別の場所の下に突起する
環状の位置決めピン166が、ペデスタルの楕円形の開
口172と合って、シールドを回転運動から制限しつ
つ、温度変化に対応するシールドとペデスタルの間の放
射状の動きを可能にする。
【0068】図15及び16に示されるように、楕円開
口172の長軸176がペデスタルの半径に沿った方向
を与えられている。シールドとペデスタルの間予想され
る熱膨張の最大差分に適応するように充分長くとられて
いる。短軸174は、半径戸垂直な方向に与えられ(即
ち、接線方向、方位方向又は外接方向)、位置決めピン
166を受容するに充分な広さがあればよい。
【0069】我々の具体例では、楕円開口172は、リ
フトピン162に近接する4つの穴164の1つの上端
部のところの沈み穴であり、環状位置決めピン166は
リフトピンのガイドスリーブとして機能する。しかし、
楕円開口172がリフトピン開口と同じ場所にあって位
置決めピン166がリフトピンガイドスリーブとして機
能させない場所以外、楕円開口172は中心から離れた
ペデスタルのどの場所にあってもよい。
【0070】ペデスタル136のリフトピン開口164
の他の3つのそれぞれは、シールド148の下に突起す
る対応したガイドスリーブ166と合う沈み穴170を
有している。これら3つの沈み穴170はそれぞれ、合
わされるガイドスリーブ166の周囲に大きなクリアラ
ンスを与え、シールドとペデスタルの熱膨張の差分の場
合を妨害しないようにしている。我々の好ましい具体例
では、これら3つの沈み穴170のそれぞれの直径は、
楕円開口172の長軸176の長さと等しい。
【0071】シールドディスク148には窒化アルミニ
ウムセラミックが好ましい材料であり、その理由は、高
い熱伝導度と、優れた耐腐食性と、熱ショックに対する
高い耐性を有しているからである。しかし、窒化アルミ
ニウムは加工が高価であり、また、腐食性プロセスガス
から保護するに必要なように、ペデスタルないしプラー
テン136の頂部と側部を両方共にカバーする1つの部
材を作製することが困難である。半導体ウエハの直接の
下にないペデスタルの部分、即ち、ペデスタルの円筒状
の側部とペデスタルの頂面の外縁をシールドする材料に
は高い熱伝導度は要求されない。従って、ペデスタルの
このような部分は、「L」字型の断面を有する酸化アル
ミニウムの外側シールドリング150によって保護され
る。外側シールドリング150の内側の水平部分152
は、盛り上がった外周フランジ155の外側の窒化アル
ミニウムディスク148の外縁156とオーバーラップ
する。また外側シールドリング150は、ペデスタル1
36の円と右側部の下に一部延長する従属スカート15
8を有している。スカート158と、ペデスタル148
の外周の間のクリアランスは、熱膨張の差に適合する程
度に充分大きく、腐食性のプロセスガスとの接触からペ
デスタルを実質底にシールドする程度に充分小さい。
【0072】外側リング150は、ペデスタルの頂部フ
ェイスの外縁上で、シールドディスク148の外周の外
側に置かれている。水平オーバーラップ部分152とシ
ールドディスク148の外縁部分の間の垂直ギャップ
は、垂直方向の障害と故意ではない部材の上昇を防止す
る。シールドディスク148の中心部分は典型的には、
厚さ0.020インチ(0.5mm)〜0.080イン
チ(2mm)、特に例示的な実施例では0.04インチ
(1mm)である。盛り上がったペデスタルの部分15
6は、典型的には、厚さ0.03インチ(0.8mm)
〜0.1インチ(2.5mm)、特に例示的な実施例で
は0.040インチ(1mm)である。
【0073】8.チャンバ壁面上の誘電ライニング 上述のように、我々のウエハ支持ペデスタルないしプラ
ーテン136は、耐腐食性148によってカバーされ、
特に堆積プロセスと堆積プロセスの間におけるチャンバ
クリーニングに用いられるプラズマエッチングプロセス
の間に、プロセスガスによる腐食からペデスタルを保護
する。また上述のように、エッチングと堆積の双方の
間、RF電圧がウエハ支持ペデスタルとガス散布板の間
に印加されて、プラズマが励起する。シールド148は
一般に誘電的であるので、プラズマとペデスタルの間の
電気的インピーダンスを上昇させる。アルミニウムチャ
ンバ側壁134がプラズマに暴露された場合は、プラズ
マからの電流の低いインピーダンスの通路が存在するこ
とになるので、堆積プロセス試材を含むプラズマを半導
体ウエハから遠ざけて不要に偏向させ、その結果、ウエ
ハ上への堆積の速度を下げ、チャンバ壁上に不要な堆積
を生じさせる。
【0074】この問題は、チャンバ壁とプラズマ体の間
に、ペデスタルとチャンバ本体の間の電気インピーダン
スよりも実質的に大きい電気インピーダンスを与える、
誘電ライニングにより、円筒チャンバ壁を覆うことによ
り、克服することができる。高い電気インピーダンスを
生じさせるには、ペデスタル上のシールドディスクより
も随分と厚い誘電ライナを壁面に形成することにより可
能であるが、場合によっては、シールドディスクの材料
よりも低い誘電定数を有する材料を壁面ライナに選択す
ることによっても可能である。
【0075】我々の堆積チャンバでは、チャンバ壁の内
側は上側環状誘電ライナ234と下側環状誘電ライナ2
36によって覆われている(1つのライナを用いてもよ
いが)。(図1及び2を参照)上ライナは下ライナ上に
置かれ、下ライナはチャンバ壁のシェルフ上に置かれて
いる。更に、チャンバリッド221の内側面は、絶縁体
120によって覆われている。絶縁体120は、ガス散
布板122をチャンバリッドから絶縁する機能に関し
て、上に説明されている。
【0076】我々の実施例では、上壁ライナ234及び
下壁ライナ236のそれぞれは、厚さ約1インチ(25
mm)のアルミナ製で、リッド絶縁体120は厚さ約1
インチである。対称的に、ペデスタルシールドディスク
148の厚さは、0.040インチ(1mm)しかな
い。更に、上述の如く、ペデスタルとその上に支持され
るウエハの間に、可能な限り低く最も空間的に均一なイ
ンピーダンスを与えるように、シールドディスク148
は非常に均一な厚さを有し、ペデスタル頂面は非常にス
ムーズな陽極処理しないアルミニウムである。従って、
ペデスタルとプラズマ体の間のインピーダンスは、チャ
ンバ壁とプラズマ体の間のインピーダンスよりも充分低
くなっている。このことにより、半導体ウエハ上の堆積
の効率と均一性を促進する。(ウエハのエッジと中心の
間の非均一性を最小にするため、ガス散布板はウエハ直
径よりも、好ましくは約20%大きい。) 9.頂部組立体 図1に示されるように、好ましくはアルミニウム製のガ
ス散布フェイスプレート122は、好ましくはアルミナ
製の環状の絶縁体ないしアイソレータ120により、包
囲しているチャンバリッド221から電気的に絶縁され
る。図6に示されるように、円形のガス散布フェイスプ
レート122は、包囲フランジ124により支持され
る。フランジ125は、図1、2及び6に示されるよう
に、Oリングシール274により絶縁体120の頂部上
に置かれてこれをシールする。フェイスプレート122
の底部127は、ガス散布開口を有し、これを介してプ
ロセスガスが処理される基板の方向への向きを与えられ
る。ガス流入マニホールド126は、フェイスプレート
フランジ125の頂部に対して、Oリングによりマニホ
ールド126をシールする、僅かにオーバーラップした
フランジを有している。フェイスプレート122の下側
部分は、フェイスプレートの内側シール及び外側シール
の真空(プロセスチャンバ)側のプロセスチャンバ条件
に暴露されており、他方、フェイスプレート122のフ
ランジ125の頂部は、雰囲気に暴露されている。
【0077】上述の処理チャンバの構成は、Oリングシ
ールを有する表面によってのみ接合される層状で入れ子
状の部材を与えるので、処理チャンバ内部のねじ止め部
分全てが排除され、そのため、チャンバ内で処理される
半導体基板を汚染させ得る粒子をねじ締めのときに発生
させるねじ止め接合部による、処理チャンバ内の汚染の
可能性を低減する。このねじ止めのない構成はまた、チ
ャンバ復帰時間と、安定した操作条件にチャンバが達し
チャンバの再組立て後の空気中に漂う粒子を排除又は沈
降させるにために試行する必要なウエハの枚数を最小に
する。
【0078】コネクタ298を介してフェイスプレート
122へのRF電力サプライの接続は、フェイスプレー
ト122の内側シール274と外側シール253の非真
空側部上に形成される。特に、フェイスプレートフラン
ジ125の頂面上へ接続が形成される。RFコネクタ2
98は、プロセスチャンバの真空エンクロージャの外側
にあるため、RFコネクタ内の摩擦やアーク等で生じた
粒子はチャンバ内に1つも進入することができず、従っ
て、処理される半導体基板を汚染することはない。
【0079】図1、2及び6は、2つの表面の間のOリ
ング270と共に、チャンバリッド221の中心開口に
フィットするリッド絶縁体120を示している。ガス散
布フェイスプレート122が絶縁体120内部に配置さ
れ、Oリングによりこれにシールされる。ガス散布フェ
イスプレート122は、外周フランジ上にいくつかの開
口を有し、この内のどれか1つはRF電力サプライへの
接続に使用されてもよい。
【0080】図1は、流入マニホールド126の一部と
してのブロッカプレート124の組立体を示している。
ブロッカプレート124は、ねじ止め具を用いて流入マ
ニホールドに接続されている。ブロッカプレートは、表
面上の周りに表面上にわたっていくつかの開口を与え、
ガス散布マニホールド126を通ってガス散布マニホー
ルド126の底部(裏面)の方向に流れるガスを最初に
拡散させる。Oリング253が、図1、2及び6に示さ
れているように、フェイスプレート122のフランジ1
25の頂部に対して流入マニホールド126をシールす
る。
【0081】図5は、流入開口を、リッド221のガス
通路を介して流入マニホールド126上の流入ガス通路
284、285(図9)へ接続させる、絶縁された流入
ガスマニホールド296を示している。絶縁流入ガスマ
ニホールド296は、アルミナ等の非伝導性の温度耐性
材料と共に、両端でボルト止めされた金属接続部を有し
ている。この構成では、ガスは、処理チャンバ本体か
ら、リッド221を介して流入ガスマニホールド296
に流入し、更にフェイスプレート流入マニホールド12
6へと流入する。絶縁流入ガスマニホールド296は、
エネルギーが与えられたガス散布フェイスプレート12
2を、リッド221に対して接地されることから防止す
る。絶縁されたバー(ビーム)クランプは、リッド22
1に対してガス流入マニホールドに固定する。
【0082】リッド221の対角線上のコーナーにクラ
ンプタワーが配置される。非伝導材料(テフロン等)製
の絶縁カバーピース288は、絶縁体流入ガスマニホー
ルド296の上方の位置を占める。クランプは、フェイ
スプレート構成の全ての部品をプレスしてクランプす
る。
【0083】図1及び2は、UVフィルタ233による
リッド221のビューポート開口232の上方のリッド
221内のOリングにより、ウィンドウ材料230(好
ましくは、弗素と非反応性であるため単結晶サファイア
製である)は適所に固定されシールされる。
【0084】図4は、リッド221を介した、ガス散布
フェイスプレート122の外周開口の1つ及び、流入マ
ニホールド126同様の開口へのRFの接続を示す。リ
ッド286のRFサプライ通路は、リセス開口内の浮動
ばね搬入RFサプライピン294を包囲する材料263
と、絶縁をもってフィットされる。絶縁ストラップ29
8は、RFサプライピン294に接続され、また、ねじ
止め接続によって、リッド221を介して、ガス散布フ
ェイスプレート122と流入マニホールドにも接続され
る。絶縁トップカバー264は、絶縁材263のリセス
開口をカバーする。RF通路の配置は、図6に示されて
いる。
【0085】図7は、底部チャンバ本体134とその特
徴を示している。本体134は、Oリンググルーブ30
4とRFガスケットグルーブ305の外側の左後ろコー
ナーにRFサプライ導電通路287を有している。グル
ーブの内側には、ガスサプライ通路302、303は、
ガスをリッド通路284、285に供給する(図6)。
チャンバ本体134の壁面と下側リングライナ236
は、図1で説明された搬入出開口142を有している。
本体134の構成は真空ガス通路と、真空遮断弁受容開
口241内に配置された真空遮断弁240(図1)とを
有し、前に説明され図1、2に描かれたように、シール
面246に対してシールする。
【0086】図7は更に、チャンバ本体134の内部部
品の組立体の分解図を示している。ピンリフトカラー2
37はペデスタルの下にフィットしている。セラミック
壁面ライナを形成する下側壁ライナ236と上側壁ライ
ナ235は、プロセスチャンバ下側本体の内部壁265
上のシェルフ251によって支持される。すでに組立ら
れたペデスタル135(支持プレート、ステム及びカバ
ープレート)が、適所に置かれる。最後に、リフトピン
162が適所に落とされる。
【0087】10.調整可能なペデスタルティルト 図1、3及び8に示されるように、半導体ウエハは搬入
出開口142を介してチャンバ下側本体134から搬入
及び搬出される。搬入出中は、ロボットアーム(図示さ
れず)がチャンバ内を移動できて、リフトピンカラー支
持管243により支持されるリフトピンカラー237に
より持ち上げられるリフトピン162によって、ウエハ
が持ち上げられてロボットアームから離れることができ
るように、ペデスタルウエハ支持プラーテン136は搬
入出開口142の下に配置される(ペデスタル昇降機構
により)。
【0088】4つのウエハリフトピンの一連は、ペデス
タルヒータの中央面をカバーする窒化アルミニウムコー
ティングを介してウエハリフトピンガイドスタッド内に
与えられる。ウエハリフトピン162は、リフトピン1
62の底部に接触するウエハリフトピンカラー237に
よってカバープレート内にガイドスタッドから昇降す
る。リフトカラー237は、ペデスタルヒータの表面か
らリフトピンを昇降させるための上下するウエハリフト
ピンカラー支持管243によって、支持されて上下す
る。
【0089】ウエハリフトピンカラー支持管243は、
ペデスタルステム190の周囲を包囲して、ステム19
0、ペデスタルプラーテン136及び処理チャンバの内
側から放射される熱エネルギーから、ステム190の端
部と処理チャンバの底部の間に付加されたベローズ26
7、268を絶縁することを助力する。
【0090】図8は、裏返しの状態のプロセスチャンバ
下側本体134と、本体134に適所に付加されるペデ
スタルリフト機構143を示す。一連のベローズ26
7、268が、ペデスタルの上下に自由な運動を可能
し、また、ある角度をもった運動も可能にする。PEC
VDプロセスにおいて、堆積層の厚さはガス散布フェイ
スプレートと処理されるウエハの表面との間の距離に感
応的であるため、これらの2つの面の間に平行関係を維
持する調整を有することが重要である。ヨーク249
(図8、9)は、図8には1つしか示されないが3つの
調整ねじないし材247で、ペデスタル支持体とリフト
駆動機構143を支持する。ヨークプレート249は、
三角形パターンの広く間隔がおかれた3つの穴を有し、
3つの調整ねじないし材247の1つ以上をインシチュ
ウに調節することにより、ペデスタルの頂部のレベリン
グを可能にする。3つの調整ねじの配置は、図9に示さ
れるように調整の容易のために直角をなすべきである。
【0091】操作中は、プロセスチャンバプロセスガス
とRFの供給は、永続的に、処理チャンバの底部に固定
されて与えられる。処理チャンバの底部のガス接続通路
及びRF接続通路を介した供給が、処理チャンバのリッ
ド221に接続する。処理チャンバのリッドがちょうつ
がいで開けられた場合は、ガス散布フェイスプレートへ
のガス通路とガス散布フェイスプレートへのRFの接続
は断絶する。プロセスチャンバリッドが持ち上げられた
ときには、インタロックセンサがガスフローとRF電力
供給を自動的に遮断してもよい。通常の条件では、プロ
セスチャンバ内に真空が存在する場合にはリッドの外側
上の大気圧の力が、リッドの持ち上げを防止する。
【0092】本発明は特定の具体例に関して説明されて
きたが、技術分野の通常の知識を有する者には、本発明
の思想と範囲を離れることなく、形態及び詳細の変更が
可能であることは理解されよう。
【0093】
【発明の効果】以上詳細に説明したように、本発明によ
れば、物質の堆積における不均一性や汚染ないし可能性
としての汚染に寄与する因子を取り除くこと可能とな
る。
【図面の簡単な説明】
【図1】本発明に従ったPECVD処理チャンバの断面
図である。
【図2】図1に示される処理チャンバの左側部の拡大断
面図である。
【図3】図1及び2に示される処理チャンバの底部に付
加されるペデスタルリフト機構の断面図である。
【図4】本発明に従ったガス散布フェイスプレートの頂
部へのRF電力の接続の断面図である。
【図5】処理チャンバの下側本体から絶縁ブリッジを介
してガス散布フェイスプレートの中心の流入ガスマニホ
ールドへの、プロセスガスフィードスルーの断面図であ
る。
【図6】リッドと、処理チャンバのリッドを介して処理
チャンバへガスを供給するための部材との分解図である
【図7】本発明に従った構成を成して組立られる下側処
理チャンバの分解図である。
【図8】図本発明に従った、図7に示される処理チャン
バの底面図である。
【図9】本発明に従った、ペデスタルの姿勢の調整に用
いられる調整ねじの穴のパターンの模式図である。
【図10】処理チャンバ内の仮想的なガスフローパター
ンを示す矢印を用いた、図1の10−10線の断面図で
ある。
【図11】本発明に従ったペデスタルの構成の簡略化さ
れた拡大断面図である。
【図12】図11の構成の拡大図である。
【図13】図11及び12に示される構成に用いられる
リフトピンの側面図である。
【図14】本発明に従った窒化アルミニウムカバープレ
ートライナの底面図である。
【図15】図17に示されるペデスタル(ヒータ)の端
面図である。
【図16】図15に示される楕円スロットガイダンス部
材72の拡大図である。
【図17】本発明に従ったペデスタルヒータの側面の部
分断面図である。
【図18】図17のペデスタルヒータの底面図である。
【図19】ステムとペデスタルのプラタの間の接続の1
つの構成を示す本発明に従った部分的な断面図である。
【図20】本発明に従ったペデスタルヒータの埋め込ま
れた部材の側面断面図である。
【図21】図20に示されるペデスタルヒータ要素構成
の底面図である。
【図22】ヒータ要素とこれに熱電対通路と共に埋め込
まれる冷却液管の双方を有するペデスタルヒータウエハ
支持体プレートの側部断面図である。
【図23】図22の加熱、冷却、熱電対材の道筋と向き
を示す底面図である。
【符号の説明】
120…アイソレータ、121…ガス散布フェイスプレ
ート、122…プロセスガス散布フェイスプレート、1
23…矢印、124…ブロッカプレート、125…外縁
フランジ、126…流入マニホールド、127…底部、
131…オリフィス、133…チャンバ、134…下側
チャンバボディ、135…ヒータペデスタル組立体、1
36…ペデスタル、142…挿入取出開口、143…リ
フト機構、144…矢印、145…円形フェイス、14
8…シールドディスク、150…外側シールドリング、
152…水平部分、154…ウエハ支持面、155…外
周フランジ、156…外縁、158…スカート、162
…ウエハリフトピン、164…開口、166…中空スタ
ッド、168…ラグ、171…中心開口、172…楕円
形開口、174…短軸、176…長軸、183…ヒータ
コイル、184…ウエハ、189…ジョイント、190
…支持ステム、191…中空コア、192…ヒータ要
素、195…グルーブ、196…コーティング、201
…熱電対管、204…冷却ダクト、206…ペデスタ
ル、207…加熱コイル、209…接続ラグ、211…
熱電対、221…チャンバリッド、222…排気プレナ
ム、223…矢印、226…真空ポート、230…サフ
ァイアウィンドウ、232…ビューポート、233…U
Vフィルタガラス、234,236…ライナ、237…
ピンリフトカラー、239…下向き延長ガス通路、24
0…真空遮断弁、241…弁キャビティ、246…弁シ
ート面、249…ヨーク、253…シール、263…絶
縁材、264…トップカバー、265…側壁、267,
268…ベローズ、274…Oリングシール、284,
285…通路、294…RFサプライピン、296…絶
縁流入ガスマニホールド、298…コネクタ、302、
303…ガスサプライ通路、304…Oリンググルー
ブ、305…RFガスケットグルーブ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 トム チョ アメリカ合衆国, カリフォルニア州 94122, サン フランシスコ, 1435− 24番 アヴェニュー (72)発明者 チャールズ ドーンフェスト アメリカ合衆国, カリフォルニア州 94538, フレモント, ホワイトキャッ プ ウェイ 39654 (72)発明者 ステファン ウルフ アメリカ合衆国, カリフォルニア州 94086, サニーヴェール, アスター アヴェニュー ナンバー2204 1035 (72)発明者 ケビン フェアバーン アメリカ合衆国, カリフォルニア州 95070, サラトガ, スキャリー アヴ ェニュー 1238 (72)発明者 シン シェン グオ アメリカ合衆国, カリフォルニア州 94040, マウンテン ビュー, フィリ ス アヴェニュー 1105 (72)発明者 アレックス スケイバー アメリカ合衆国, カリフォルニア州 95054, サンタ クララ, アヴェニダ デ ロス アラムナス 2219 (72)発明者 ジョン エム. ホワイト アメリカ合衆国, カリフォルニア州 94541, ヘイワード, コロニー ビュ ー プレイス 2811

Claims (37)

    【特許請求の範囲】
  1. 【請求項1】 内部の基板処理配置で基板を処理するた
    めの真空処理チャンバと、 前記基板処理配置で基板を支持する基板支持体と、 前記基板処理配置に向かってプロセスガスの向きを与え
    るための、前記基板支持体と対向し略平行なガス散布フ
    ェイスプレートとを備え、 前記チャンバは、前記基板処理配置に隣接し包囲する内
    部チャンバ面を有し、 前記内部チャンバ面内の1つ以上の開口は前記チャンバ
    壁内の真空ダクトと流通し、前記ダクトは前記基板処理
    配置を略包囲し、前記真空ダクトは真空システムに接続
    され、前記1つ以上の開口は前記基板処理配置で支持さ
    れる基板の中心軸の外縁の360の周囲に均等に分配さ
    れ、 前記1つ以上の開口のそれぞれを介した流れのチョーク
    領域は、前記基板の前記中心軸から略均等に分配される
    装置。
  2. 【請求項2】 前記内部チャンバの前記1つ以上の開口
    が、前記基板処理配置に配置された基板の中心から略等
    距離であるように配置される請求項1に記載の装置。
  3. 【請求項3】 前記内部チャンバの前記1つ以上の開口
    が、リッドと前記チャンバの本体との間の360スロッ
    トであり、前記スロットの向こうの前記真空ダクトは、
    前記チャンバの前記本体の頂面と前記チャンバの前記リ
    ッドの底面との間に構成される請求項2に記載の装置。
  4. 【請求項4】 頂部領域と底部領域とを有する半導体プ
    ロセスチャンバ内で半導体基板の表面にわたってプロセ
    スガスの制御を行う方法であって、 (a)プロセスチャンバであって、(i)プロセスガス
    ソースと(ii)該頂部チャンバ領域の外縁の周囲に連
    続的に配置される、該プロセスチャンバの該頂部領域に
    配置される排気プレナムと、(iii)該プロセスチャ
    ンバから該排気プレナムの内部及び該プロセスチャンバ
    の外側へプロセスガスを引くための、該排気プレナムに
    流通する真空ポートと、を有する該プロセスチャンバを
    与えるステップと、 (b)該プロセスチャンバ内へプロセスガスを導入する
    ステップと、 (c)前記プロセスチャンバから該連続的外縁排気プレ
    ナムへとプロセスガスを直接引くステップと、を備え、
    該排気プレナムの配置と構成が、基板処理中に基板表面
    にわたったガスフローの均一性をほぼ与える方法。
  5. 【請求項5】 該連続的外縁排気プレナムが略円形の構
    造を有する請求項4に記載の方法。
  6. 【請求項6】 頂部電極を含む頂部領域とサセプタ及び
    基板を含む底部とを有する半導体プロセスチャンバ内で
    半導体基板の表面にわたってプロセスガスの制御を行う
    方法であって、 (a)プロセスチャンバであって、(i)プロセスガス
    ソースと(ii)該プロセスチャンバ頂部領域内に配置
    された円形排気ダクトと、(iii)排気ポートであっ
    て、該プロセスチャンバから該排気ポートの内部及び該
    プロセスチャンバの外側へプロセスガスを引くための、
    該円形排気ダクトに流通する排気ポートと、 を有する該プロセスチャンバを与えるステップと、 (b)該プロセスチャンバ内へプロセスガスを導入する
    ステップと、 (c)前記プロセスチャンバから該円形排気ダクトへと
    プロセスガスを直接引くステップと、を備え、該円形排
    気ダクトの配置と構成が、基板処理中に基板表面にわた
    ったガスフローの均一性を改善する方法。
  7. 【請求項7】 該円形排気ダクトが略円形の構造を有す
    る請求項6に記載の方法。
  8. 【請求項8】 基板を処理するための真空処理チャンバ
    と、 前記真空処理チャンバ内の基板処理配置で基板を支持す
    るための基板支持体と、 前記基板を処理するために前記処理チャンバにプロセス
    ガスを供給するガス流入口と、を備え、 前記チャンバが、前記チャンバの壁面内の真空ダクトと
    流通する1つ以上の開口を前記内部チャンバ表面に有
    し、前記真空ダクトは真空システムに接続され、 前記ダクトから前記真空システムへの接続が少なくとも
    部分的に、前記プロセスチャンバの真空ダクト横延長部
    分を介して通過するように構成され、 前記横延長部分の表面が前記チャンバの壁面を通過する
    ビューウィンドウポートを有し、前記ビューウィンドウ
    ポートのためのシールの一部として作用するウィンドウ
    を介して見ることにより、該真空通路の横延長部分の内
    側の観察が可能なように、前記ビューウィンドウポート
    はシールされる装置。
  9. 【請求項9】 シールの一部として作用しこれを介して
    観察するための前記ウィンドウがサファイアである請求
    項8に記載の装置。
  10. 【請求項10】 前記処理チャンバがリッド部分と本体
    部分を有し、 前記真空ダクトが前記リッド部分と前記本体部分との間
    に配置される請求項8に記載の装置。
  11. 【請求項11】 前記横延長部分が、前記リッドと前記
    本体部との間に配置される請求項10に記載の装置。
  12. 【請求項12】 前記ビューウィンドウポートが前記リ
    ッド部分内にある請求項11に記載の装置。
  13. 【請求項13】 前記リッドの前記底部の前記横延長部
    分が前記リッドを通過するビューウィンドウポートを有
    し、前記ビューウィンドウポートのためのシールの一部
    として作用するウィンドウを介して見ることにより、該
    真空通路の横延長部分の内側の観察が可能なように、前
    記リッドの頂部上の前記ビューウィンドウポートはシー
    ルされる請求項11に記載の装置。
  14. 【請求項14】 基板処理チャンバの内側の表面状態を
    決定する方法であって、 前記処理チャンバの壁面内に、前記プロセスチャンバ内
    のガスが真空システムによって脱気されるときに通過す
    る真空ダクトを与えるステップと、 前記プロセスガスの経路を、前記真空ダクトから真空ダ
    クト横延長部分を介して前記真空システムへと与えるス
    テップと、 該横延長部分に開けられたビューポートのウィンドウを
    介して、該横延長部分の内側の状態を観察するステップ
    とを備える方法。
  15. 【請求項15】 ウエハ支持プレートであって、熱伝導
    性材料で構成され、内部にヒータ要素が埋め込まれ、前
    記ウエハ支持プレートの裏面から延長する前記ヒータ要
    素からの線を有し、前記ウエハ支持プレートが前記ウエ
    ハ支持プレートの裏面に開いている温度センサ受容穴を
    有する、前記ウエハ支持プレートと、 前記ヒータ線と前記温度センサ受容穴へ延長する温度セ
    ンサとの通路を与える1つ以上の開口を自身に貫通して
    有するステムであって、前記ステムは、緊密にシールさ
    れて前記ウエハ支持プレートの前記裏面に固定されて、
    前記ヒータ線がここから延長する場所であって前記温度
    センサ受容穴が前記ウエハ支持プレートの裏面に開いて
    いる場所を有しており、該装置は前記ステムの底部分に
    付加される装置支持体に固定され且つ装置支持体のみに
    よって支持されることが可能であり、前記1つ以上の開
    口を有する前記ステムの中心コアは雰囲気状態に暴露さ
    れることが可能であり、他方、前記ステムが真空処理チ
    ャンバ内にシールされて載置された際に、前記ステムの
    外側面の実質部分が真空処理チャンバ内の真空環境に暴
    露される、前記ステムと、 前記ウエハ支持プレートの頂面の中心部分上に支持され
    且つこれを実質的にカバーするセラミックカバーライナ
    プレートであって、前記カバーライナプレートは、前記
    ウエハ支持プレートの頂面の対応する中心ハブ受容開口
    内にフィットする中心ハブを有し、前記カバーライナプ
    レートは、前記ウエハ支持プレートのリフトピン穴に対
    応する配置でウエハリフトピン支持材を有し、前記材の
    各材は前記カバーライナプレートの裏面から突き出る下
    向きハブを有し、前記下向きハブの少なくとも1つは、
    同じ方向で該ハブの直径寸法とほぼ同様の、前記中心ハ
    ブ受容穴から楕円穴への放射方向線に垂直な幅寸法を有
    する、前記プレートの前記頂部側の前記楕円穴内にフィ
    ットし、他方、該カバーライナプレートとウエハ支持プ
    レートとが基板処理環境の温度条件下に暴露された際の
    該カバーライナプレートとウエハ支持プレートとの間の
    熱膨張の差により、前記中心ハブが前記中心ハブ受容開
    口内に配置されたときカバーライナプレートとウエハ支
    持プレートの間の差分運動の範囲が、該カバーライナプ
    レートとウエハ支持プレートとの間の運動を障害なしに
    適合させるように、前記放射方向線に沿った前記ウエハ
    リフト支持材の直径寸法よりも実質的に大きな、前記放
    射方向線の方向に沿った長さ寸法を前記楕円開口が有す
    る、前記セラミックカバーライナプレートとを備える装
    置。
  16. 【請求項16】 前記楕円穴内にフィットするように構
    成された前記少なくとも1つ下向きハブ以外の前記下向
    きハブ全てが、前記少なくとも1つの下向きハブ以外の
    あらゆる前記下向きハブの側部と該ウエハリフトピン穴
    のエッジとの間に接触することなく、前記少なくとも1
    つの下向きハブ以外の全ての前記下向きハブのそれぞれ
    に受容されるように構成される請求項15に記載の装
    置。
  17. 【請求項17】 「L」字型形状をおおむね有し、
    「L」字形状部分の第1の脚が該カバーライナプレート
    の外エッジにオーバーラップする外縁リングを成し、
    「L」字形状部分の第2の脚が前記ウエハ支持プレート
    の外面の周囲のスカートを成す、セラミック材料製のエ
    ッジリングを更に備える請求項15に記載の装置。
  18. 【請求項18】 前記セラミックカバーライナプレート
    が、該カバーライナプレートの頂部の中心領域内の基板
    受容面を包囲する、盛り上がったリングを有する請求項
    15に記載の装置。
  19. 【請求項19】 前記セラミックカバーライナプレート
    が、該カバーライナプレートの頂部の中心領域内の基板
    受容面を包囲する、盛り上がったリングを有し、 前記カバーライナプレートは更に、前記盛り上がったリ
    ングの外側の外縁領域を有し、 前記「L」字型形状部分の第1の脚は、前記盛り上がっ
    たリングの外側の前記外縁領域とオーバーラップする請
    求項17に記載の装置。
  20. 【請求項20】 前記「L」字型形状部分の第1の脚
    が、前記盛り上がったリングとオーバーラップしない請
    求項19に記載の装置。
  21. 【請求項21】 前記カバーライナプレートが窒化アル
    ミニウムであり、前記エッジリングが酸化アルミニウム
    である請求項19に記載の装置。
  22. 【請求項22】 ウエハ支持プレートであって、熱伝導
    性材料で構成され、内部にヒータ要素が埋め込まれ、前
    記ウエハ支持プレートの裏面から延長する前記ヒータ要
    素からの線を有し、前記ウエハ支持プレートが前記ウエ
    ハ支持プレートの裏面に開いている温度センサ受容穴を
    有する、前記ウエハ支持プレートを備え、 熱伝導性材料内に埋め込まれた前記ヒータ要素は単一の
    連続的なヒータループを有し、ヒータ要素が前記ウエハ
    支持プレート内の略中心に置かれる同心円の線に沿って
    互い略平行に通るように前記ヒータループは構成され、
    該ヒータ要素は互いに交差せず、前記ヒータ要素の外側
    部分は前記支持プレートの外縁に隣接し、前記外側の要
    素の経路と同心の円の軌跡に沿って前記外側経路から所
    定の距離をもって通る該ヒータ要素の内側の部分のとこ
    ろで終了するように前記ヒータ要素の外側部分はループ
    し、前記ウエハ支持プレートに対して前記エネルギー支
    持プレートの表面にわたって略均一な温度を維持するこ
    とを促進するパターンで熱エネルギーを与える装置。
  23. 【請求項23】 前記ヒータ要素からの前記線が、前記
    ウエハ支持プレート内部の、前記ウエハ支持プレートの
    前記裏面から前記線が現れる場所から移動された場所
    で、前記ヒータ要素に接続される請求項22に記載の装
    置。
  24. 【請求項24】 前記ヒータ線と前記温度センサ受容穴
    へ延長する温度センサとの通路を与える1つ以上の開口
    を自身に貫通して有するステムであって、前記ステム
    は、緊密にシールされて前記ウエハ支持プレートの前記
    裏面に固定されて、前記ヒータ線がここから延長する場
    所であって前記温度センサ受容穴が前記ウエハ支持プレ
    ートの裏面に開いている場所を有しており、該装置は前
    記ステムの底部分に付加される装置支持体に固定され且
    つ装置支持体のみによって支持されることが可能であ
    り、前記1つ以上の開口を有する前記ステムの中心コア
    は雰囲気状態に暴露されることが可能であり、他方、前
    記ステムが真空処理チャンバ内にシールされて載置され
    た際に、前記ステムの外側面の実質部分が真空処理チャ
    ンバ内の真空環境に暴露される、前記ステムを更に有す
    る請求項23に記載の装置。
  25. 【請求項25】 前記ウエハ支持プレートの頂面の中心
    部分上に支持され且つこれを実質的にカバーするセラミ
    ックカバーライナプレートであって、前記カバーライナ
    プレートは、前記ウエハ支持プレートの頂面の対応する
    中心ハブ受容開口内にフィットする中心ハブを有し、前
    記カバーライナプレートは、前記ウエハ支持プレートの
    リフトピン穴に対応する配置でウエハリフトピン支持材
    を有し、前記材の各材は前記カバーライナプレートの裏
    面から突き出る下向きハブを有し、前記下向きハブの少
    なくとも1つは、同じ方向で該ハブの直径寸法とほぼ同
    様の、前記中心ハブ受容穴から楕円穴への放射方向線に
    垂直な幅寸法を有する、前記プレートの前記頂部側の前
    記楕円穴内にフィットし、他方、該カバーライナプレー
    トとウエハ支持プレートとが基板処理環境の温度条件下
    に暴露された際の該カバーライナプレートとウエハ支持
    プレートとの間の熱膨張の差により、前記中心ハブが前
    記中心ハブ受容開口内に配置されたときカバーライナプ
    レートとウエハ支持プレートの間の差分運動の範囲が、
    該カバーライナプレートとウエハ支持プレートとの間の
    運動を障害なしに適合させるように、前記放射方向線に
    沿った前記ウエハリフト支持材の直径寸法よりも実質的
    に大きな、前記放射方向線の方向に沿った長さ寸法を前
    記楕円開口が有する、前記セラミックカバーライナプレ
    ートを更に備える請求項24に記載の装置。
  26. 【請求項26】 前記ウエハ支持プレート内に冷却管が
    更に埋め込まれ、前記冷却管は、前記ヒータ要素からの
    前記線が前記ウエハ支持プレートから現れる場所と隣接
    する場所で、前記プレートの裏面から延長する管端部を
    有する請求項22に記載の装置。
  27. 【請求項27】 前記冷却管が、該ヒータ要素の内側部
    分と外側部分がたどる同心円軌跡と同心の円に沿って通
    り、前記冷却管が通る該同心円は、前記ヒータ要素の前
    記外側部分がたどる同心円の直径よりも小さく、且つ、
    前記ヒータ要素の前記内側部分がたどる同心円の直径よ
    りも大きな直径を有する請求項26に記載の装置。
  28. 【請求項28】 前記冷却管が、前記ウエハ支持プレー
    トの表面から前記ヒータ要素よりも大きな距離で、平面
    上の前記ウエハ支持プレート内に埋め込まれる請求項2
    7に記載の装置。
  29. 【請求項29】 前記ヒータ線と前記温度センサ受容穴
    へ延長する温度センサとの通路を与える1つ以上の開口
    を自身に貫通して有するステムであって、前記ステム
    は、緊密にシールされて前記ウエハ支持プレートの前記
    裏面に固定されて、前記ヒータ線がここから延長する場
    所であって前記温度センサ受容穴が前記ウエハ支持プレ
    ートの裏面に開いている場所を有しており、該装置は前
    記ステムの底部分に付加される装置支持体に固定され且
    つ装置支持体のみによって支持されることが可能であ
    り、前記1つ以上の開口を有する前記ステムの中心コア
    は雰囲気状態に暴露されることが可能であり、他方、前
    記ステムが真空処理チャンバ内にシールされて載置され
    た際に、前記ステムの外側面の実質部分が真空処理チャ
    ンバ内の真空環境に暴露される、前記ステムを更に有す
    る請求項26に記載の装置。
  30. 【請求項30】 前記ウエハ支持プレートの頂面の中心
    部分上に支持され且つこれを実質的にカバーするセラミ
    ックカバーライナプレートであって、前記カバーライナ
    プレートは、前記ウエハ支持プレートの頂面の対応する
    中心ハブ受容開口内にフィットする中心ハブを有し、前
    記カバーライナプレートは、前記ウエハ支持プレートの
    リフトピン穴に対応する配置でウエハリフトピン支持材
    を有し、前記材の各材は前記カバーライナプレートの裏
    面から突き出る下向きハブを有し、前記下向きハブの少
    なくとも1つは、同じ方向で該ハブの直径寸法とほぼ同
    様の、前記中心ハブ受容穴から楕円穴への放射方向線に
    垂直な幅寸法を有する、前記プレートの前記頂部側の前
    記楕円穴内にフィットし、他方、該カバーライナプレー
    トとウエハ支持プレートとが基板処理環境の温度条件下
    に暴露された際の該カバーライナプレートとウエハ支持
    プレートとの間の熱膨張の差により、前記中心ハブが前
    記中心ハブ受容開口内に配置されたときカバーライナプ
    レートとウエハ支持プレートの間の差分運動の範囲が、
    該カバーライナプレートとウエハ支持プレートとの間の
    運動を障害なしに適合させるように、前記放射方向線に
    沿った前記ウエハリフト支持材の直径寸法よりも実質的
    に大きな、前記放射方向線の方向に沿った長さ寸法を前
    記楕円開口が有する、前記セラミックカバーライナプレ
    ートを更に備える請求項29に記載の装置。
  31. 【請求項31】 前記装置はガス散布フェイスプレート
    と対向する真空処理チャンバ内の基板処理位置に基板を
    支持し、 前記チャンバは前記基板処理位置に隣接しこれを包囲す
    る内部チャンバを有し、 前記内部チャンバ面内の1つ以上の開口は前記基板処理
    位置を包囲する真空ダクトと流通し、前記真空ダクトは
    真空システムに接続され、 前記チャンバの前記1つ以上の開口は、プロセスガスが
    前記ガス散布フェイスプレートから前記チャンバ内の前
    記1つ以上の開口へ流れるように、該基板処理位置で基
    板上方に略均一なガスフローを与えるように構成される
    請求項15に記載の装置。
  32. 【請求項32】 基板を処理するための真空処理チャン
    バと、 前記真空処理チャンバ内の基板処理配置で基板を支持す
    るための基板支持体と、 前記基板を処理するために前記処理チャンバにプロセス
    ガスを供給するガス流入口と、を備え、 前記チャンバが、前記チャンバの壁面内の真空ダクトと
    流通する1つ以上の開口を前記内部チャンバ表面に有
    し、前記真空ダクトは真空システムに接続され、 前記内部チャンバ表面が、前記基板処理位置と隣接する
    セラミックライニングを有して、前記処理位置に隣接し
    た前記処理チャンバの本体の外縁壁が処理中に前記基板
    処理位置に存在するプラズマに直接暴露されることを防
    止する装置。
  33. 【請求項33】 前記基板処置位置から1つ以上の開口
    を有する前記真空ダクトへの360スロット開口が、リ
    ッドと、前記本体内の前記セラミックライニングの頂面
    の頂部と前記リッド内の絶縁リングの底面との間の前記
    処理チャンバとの間に配置され、 前記絶縁リングがセラミック材料で構成される請求項3
    2に記載の装置。
  34. 【請求項34】 前記基板処置位置に隣接して前記処理
    チャンバの壁面の内側に、1つ以上の可動リングを前記
    セラミックライニングが有する請求項32に記載の装
    置。
  35. 【請求項35】 基板処理チャンバの壁面を保護する方
    法であって、 電気的に接地された基板ペデスタルに対向する、エネル
    ギーが加えられるガス散布フェイスプレートを与えるス
    テップと前記処理チャンバの電気的に接地される壁面を
    ライニングする着脱可能なセラミックリングを与えるス
    テップとを備える方法。
  36. 【請求項36】 基板を処理するための真空処理チャン
    バと、 前記真空処理チャンバ内の前記基板処理配置で基板を支
    持する基板支持体と、 前記基板を処理するために前記処理チャンバへプロセス
    ガスを供給するガス流入口とを備え、 前記チャンバは、前記チャンバの壁内の真空ダクトに流
    通する1つ以上の開口を前記内部チャンバ面に有し、前
    記真空ダクトは真空システムに接続され、 前記ダクトから前記真空システムへの前記接続は、前記
    本体の真空接続流通路内を通過して前記本体の真空弁本
    体受容開口へと通じるように、少なくとも部分的に配置
    され、 前記真空弁本体受容開口は、前記チャンバの前記本体の
    弁シート面がシールされるように真空弁が前記真空弁本
    体受容開口内に配置され前記弁がとじられている場合
    に、前記真空システムから前記本体内の前記真空接続流
    通路がシールされる装置。
  37. 【請求項37】 閉じられているときは、処理チャンバ
    を脱気する真空システムから基板処理チャンバを少なく
    とも部分的に遮断する真空遮断弁を加熱する方法であっ
    て、 前記処理チャンバから真空チャンバへ脱気されるガスが
    通る前記基板処理チャンバの本体に流通路を与えるステ
    ップと、 前記本体内に前記遮断弁の弁シートを当たるステップ
    と、 前記弁が閉じられているときには、前記本体と一体であ
    るかの如く、前記弁シート上をシールし、前記本体から
    の熱エネルギーを前記弁へ移動させるように、前記本体
    内部に弁を与えるステップとを備える方法。
JP7312652A 1994-11-30 1995-11-30 Cvd処理チャンバ Pending JPH08227859A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/348273 1994-11-30
US08/348,273 US5558717A (en) 1994-11-30 1994-11-30 CVD Processing chamber

Publications (1)

Publication Number Publication Date
JPH08227859A true JPH08227859A (ja) 1996-09-03

Family

ID=23367317

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7312652A Pending JPH08227859A (ja) 1994-11-30 1995-11-30 Cvd処理チャンバ

Country Status (5)

Country Link
US (2) US5558717A (ja)
EP (3) EP0714998B1 (ja)
JP (1) JPH08227859A (ja)
KR (1) KR100279487B1 (ja)
DE (3) DE69535114T2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
JPH10154703A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 半導体ウェハの高温処理系及び方法
JPH10199874A (ja) * 1997-01-14 1998-07-31 Applied Komatsu Technol Kk リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法
JPH11100673A (ja) * 1997-07-11 1999-04-13 Applied Materials Inc 改良型熱交換通路接続
JP2000012650A (ja) * 1998-06-19 2000-01-14 Watanabe Shoko:Kk 基体の移載装置
US6176929B1 (en) 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
JP2002043240A (ja) * 2000-07-27 2002-02-08 Japan Storage Battery Co Ltd 紫外線処理装置
JP2002508587A (ja) * 1998-03-26 2002-03-19 アプライド マテリアルズ インコーポレイテッド 高温多層合金ヒータアッセンブリ及び関連する方法
JP2003239073A (ja) * 2002-02-18 2003-08-27 Ulvac Japan Ltd 成膜装置
JP2004214316A (ja) * 2002-12-27 2004-07-29 Ulvac Japan Ltd 熱板表面のカバー機構及びこの機構を備えた処理装置
WO2010079753A1 (ja) * 2009-01-09 2010-07-15 株式会社アルバック プラズマ処理装置
KR20160110273A (ko) * 2015-03-12 2016-09-21 에스피티에스 테크놀러지스 리미티드 Pe-cvd 장치 및 방법
KR20180087104A (ko) * 2017-01-23 2018-08-01 가부시키가이샤 히다치 하이테크놀로지즈 진공 처리 장치

Families Citing this family (794)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
KR970019156U (ko) * 1995-10-10 1997-05-26 오존 애셔장치
EP0780615B1 (en) * 1995-12-21 1999-08-18 Benkan Corporation Vacuum exhaust valve
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5810937A (en) * 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5800623A (en) * 1996-07-18 1998-09-01 Accord Seg, Inc. Semiconductor wafer support platform
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5885751A (en) * 1996-11-08 1999-03-23 Applied Materials, Inc. Method and apparatus for depositing deep UV photoresist films
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US5743788A (en) * 1996-12-02 1998-04-28 Motorola, Inc. Platen coating structure for chemical mechanical polishing and method
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5980638A (en) * 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6026896A (en) * 1997-04-10 2000-02-22 Applied Materials, Inc. Temperature control system for semiconductor processing facilities
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
TW403791B (en) * 1997-06-02 2000-09-01 Applied Materials Inc Quartz crystal microbalance for measurement of CVD exhaust deposits
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
JP3541339B2 (ja) * 1997-06-26 2004-07-07 富士通株式会社 マイクロホンアレイ装置
KR100466867B1 (ko) * 1997-07-03 2005-04-19 삼성전자주식회사 증착속도가일정한플라즈마인헨스드화학기상증착장치
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
KR100436543B1 (ko) * 1997-08-14 2004-07-16 삼성전자주식회사 열전달을 차단하는 절연체를 갖는 건식 에칭장비
US6451686B1 (en) * 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
JP2001522142A (ja) 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
JP3207147B2 (ja) * 1997-12-19 2001-09-10 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP3246891B2 (ja) * 1998-02-03 2002-01-15 東京エレクトロン株式会社 熱処理装置
US6120605A (en) * 1998-02-05 2000-09-19 Asm Japan K.K. Semiconductor processing system
JP3602324B2 (ja) * 1998-02-17 2004-12-15 アルプス電気株式会社 プラズマ処理装置
JPH11230036A (ja) 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
US6301270B1 (en) 1998-03-02 2001-10-09 Compaq Computer Corporation Right to left matching of device address numbers with provided integrated services digital network destination numbers
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6136703A (en) * 1998-09-03 2000-10-24 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US6206528B1 (en) 1998-09-30 2001-03-27 Euv Llc Surface figure control for coated optics
US6206966B1 (en) 1998-09-30 2001-03-27 The Regents Of The University Of California Pedestal substrate for coated optics
DE19847101C1 (de) 1998-10-13 2000-05-18 Wacker Siltronic Halbleitermat CVD-Reaktor und Verfahren zur Herstellung einer mit einer epitaktischen Schicht versehenen Halbleiterscheibe
KR100520446B1 (ko) * 1998-11-24 2005-12-21 삼성전자주식회사 에피택셜 성장 장치의 배기 시스템_
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
WO2000045427A1 (fr) * 1999-01-29 2000-08-03 Tokyo Electron Limited Procede et dispositif de traitement au plasma
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
US6300255B1 (en) 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2000349078A (ja) 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6530992B1 (en) * 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6635570B1 (en) * 1999-09-30 2003-10-21 Carl J. Galewski PECVD and CVD processes for WNx deposition
US6422921B1 (en) 1999-10-22 2002-07-23 Applied Materials, Inc. Heat activated detachable polishing pad
DE19952705A1 (de) * 1999-11-02 2001-05-10 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe mit einer epitaktischen Schicht
US6264536B1 (en) * 2000-02-01 2001-07-24 Lucent Technologies Inc. Reducing polish platen corrosion during integrated circuit fabrication
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6394023B1 (en) * 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
JP2001298020A (ja) * 2000-04-18 2001-10-26 Nhk Spring Co Ltd セラミックヒータ及びそれを用いた成膜処理装置
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
TW516076B (en) * 2000-06-13 2003-01-01 Applied Materials Inc Method and apparatus for increasing the utilization efficiency of gases during semiconductor processing
US7011039B1 (en) * 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6716289B1 (en) * 2000-08-09 2004-04-06 Itt Manufacturing Enterprises, Inc. Rigid gas collector for providing an even flow of gasses
US6325855B1 (en) * 2000-08-09 2001-12-04 Itt Manufacturing Enterprises, Inc. Gas collector for epitaxial reactors
US6666920B1 (en) * 2000-08-09 2003-12-23 Itt Manufacturing Enterprises, Inc. Gas collector for providing an even flow of gasses through a reaction chamber of an epitaxial reactor
US6599368B1 (en) * 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20030039247A (ko) * 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
KR20030066118A (ko) * 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7129694B2 (en) * 2002-05-23 2006-10-31 Applied Materials, Inc. Large substrate test system
DE10227332A1 (de) * 2002-06-19 2004-01-15 Akt Electron Beam Technology Gmbh Ansteuervorrichtung mit verbesserten Testeneigenschaften
JP2004035971A (ja) * 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
JP4106618B2 (ja) * 2003-04-14 2008-06-25 日本精工株式会社 位置決め装置
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
AU2003284723A1 (en) * 2003-05-12 2004-11-26 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US20050221603A1 (en) * 2003-06-23 2005-10-06 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
WO2005003406A2 (en) 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050066902A1 (en) * 2003-09-26 2005-03-31 Tokyo Electron Limited Method and apparatus for plasma processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
KR100526923B1 (ko) * 2004-01-05 2005-11-09 삼성전자주식회사 반도체 제조설비의 리프트핀 및 그 제조방법
US6833717B1 (en) * 2004-02-12 2004-12-21 Applied Materials, Inc. Electron beam test system with integrated substrate transfer module
US7355418B2 (en) * 2004-02-12 2008-04-08 Applied Materials, Inc. Configurable prober for TFT LCD array test
US7319335B2 (en) * 2004-02-12 2008-01-15 Applied Materials, Inc. Configurable prober for TFT LCD array testing
US20060038554A1 (en) * 2004-02-12 2006-02-23 Applied Materials, Inc. Electron beam test system stage
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7075323B2 (en) * 2004-07-29 2006-07-11 Applied Materials, Inc. Large substrate test system
US7394076B2 (en) 2004-08-18 2008-07-01 New Way Machine Components, Inc. Moving vacuum chamber stage with air bearing and differentially pumped grooves
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
KR100790393B1 (ko) * 2004-11-26 2008-01-02 삼성전자주식회사 플라즈마 공정장비
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7535238B2 (en) * 2005-04-29 2009-05-19 Applied Materials, Inc. In-line electron beam test system
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
JP4849829B2 (ja) * 2005-05-15 2012-01-11 株式会社ソニー・コンピュータエンタテインメント センタ装置
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
EP1739717A1 (en) * 2005-06-30 2007-01-03 Alter S.r.l. Plasma generator with a slot antenna
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
WO2007016013A2 (en) * 2005-07-27 2007-02-08 Applied Materials, Inc. Unique passivation technique for a cvd blocker plate to prevent particle formation
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US20070051388A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
US20070090516A1 (en) * 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070181065A1 (en) * 2006-02-09 2007-08-09 General Electric Company Etch resistant heater and assembly thereof
US7569818B2 (en) * 2006-03-14 2009-08-04 Applied Materials, Inc. Method to reduce cross talk in a multi column e-beam test system
US20070254494A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Faceplate with rapid temperature change
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
WO2007140377A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7786742B2 (en) 2006-05-31 2010-08-31 Applied Materials, Inc. Prober for electronic device testing on large area substrates
US7602199B2 (en) 2006-05-31 2009-10-13 Applied Materials, Inc. Mini-prober for TFT-LCD testing
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7485827B2 (en) * 2006-07-21 2009-02-03 Alter S.R.L. Plasma generator
US20080079220A1 (en) * 2006-08-29 2008-04-03 Aviza Technology, Inc. Rotary seal for diffusion furnance incorporating nonmetallic seals
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8004293B2 (en) 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7959735B2 (en) * 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
JP2008227033A (ja) * 2007-03-12 2008-09-25 Tokyo Electron Ltd 基板処理装置
US20080299326A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
JP2011525719A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
TWI495402B (zh) * 2008-10-09 2015-08-01 Applied Materials Inc 具有射頻迴流路徑之電漿處理腔室
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US20100151127A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Apparatus and method for preventing process system contamination
US20100209623A1 (en) * 2009-02-18 2010-08-19 Electronics And Telecommunications Research Institute Apparatus for growing large area vanadium dioxide thin film and method of growing large area oxide thin film in the apparatus
JP2010232637A (ja) * 2009-03-04 2010-10-14 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG174993A1 (en) * 2009-04-21 2011-11-28 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
EP2437850B1 (en) * 2009-06-04 2014-11-19 Morgan Advanced Ceramics, Inc. Co-fired metal and ceramic composite feedthrough assemblies for use at least in implantable medical devices and methods for making the same
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
AT11604U1 (de) * 2009-08-20 2011-01-15 Aichholzer Johann Ing Träger für wafer
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
TWI485799B (zh) 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
KR20120111738A (ko) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2576859B1 (en) * 2010-06-04 2014-12-24 Oerlikon Advanced Technologies AG Vacuum processing device
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR101227673B1 (ko) * 2010-12-08 2013-01-29 엘아이지에이디피 주식회사 화학기상 증착장치
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN103370774B (zh) 2011-02-21 2016-08-24 应用材料公司 在激光处理系统中的周围层气流分布
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
CN103811246B (zh) * 2012-11-14 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置及等离子体加工设备
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10221478B2 (en) * 2013-04-30 2019-03-05 Tokyo Electron Limited Film formation device
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
EP3077568B1 (en) * 2013-12-02 2019-02-20 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of a process chamber
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
CN103745902A (zh) * 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR101552663B1 (ko) * 2014-02-14 2015-09-11 피에스케이 주식회사 기판 처리 장치 및 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9978632B2 (en) * 2014-06-13 2018-05-22 Applied Materials, Inc. Direct lift process apparatus
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10236197B2 (en) * 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10186444B2 (en) * 2015-03-20 2019-01-22 Applied Materials, Inc. Gas flow for condensation reduction with a substrate processing chuck
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105803423A (zh) * 2016-04-21 2016-07-27 上海华力微电子有限公司 氮化钛沉积系统
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) * 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN207331058U (zh) * 2017-08-25 2018-05-08 京东方科技集团股份有限公司 一种镀膜装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TWI845682B (zh) 2019-05-22 2024-06-21 荷蘭商Asm Ip私人控股有限公司 工件基座主體
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
WO2021247627A1 (en) * 2020-06-03 2021-12-09 Lam Research Corporation Monobloc pedestal for efficient heat transfer
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
CN112458441B (zh) * 2020-10-22 2022-09-16 北京北方华创微电子装备有限公司 半导体工艺设备的反应腔室及半导体工艺设备
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
USD1031676S1 (en) * 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
WO2022245545A1 (en) * 2021-05-19 2022-11-24 Lam Research Corporation Low temperature manifold assembly for substrate processing systems
JP2023018347A (ja) * 2021-07-27 2023-02-08 キオクシア株式会社 基板支持装置および基板処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114141691B (zh) * 2021-12-14 2022-06-17 北京北方华创微电子装备有限公司 半导体工艺设备
DE102022102742A1 (de) 2022-02-07 2023-08-10 Vat Holding Ag Hubvorrichtung zum Absenken eines Substrats
CN114678296B (zh) * 2022-03-11 2023-03-31 苏州智程半导体科技股份有限公司 一种晶圆加热装置
CN116145114A (zh) * 2023-02-14 2023-05-23 应城市恒天药业包装有限公司 一种等离子体化学气相沉积装置
CN117230431B (zh) * 2023-11-15 2024-03-01 无锡尚积半导体科技有限公司 Cvd晶圆镀膜设备

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1359505A (fr) * 1963-05-29 1964-04-24 Int Computers & Tabulators Ltd Perfectionnements aux appareils de dépôt de pellicules minces par évaporation sous vide
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
US4873070A (en) * 1986-12-17 1989-10-10 Kabushiki Kaisha Kobe Seiko Sho Process for producing silicon carbide whiskers
JPS63196033A (ja) * 1987-02-09 1988-08-15 Fujitsu Ltd 気相成長装置
JPS6480982A (en) * 1987-09-24 1989-03-27 Matsushita Electric Ind Co Ltd Switching of map display
EP0327846A1 (de) * 1988-02-10 1989-08-16 Siemens Aktiengesellschaft Schaltungsanordnung zum verzerrungsarmen Schalten von Signalen
JP2644309B2 (ja) * 1988-11-04 1997-08-25 株式会社東芝 半導体製造装置
ES2054357T3 (es) * 1989-05-08 1994-08-01 Philips Nv Aparato y metodo para tratar substratos planos bajo una presion reducida.
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
JPH03240960A (ja) * 1990-02-16 1991-10-28 Hitachi Koki Co Ltd アモルファスシリコン膜製造装置
EP0447155B1 (en) * 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JPH03291927A (ja) * 1990-04-09 1991-12-24 Sony Corp 低温処理装置及び低温処理を含む連続処理装置
JPH07116586B2 (ja) * 1990-05-31 1995-12-13 株式会社芝浦製作所 バルブ機構を備えた配管装置
US5188672A (en) * 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JP2519827B2 (ja) * 1990-09-14 1996-07-31 シャープ株式会社 ディスク再生装置
JPH04157717A (ja) * 1990-10-22 1992-05-29 Toshiba Mach Co Ltd 気相成長用ウエハ加熱装置
JPH0812847B2 (ja) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
JP3033787B2 (ja) * 1991-06-04 2000-04-17 キヤノン株式会社 プラズマ処理装置
JPH0562936A (ja) * 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JP2888026B2 (ja) * 1992-04-30 1999-05-10 松下電器産業株式会社 プラズマcvd装置
US5318632A (en) * 1992-05-25 1994-06-07 Kawasaki Steel Corporation Wafer process tube apparatus and method for vertical furnaces
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JPH069137A (ja) * 1992-06-24 1994-01-18 Ricoh Co Ltd 排紙スタック装置
JP2766433B2 (ja) * 1992-07-23 1998-06-18 株式会社東芝 半導体気相成長装置
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH06306588A (ja) * 1993-04-27 1994-11-01 Nikon Corp 成膜装置及びそれを用いた複数の物質からなる 膜の製造方法
DE4316919C2 (de) * 1993-05-20 1996-10-10 Siegfried Dr Ing Straemke Reaktor für CVD-Behandlungen
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
JPH10154703A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 半導体ウェハの高温処理系及び方法
JP2005286325A (ja) * 1997-01-14 2005-10-13 Akt America Inc リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法
JPH10199874A (ja) * 1997-01-14 1998-07-31 Applied Komatsu Technol Kk リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法
JPH11100673A (ja) * 1997-07-11 1999-04-13 Applied Materials Inc 改良型熱交換通路接続
US6176929B1 (en) 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
JP2002508587A (ja) * 1998-03-26 2002-03-19 アプライド マテリアルズ インコーポレイテッド 高温多層合金ヒータアッセンブリ及び関連する方法
JP2000012650A (ja) * 1998-06-19 2000-01-14 Watanabe Shoko:Kk 基体の移載装置
JP2002043240A (ja) * 2000-07-27 2002-02-08 Japan Storage Battery Co Ltd 紫外線処理装置
JP2003239073A (ja) * 2002-02-18 2003-08-27 Ulvac Japan Ltd 成膜装置
JP2004214316A (ja) * 2002-12-27 2004-07-29 Ulvac Japan Ltd 熱板表面のカバー機構及びこの機構を備えた処理装置
WO2010079753A1 (ja) * 2009-01-09 2010-07-15 株式会社アルバック プラズマ処理装置
CN102272894A (zh) * 2009-01-09 2011-12-07 株式会社爱发科 等离子体处理装置
KR101290738B1 (ko) * 2009-01-09 2013-07-29 가부시키가이샤 아루박 플라즈마 처리 장치
JP5394403B2 (ja) * 2009-01-09 2014-01-22 株式会社アルバック プラズマ処理装置
KR20160110273A (ko) * 2015-03-12 2016-09-21 에스피티에스 테크놀러지스 리미티드 Pe-cvd 장치 및 방법
JP2016191147A (ja) * 2015-03-12 2016-11-10 エスピーティーエス テクノロジーズ リミティド プラズマ化学気相蒸着装置および方法
KR20180087104A (ko) * 2017-01-23 2018-08-01 가부시키가이샤 히다치 하이테크놀로지즈 진공 처리 장치
US10692784B2 (en) 2017-01-23 2020-06-23 Hitachi High-Tech Corporation Vacuum processing apparatus

Also Published As

Publication number Publication date
KR960019503A (ko) 1996-06-17
EP1041171B1 (en) 2006-07-19
DE69533928T2 (de) 2006-01-12
DE69523412T2 (de) 2002-06-20
EP1041171A1 (en) 2000-10-04
DE69535114D1 (de) 2006-08-31
DE69533928D1 (de) 2005-02-17
EP0714998A3 (en) 1996-12-04
EP0714998B1 (en) 2001-10-24
EP1046729A1 (en) 2000-10-25
KR100279487B1 (ko) 2001-02-01
EP0714998A2 (en) 1996-06-05
EP1046729B1 (en) 2005-01-12
DE69535114T2 (de) 2007-02-15
US5853607A (en) 1998-12-29
DE69523412D1 (de) 2001-11-29
US5558717A (en) 1996-09-24

Similar Documents

Publication Publication Date Title
JPH08227859A (ja) Cvd処理チャンバ
KR100190951B1 (ko) 씨브이디 반응기 및 방법에 있어서 입자발생의 최소화
US6368450B2 (en) Processing apparatus
US6063441A (en) Processing chamber and method for confining plasma
KR100345420B1 (ko) 플라즈마처리장치
US8007591B2 (en) Substrate holder having a fluid gap and method of fabricating the substrate holder
JP4688983B2 (ja) 誘導結合型hdp−cvdリアクター
US6286451B1 (en) Dome: shape and temperature controlled surfaces
US6109206A (en) Remote plasma source for chamber cleaning
US6189483B1 (en) Process kit
US6083344A (en) Multi-zone RF inductively coupled source configuration
US5846332A (en) Thermally floating pedestal collar in a chemical vapor deposition chamber
US5730803A (en) Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US10741368B2 (en) Plasma processing apparatus
US5994662A (en) Unique baffle to deflect remote plasma clean gases
KR20190075163A (ko) 플로팅 쉐도우 링을 가진 프로세스 키트
JPH10144614A (ja) Cvdプラズマリアクタにおける面板サーマルチョーク
WO2004082007A1 (ja) 半導体処理用の基板保持構造及びプラズマ処理装置
EP1068371A1 (en) Deposition resistant lining for cvd chamber
US11339466B2 (en) Heated shield for physical vapor deposition chamber
JP2001308079A (ja) プラズマ処理装置及びプラズマ処理方法
US20210351016A1 (en) Shield cooling assembly, reaction chamber and semiconductor processing apparatus
US11915918B2 (en) Cleaning of sin with CCP plasma or RPS clean
US20240068096A1 (en) Showerhead Assembly with Heated Showerhead

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060307

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060602

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060811

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061121