JP2005286325A - リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法 - Google Patents

リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法 Download PDF

Info

Publication number
JP2005286325A
JP2005286325A JP2005075722A JP2005075722A JP2005286325A JP 2005286325 A JP2005286325 A JP 2005286325A JP 2005075722 A JP2005075722 A JP 2005075722A JP 2005075722 A JP2005075722 A JP 2005075722A JP 2005286325 A JP2005286325 A JP 2005286325A
Authority
JP
Japan
Prior art keywords
chamber
wall
liner
remote
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005075722A
Other languages
English (en)
Other versions
JP4916119B2 (ja
Inventor
Shan Kuanyuan
シャン クアンユアン
M Robertson Robert
エム. ロバートソン ロバート
Kam S Law
エス. ロウ カム
Dan Maydan
メイダン ダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AKT America Inc
Original Assignee
AKT America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AKT America Inc filed Critical AKT America Inc
Publication of JP2005286325A publication Critical patent/JP2005286325A/ja
Application granted granted Critical
Publication of JP4916119B2 publication Critical patent/JP4916119B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides

Abstract

【課題】
プロセスチャンバ内での白色粉末の生成を抑える装置及び方法を提供する。
【解決手段】
本方法は、プロセスチャンバの壁の少なくとも一部分を加熱するステップ、プロセスチャンバの壁の大部分を覆うライナを設けるステップ、プロセスチャンバの内部に接続されたリモートチャンバを設けるステップ、リモートチャンバ内で清浄ガスのプラズマを生じさせるステップ、及び清浄ガスのプラズマの一部をプロセスチャンバ内に流入するステップを含んでいる。本装置は、壁を有する堆積チャンバ、壁に熱結合され壁を加熱する手段、壁の大部分を覆うライナ、チャンバの外側に配置されたリモートチャンバ、リモートチャンバ内にエネルギを供給することの可能な活性化源、リモートガス供給源からの前駆ガスをリモートチャンバ内に流入させる第1導管、及びリモートチャンバからの反応種を堆積チャンバ内に流入させる第2導管を含んでいる。
【選択図】 図1

Description

発明の詳細な説明
[発明の属する技術分野]
本発明は、リモートプラズマ源清浄技術を用いて窒化ケイ素堆積中の白色粉末を低減する装置および方法に関するものである。
[従来の技術]
プラズマ補助化学反応(plasma-assisted chemical reactions)は、半導体産業やフラットパネルディスプレイ産業で広く利用されている。その一例が、プラズマ励起式化学的気相堆積(PECVD)であり、これは、アクティブマトリクス液晶ディスプレイ(AMLCD)用の薄膜トランジスタ(TFT)の製造時に使用されるプロセスである。PECVDでは、基板は、一対の平行平板電極が設置された真空堆積チャンバ(vacuum deposition chamber)内に配置される。これらの電極の一方、例えば一般にサセプタと呼ばれる下部電極が基板を保持する。他方の電極、すなわち上部電極は、ガス入口マニホールドまたはシャワーヘッドとして機能する。堆積中、反応ガスは上部電極を通ってチャンバ内に流れ込み、高周波(RF)電圧が電極間に印加されて、反応ガス中にプラズマが形成される。このプラズマは、反応ガスを分解し、材料の層を基板の表面上に堆積する。
しばしば堆積される材料として、窒化ケイ素(SiN)がある。SiNは、その耐水性や耐ナトリウム汚染性のために、ゲート絶縁層およびパッシベーション層の共通の材料となっている。SiNの堆積では、本発明の譲受人に譲渡された米国特許第5,399,387号に記載されているように、シラン(SiH4)ガスおよびアンモニア(NH3)ガスからなるプラズマを用いることで、幾つかの反応経路に従ってSiNを堆積することが可能である。例えば、
(化1)

SiH+NH→SiNH+3H
3SiH+4NH→Si+12H

である。SiNは、基板上だけではなく壁や排気システムの上にも堆積する。既知の現場清浄プロセスは、清浄ガス(cleaning gas)(多くの場合、フッ化窒素(NF3)である)を供給し、排気可能な揮発性生成物を形成するためにRFプラズマを用いてチャンバ内部のガスを活性化することにより、壁からSiN膜を除去することが可能である。この反応は、次のように進行する。
(化2)

NF→RFプラズマ→NFx+F
F+SiN→RFプラズマ→SiF+N

生成物フッ化ケイ素(SiF4)は、この後、SiN堆積プロセス中にNH3およびフッ化水素(HF)と反応して、例えば六フッ化アンモニウム((NH42SiF6)を形成する。このような生成物および他の同様のケイ素含有フッ化物生成物は、ここでは「白色粉末」と呼ばれ、より一般的には、部分反応SiN膜を構成する。この望ましくない白色粉末は、例えば、真空ポンプ内で濃縮(condense)することがある。また、この白色粉末は、プロセスチャンバをポンプに接続する真空ライン(フォアライン)やポンプを排気システムに接続する真空ライン(排気ライン)内で濃縮することもある。最終的に、この白色粉末は、燃焼箱(排気を扱う)内で、およびチャンバ壁上で濃縮する可能性がある。ポンプおよび排気の場合、濃縮は、総計で数キログラムの白色粉末になることがあり、これにより、しばしばポンプが故障する。フォアラインおよび排気ラインの場合、目詰まりが起こることがある。この白色粉末は、堆積プロセス中において望ましくない微粒子の源ともなる。
[発明が解決しようとする課題]
従来のプラズマ現場清浄プロセスは、白色粉末の除去やSiN堆積中における白色粉末の発生の低減には有効でない。チャンバやチャンバ内の露出部品を清浄するこのようなシステムでは、前駆ガスがチャンバに供給される。この後、チャンバ内の前駆ガスにグロー放電プラズマを局所的に加えることにより、反応種が生成される。この反応種は、チャンバ表面上のプロセス堆積物と揮発性の化合物を形成することによりチャンバの表面を清浄する。このプラズマ現場清浄は、通常、白色粉末を除去せず、ポンプおよび排気の定期的な整備が依然として必要である。
新たに改良を加えた清浄システムが、白色粉末を除去するために開発されている。例えば、ある改良システムは、プロセスチャンバとポンプとの間に追加のプラズマ源を導入する。別の例では、ポンプとプロセスチャンバとの間、あるいは(排気ライン中における)ポンプの後にトラップが導入されている。しかしながら、これらの方法も、白色粉末の除去やSiN堆積中における白色粉末の発生の低減には有効でない。
本発明は、SiN堆積プロセス中に形成される白色粉末の量を低減することを目的としている。また、白色粉末形成の結果として生じる可能性のある部品への損傷を低減することを関連する目的としている。
[課題を解決するための手段]
一つの側面では、本発明は、窒化ケイ素を堆積するために用いられるプロセスチャンバ内での白色粉末の生成を低減する方法に関しており、プロセスチャンバの壁の少なくとも一部分を加熱するステップと、プロセスチャンバの壁の実質的な部分を覆うライナを設けるステップと、プロセスチャンバの内部に接続されたリモートチャンバを設けるステップと、このリモートチャンバ内で清浄ガスのプラズマを発生させるステップと、この清浄ガスのプラズマの一部をプロセスチャンバ内に流入するステップと、を備え、白色粉末の生成が実質的に低減されるようになっている。
この発明の具体化には、以下の事項が含まれる。上記の加熱ステップは、壁内の少なくとも1個の中空隔室内に加熱された流体を流入することにより行われる。白色粉末の生成は、真空ライン内や、プロセスチャンバに機能を提供するポンプシステム内で低減される。この方法は、ウェーハを約85℃以上の温度に加熱するステップをさらに備えていても良い。上記のライナは、プロセスチャンバの内部の実質的に全体を覆っている。このライナは、アルマイト(陽極酸化アルミニウム)(anodized aluminum)またはセラミックから作られている。
別の側面では、本発明は、窒化ケイ素を堆積するために使用されるプロセスチャンバ内での白色粉末の生成を低減する方法に関しており、プロセスチャンバの壁を加熱する手段を設けるステップと、プロセスチャンバの内部の実質的な部分を覆うライナを設けるステップと、を備え、白色粉末の生成が実質的に低減されるようになっている。
この発明の具体化には、以下の事項が含まれる。上記の加熱手段は、抵抗ヒータ、またはプロセスチャンバの外側を実質的に覆う熱絶縁ブランケットである。
別の側面では、本発明は、白色粉末の生成が低減される窒化ケイ素堆積用の装置に関する。本発明は、壁を有する堆積チャンバと、この壁を加熱する手段であってこの壁に熱結合(thermally couple)された手段と、壁の実質的な部分を覆うライナと、チャンバの外側に配置されたリモートチャンバと、このリモートチャンバ内にエネルギを供給する活性化源(activation source)と、リモートガス供給源からの前駆ガスを、前駆ガスが活性化源によって活性化されて反応種が形成されるリモートチャンバ内に流入する第1の導管と、リモートチャンバからの反応種を堆積チャンバ内に流入する第2の導管と、を備えている。
この発明の具体化には、以下の事項が含まれる。上記の加熱手段は、壁の少なくとも一部分の内部に配置された隔室と、この隔室に接続された流体流入口と、この隔室に接続された流体流出口と、を有している。また、前記流体流入口に接続された流体源もある。この加熱手段は、チャンバの外部を実質的に覆う熱絶縁ブランケットであっても良い。
本発明の利点は、SiN堆積プロセス中に生成される白色粉末の量が低減されることである。また、白色粉末によって引き起こされるポンプの故障やライン目詰まりの発生が低減されることも利点である。
本発明の他の目的や利点は、以下の説明の中で述べるが、その一部は以下の説明から明らかになり、また本発明の実施によって理解することができる。本発明の目的および利点は、特に特許請求の範囲で指摘される手段および組合せによって実現および取得することができる。
[発明の実施の形態]
添付の図面は、上記の一般的な説明および以下の詳細な説明とともに本発明を概略的に示しており、本発明の原理を説明するのに役立っている。なお、添付図面は、本明細書に組み込まれて本明細書の一部をなす。
説明する実施形態では、我々は、カリフォルニア州サンタクララのアプライドコマツテクノロジー製モデルAKT−3500PECVDシステムを使用した。このシステムには、本明細書で説明するように改修が加えられている。このAKT−3500PECVDは、AMLCDの生成に使用するために設計されている。これは、複数のプロセスチャンバを有するモジュラシステムである。これらのプロセスチャンバは、アモルファスシリコン膜、SiN膜、シリコン酸化膜および酸窒化膜を堆積するために使用することができる。このシステムに関するより詳しい説明は、本発明の譲受人に譲渡された米国特許出願第08/707,491号に見出すことができる。なお、この文献は、参照文献として本明細書に組み込まれる。しかしながら、本発明は、任意の市販の堆積システムとともに使用することも可能である。
図1に示されるように、本発明に従って改修されたPECVDシステムは、堆積ガスを導入するためのガス入口マニホールド(またはシャワーヘッド)12を内側に有する堆積チャンバ10と、材料が堆積されるべき基板16を保持するサセプタ14と、を含んでいる。入口マニホールド12およびサセプタ14は、双方とも平行平板形であり、それぞれ上部電極および下部電極としても機能する。下部電極およびチャンバ本体は、グラウンドに接続されている。RF電源38は、整合回路網(matching network)40を介して上部電極に電力を供給する。RF電源38は、上部電極と下部電極との間にプラズマを生成するために用いられる。
ポンプシステムは、フォアライン62、排気ライン64、ポンプ36、燃焼箱(burn box)66および流出口72を有している。ポンプ輸送されるべきガスは、チャンバ10内のランダム運動を介してフォアライン62に入る。このガスは、ポンプ36によって除去され、排気ライン64を介してポンプ36から排気される。燃焼箱66はこの排気ガスを処理し、この後、この排気ガスは流出口72を介して除去される。燃焼箱66は、酸素およびメタンを燃焼する場合に特に有用である。流出口72は、別のポンプまたは処理設備に接続されていても良いし、あるいは単に大気への出口であっても良い。
チャンバ10の外側には、堆積中に用いられる複数のガスを含んだ堆積ガスシステム32がある。SiNの堆積では、これらのガスは、通常、アンモニアおよびシランである。これらは、例えば、アンモニアガス供給源71およびシランガス供給源68によって示されている。これらのプロセスガスは、入口を通ってガスマニホールド内に流入した後、シャワーヘッドを通ってチャンバ内に流入する。電動バルブおよび流れ制御機構34は、ガス供給源からチャンバ内へのガスの流れを制御する。
チャンバ10は、ライナ15を含んでいる。図1では、ライナ15は、チャンバの内壁70の所定部分をライニングする2枚の平行平板として概略図示されている。ライナ15は、実質的にチャンバ10の内壁70全体をライニングしていることが好ましく、チャンバ内壁70の全てをライニングしているとより一層好ましい。ライナ15は、例えばアルマイト(陽極酸化アルミニウム)やセラミック材料から形成されていても良い。使用可能なセラミックライナは、本発明の譲受人に譲渡された米国特許第5,366,585号に記載されている。なお、この文献は、参照文献として本明細書に組み込まれる。ライナ15は、通常、チャンバ10の内壁と物理的および熱的に接触している。
ライナ15は、チャンバ内およびチャンバ上の少なくとも3個の要素からの対流、伝導、および放射によって加熱される。第1に、堆積チャンバ内の熱いプロセスガスは、ライナ15を加熱する傾向がある。これらのガスは、ライナ15と直接接触し、熱伝導によってエネルギを直接伝達する。第2に、サセプタの加熱がチャンバ内に熱い要素を作り出し、この熱が放射および対流によってライナ15に部分的に伝達される。第3に、ライナ15は、チャンバの壁から更に加熱を受けることがある。ここで、チャンバの壁は、後述するようにして加熱される。約370℃程度に高くすることできる通常のプロセス温度では、ライナ15は、約150℃からサセプタの温度(370℃)付近までの温度に達することが可能である。但し、通常は、約250℃である。一般に、濃縮を排除する効果は、ライナ温度が高まるに伴って大きくなる。上記の温度において、ライナ15上での濃縮は低減される。注目すべきことに、これらの温度は、通常、ライナ15との化学反応が生じない程度に低い温度である。
チャンバ10の各壁は、独立に加熱される。これは、多数の理由によるものである。第1に、ライナ15は、チャンバ10の内壁70への衝突を全てのガスについて防ぐことはできないからである。このため、清浄ガス粒子が内壁70に付着することを防ぐために、壁70の温度は、いかなる衝突ガス粒子も壁70上で濃縮しないように加熱によって上昇させられる。壁70を加熱する第2の理由は、ライナ15の加熱を高めるためである。上述のように、ライナ15は、加熱された壁70からの熱伝導により、ある程度の熱を受け取る。
壁70は、多数の方法により加熱することができる。壁を加熱する方法は、重要ではない。一例として、壁70に熱結合された抵抗ヒータにより加熱を行うことができる。このような結合の方法は、当業者にとって公知である。
別の実施形態では、チャンバ10の壁は、流入口11および流出口13に接続された中空部分17を有している。再循環流体供給装置61は、これらの出入口を用いて、加熱済みのガスまたは液体をチャンバ壁を通して流す。例えば、水は、約75℃からその沸点までの温度範囲で使用することができる。特に、温度85℃の水を使用することができる。その後、加熱済みの水が、チャンバ壁を加熱する。流体の流れは、図1において矢印“A”で概略的に示されている。このチャンバフローシステムは、チャンバ10の一又は複数の中空セクションを形成する一連の流体接続された隔室を含んでいてもよい。すなわち、壁は、隔室を画成する中空セクションを有していてもよい。流体通路によって隔室を接続することができるので、一つの隔室内に継続して流れ込む流体は、最終的には他の隔室に到達し、この隔室にも流体が流れることになる。このような隔室の設計は、チャンバ10の壁の形状寸法や設計に応じて決めることができる。好ましくは、実質的に全ての内壁が、少なくとも一つの中空隔室に極めて接近していると良い。
本発明は、リモートプラズマ源清浄技術とともに用いられる。換言すると、ライナおよび加熱壁は、(参照文献として組み込まれた上記特許出願に記載されているように)リモートプラズマ源清浄によってもたらされる有利な清浄効果とともに用いられる。ライナおよび加熱壁とともに用いられるリモートプラズマ源は、白色粉末の生成を実質的に低減することを可能にする。
リモートプラズマ源清浄システムの一例では、流入口33を介して清浄ガス供給システム69もチャンバに接続されている。清浄ガス供給システムは、ガス、例えばNF3、を供給して、一連の堆積作業の後にチャンバの内側を清浄する。これらのガスが混合の望まれるものである場合は、第1および第2ガス供給源を結合することも可能である。
清浄ガスシステム69は、前駆ガス44の源と、前駆ガス44の流れを制御する電動バルブおよび流れ制御機構と、堆積チャンバの外側において所定距離だけ離れたリモート活性化チャンバ(remote activation chamber)46にガス44を流入する第1の導管67と、を含んでいる。電力励起源(power activation source)、例えば高出力マイクロ波発生器48は、リモート活性化チャンバ内で前駆ガスを活性化するために用いられる。第2の導管またはパイプ57は、リモートチャンバ46を流入口33を介して堆積チャンバ10に接続する。流れレストリクタ(flow restrictor)59は、リモートチャンバ46と堆積チャンバ10との間に圧力差が存在できるようにするため、パイプ57内で使用される。リモートチャンバ46は、サファイアチューブであってもよく、電源は、サファイアチューブ用の出力を有する2.54GHzマイクロ波エネルギ源であってもよい。
上記の実施形態では、前駆ガスはNF3である。活性種の流量は約2リットル毎分であり、プロセスチャンバ圧力は約0.5Torrである。前駆ガスを活性化するため、マイクロ波源は、約3000〜12000ワットをリモート活性化チャンバに供給する。5000ワットという値は、多くの応用例に用いることができる。
別の実施形態では、図2に示されるように、熱絶縁ブランケット73を用いてチャンバ10の外側を覆っても良い。この実施形態では、図2に示されるように、ブランケット73は、チャンバ10内のプロセスガスおよびサセプタにより生成された熱を維持するのに役立っている。言い換えると、ブランケット73は、この熱が環境から逃げることを許さない。ブランケット73を用いると、熱放散の通常の経路が取り除かれるので、内壁70は加熱される。この実施形態は、再循環流体システム61を介して壁を通して流体を流しつつ、あるいは流すことなく使用することができる。
双方の実施形態では、サセプタ14は、堆積中に基板を加熱する抵抗ヒータ18を含んでいる。外部ヒータ制御モジュール20は、ヒータに電力を供給して、システム内で行われているプロセスにより指示される適切な温度レベルにサセプタを到達させ、その温度レベルに維持する。
白色粉末の除去における加熱壁およびライナのリモートプラズマ源と協同した動作は、以下のように少なくとも部分的に説明することができる。リモートプラズマ源を用いると、清浄ガスは、チャンバ内の長い距離を進行する長い期間にわたってプラズマ状態を維持する。(この特徴は、参照文献として本明細書に組み込まれる上記特許出願でも説明されている。)清浄ガスが長い距離を進行すると、清浄ガスは、チャンバの離れた部分に広がる。例えば、ガス粒子はポンプに到達することができるが、これらのガス粒子は依然としてプラズマ状態にある。このため、これらの粒子は、ポンプ付近の領域、すなわち白色粉末の主な収集箇所を清浄することができる。チャンバの他の離れた部位も、同様に清浄される。
チャンバ全体にわたる拡散に加えて、リモートプラズマシステムは、従来のシステムよりも大量の清浄ガスを供給する。このため、化学清浄反応を完了まで押し進める活性プラズマガスがより大量に存在している。再度述べるが、この説明は一つの提案として理解されるべきであり、限定的なものと解釈されるべきではない。
リモートプラズマ源を備える加熱壁およびライナからなる上記システムを用いることで、幾つかの利点が得られる。例えば、システム中に形成される白色粉末の量が、特にフォアライン62、排気ライン64、ポンプ36、燃焼箱66、およびチャンバ内壁70上で低減される。これにより、SiNが堆積される従前のシステムよりもポンプや燃焼箱の故障が少なくなる。
一般に、清浄に用いられる反応ガスは、広い選択範囲から選択することができる。この選択範囲には、一般に用いられるハロゲンやハロゲン化合物が含まれる。
選択される電力レベル、流量、および圧力はシステム特有のものであり、これらは、プロセスが行われる特定のシステムに最適化する必要がある。プロセス条件を適切に調節して特定のシステムに対して最適な性能を達成することは、通常の当業者の能力の範囲内である。
ここまで、本発明を好適な実施形態の面から説明してきた。しかしながら、本発明は、上述の実施形態に限定されるものではない。本発明の範囲は、特許請求の範囲によって定められる。
本発明を具体化した高出力PECVDリモートプラズマ清浄システムのブロック図である。 本発明を具体化した高出力PECVDリモートプラズマ清浄システムであって熱絶縁ブランケットを用いる清浄システムのブロック図である。
符号の説明
10…堆積チャンバ、12…ガス入口マニホールド、14…サセプタ、15…ライナ、16…基板、36…ポンプ、38…RF電源、62…フォアライン、64…排気ライン、66…燃焼箱、72…流出口。

Claims (25)

  1. 窒化ケイ素を堆積するために用いられるプロセスチャンバ内での白色粉末の生成を低減する方法であって、
    前記プロセスチャンバの壁の少なくとも一部を加熱するステップと、
    前記プロセスチャンバの壁の実質的な部分を覆うライナを設けるステップと、
    前記プロセスチャンバの内部に接続されたリモートチャンバを提供するステップと、
    前記リモートチャンバ内で洗浄ガスのプラズマを生じさせるステップと、
    前記プロセスチャンバ内に前記洗浄ガスのプラズマを流入するステップと、
    を備え、前記白色粉末が実質的に減少する、前記方法。
  2. 前記加熱ステップは、前記壁内の少なくとも1個の中空隔室内に、加熱された流体を流すことにより行われる、請求項1記載の方法。
  3. 真空ライン内、および前記プロセスチャンバに機能を提供するポンプシステム内で、白色粉末の生成が低減される、請求項2記載の方法。
  4. 前記加熱された流体が実質的に水である、請求項2記載の方法。
  5. 前記水を約85℃以上の温度に加熱するステップを更に備える、請求項4記載の方法。
  6. 上記水を約85℃の温度に加熱するステップを更に備える、請求項5記載の方法。
  7. 上記ライナは、前記プロセスチャンバの全体の内部を覆う、請求項2記載の方法。
  8. 上記ライナは、アルマイト製である、請求項6記載の方法。
  9. 上記ライナは、セラミック製である、請求項2記載の方法。
  10. 白色粉末の生成が低減される窒化ケイ素堆積用の装置であって、
    壁を有する堆積チャンバと、
    前記壁を加熱する手段であって、前記壁に熱結合された手段と、前記壁の実質的な部分を覆うライナと、
    前記チャンバの外側に配置されたリモートチャンバと、
    前記リモートチャンバ内にエネルギを供給する活性化源と、
    リモートガス供給源からの前駆ガスを、この前駆ガスが前記活性化源によって活性化されて反 応種が形成される前記リモートチャンバ内に流入する第1の導管と、
    前記リモートチャンバからの反応種を前記堆積チャンバ内に流入する第2の導管と、
    第2導管と流体連通したシャワーヘッドと、
    シャワーヘッドに接続された電源と、
    を備える装置。
  11. 前記加熱手段は、
    前記壁の少なくとも一部内に置かれた隔室と、
    前記隔室に接続された流入口ポートと、
    前記隔室に接続された流出口ポートと、
    を含む、請求項10記載の装置。
  12. 前記流入口ポートに接続された流体源を更に備える、請求項11記載の装置。
  13. 前記流体は、約85℃以上の温度に加熱される水である、請求項12記載の装置。
  14. 前記水は、約85℃の温度に加熱される、請求項13記載の装置。
  15. ライナは、前記堆積チャンバの内部の全体を実質的に覆う、請求項10記載の装置。
  16. ライナは、アルミニウム製である、請求項10記載の装置。
  17. ライナは、セラミック製である、請求項10記載の装置。
  18. 加熱手段は、前記チャンバの外部を実質的に覆う熱絶縁ブランケットである、請求項10記載の装置。
  19. 堆積チャンバ内部に位置決めされたサセプタを更に備える、請求項10記載の装置。
  20. サセプタを加熱する為のヒータを更に備える、請求項19記載の装置。
  21. プロセスチャンバ内の上部電極にパワーを供給するステップを更に備える、請求項1記載の方法。
  22. 上部電極は、シャワーヘッドである、請求項21記載の方法。
  23. パワーは、高周波源により供給される、請求項22記載の方法。
  24. 前記壁を加熱する手段は、チャンバ洗浄中、前記壁を加熱する能力がある、請求項10記載の装置。
  25. ライナは、アルミニウム製である、請求項10記載の装置。
JP2005075722A 1997-01-14 2005-03-16 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置 Expired - Lifetime JP4916119B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/782,169 US6055927A (en) 1997-01-14 1997-01-14 Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US08/782169 1997-01-14

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP9324651A Division JPH10199874A (ja) 1997-01-14 1997-11-26 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法

Publications (2)

Publication Number Publication Date
JP2005286325A true JP2005286325A (ja) 2005-10-13
JP4916119B2 JP4916119B2 (ja) 2012-04-11

Family

ID=25125206

Family Applications (2)

Application Number Title Priority Date Filing Date
JP9324651A Pending JPH10199874A (ja) 1997-01-14 1997-11-26 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法
JP2005075722A Expired - Lifetime JP4916119B2 (ja) 1997-01-14 2005-03-16 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP9324651A Pending JPH10199874A (ja) 1997-01-14 1997-11-26 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法

Country Status (4)

Country Link
US (2) US6055927A (ja)
JP (2) JPH10199874A (ja)
KR (2) KR19980070120A (ja)
TW (1) TW385485B (ja)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
KR100296692B1 (ko) * 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
KR100363081B1 (ko) 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6635570B1 (en) * 1999-09-30 2003-10-21 Carl J. Galewski PECVD and CVD processes for WNx deposition
KR100345053B1 (ko) * 1999-10-01 2002-07-19 삼성전자 주식회사 Hsg-si 제조 방법 및 상기 방법을 수행하는 장치
JP2001252527A (ja) * 2000-03-13 2001-09-18 Seiko Epson Corp Pfcの処理方法および処理装置
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
JP2002243898A (ja) * 2001-02-13 2002-08-28 Ebara Corp ビーム取り出し装置
CN1309859C (zh) * 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
US6811615B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
TWI245329B (en) * 2001-11-14 2005-12-11 Anelva Corp Heating element CVD device and heating element CVD method using the same
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
KR101279914B1 (ko) 2004-06-25 2013-07-01 어플라이드 머티어리얼스, 인코포레이티드 밀봉 필름의 차수 성능 개선 방법 및 장치
US7214600B2 (en) * 2004-06-25 2007-05-08 Applied Materials, Inc. Method to improve transmittance of an encapsulating film
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7510742B2 (en) * 2005-11-18 2009-03-31 United Technologies Corporation Multilayered boron nitride/silicon nitride fiber coatings
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
WO2007117576A2 (en) * 2006-04-07 2007-10-18 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
DE112007001813T5 (de) 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US20080131622A1 (en) * 2006-12-01 2008-06-05 White John M Plasma reactor substrate mounting surface texturing
KR101339699B1 (ko) * 2007-04-02 2013-12-10 (주)소슬 플라즈마 식각 장치
JP2010524225A (ja) 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
KR101204496B1 (ko) * 2007-05-18 2012-11-26 가부시키가이샤 아루박 플라즈마 처리 장치 및 방착 부재의 제조 방법
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
JP5020758B2 (ja) * 2007-09-25 2012-09-05 東京エレクトロン株式会社 ガス供給装置、半導体製造装置及びガス供給装置用部品
JP2011525719A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US8410935B2 (en) * 2008-07-10 2013-04-02 Radarfind Corporation Rotatable tags for automated location and monitoring of moveable objects and related systems
KR101509632B1 (ko) * 2008-09-19 2015-04-08 주성엔지니어링(주) 기판 처리 장치 및 방법
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
KR101499305B1 (ko) * 2010-03-16 2015-03-05 도쿄엘렉트론가부시키가이샤 성막 장치
JP5976776B2 (ja) * 2011-04-08 2016-08-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uv処理、化学処理、および堆積のための装置および方法
KR102036325B1 (ko) * 2013-02-13 2019-10-25 삼성디스플레이 주식회사 방착 유니트를 가지는 박막 증착 장치와, 이의 증착물을 제거하는 방법
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
KR102410526B1 (ko) * 2015-01-22 2022-06-20 삼성디스플레이 주식회사 플라즈마 발생장치의 오염측정장비
US10879049B2 (en) * 2015-07-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition tool and operating method thereof
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02226721A (ja) * 1988-10-31 1990-09-10 Tokyo Electron Ltd 処理方法
JPH06298596A (ja) * 1993-01-28 1994-10-25 Applied Materials Inc プラズマ処理反応器内の導電性の面を保護するための方法及び装置
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
JPH08227859A (ja) * 1994-11-30 1996-09-03 Applied Materials Inc Cvd処理チャンバ
JPH08227881A (ja) * 1995-02-20 1996-09-03 Tokyo Electron Ltd 熱処理装置及びその方法
JPH08253863A (ja) * 1995-03-14 1996-10-01 Sony Corp プラズマcvd装置
JPH10199874A (ja) * 1997-01-14 1998-07-31 Applied Komatsu Technol Kk リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5211176A (en) * 1975-07-18 1977-01-27 Toshiba Corp Activation gas reaction apparatus
JPS55145338A (en) * 1979-05-01 1980-11-12 Toshiba Corp Pressure reduction chemical vapour deposition device
JPS6029295B2 (ja) * 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
CH643469A5 (fr) * 1981-12-22 1984-06-15 Siv Soc Italiana Vetro Installation pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide.
JPH0770509B2 (ja) * 1982-10-08 1995-07-31 株式会社日立製作所 ドライプロセス装置
JPS6126774A (ja) * 1984-07-16 1986-02-06 Canon Inc 非晶質シリコン膜形成装置
JPS6188527A (ja) * 1984-10-08 1986-05-06 Hitachi Ltd 半導体プロセス装置
US4724160A (en) * 1986-07-28 1988-02-09 Dow Corning Corporation Process for the production of semiconductor materials
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
JP2760845B2 (ja) * 1988-07-08 1998-06-04 株式会社日立製作所 プラズマ処理装置及びその方法
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
JP2949874B2 (ja) * 1990-11-21 1999-09-20 富士電機株式会社 Ecrプラズマcvd装置ドライクリーニングの方法
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
JP2532401Y2 (ja) * 1991-04-16 1997-04-16 ソニー株式会社 バイアスecrプラズマcvd装置
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
DE4132559A1 (de) * 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
JP3079818B2 (ja) * 1992-12-25 2000-08-21 富士電機株式会社 プラズマ処理装置
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JPH07288248A (ja) * 1994-04-19 1995-10-31 Toshiba Corp 半導体素子用プラズマ装置
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3360090B2 (ja) * 1994-09-30 2002-12-24 アネルバ株式会社 プラズマ処理装置
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
JP3164195B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5895548A (en) * 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02226721A (ja) * 1988-10-31 1990-09-10 Tokyo Electron Ltd 処理方法
JPH06298596A (ja) * 1993-01-28 1994-10-25 Applied Materials Inc プラズマ処理反応器内の導電性の面を保護するための方法及び装置
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
JPH08227859A (ja) * 1994-11-30 1996-09-03 Applied Materials Inc Cvd処理チャンバ
JPH08227881A (ja) * 1995-02-20 1996-09-03 Tokyo Electron Ltd 熱処理装置及びその方法
JPH08253863A (ja) * 1995-03-14 1996-10-01 Sony Corp プラズマcvd装置
JPH10199874A (ja) * 1997-01-14 1998-07-31 Applied Komatsu Technol Kk リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法

Also Published As

Publication number Publication date
TW385485B (en) 2000-03-21
US6468601B1 (en) 2002-10-22
JP4916119B2 (ja) 2012-04-11
KR19980070120A (ko) 1998-10-26
JPH10199874A (ja) 1998-07-31
KR20060087376A (ko) 2006-08-02
KR100857871B1 (ko) 2008-09-10
US6055927A (en) 2000-05-02

Similar Documents

Publication Publication Date Title
JP4916119B2 (ja) リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置
KR100767762B1 (ko) 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP3132489B2 (ja) 化学的気相成長装置及び薄膜成膜方法
US6810886B2 (en) Chamber cleaning via rapid thermal process during a cleaning period
TWI534863B (zh) 選擇性沉積磊晶鍺合金應力源的方法與設備
CN100577865C (zh) 为介质cvd膜实现晶片间厚度均匀性的高功率介质干燥
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
CN105453233A (zh) 于外延生长之前预清洁基板表面的方法和设备
JPH10149989A (ja) 高出力遠隔励起源を用いた堆積チャンバクリーニング技術
US20070267143A1 (en) In situ cleaning of CVD system exhaust
JPS61127121A (ja) 薄膜形成方法
CN103290387B (zh) 化学气相沉积反应器过程室清洁方法
US20090023301A1 (en) Film deposition apparatus, method of manufacturing a semiconductor device, and method of coating the film deposition apparatus
JP2001102367A (ja) 遠隔プラズマ源を用いる被膜除去
JP3456933B2 (ja) 半導体処理装置のクリーニング方法および半導体処理装置
US6794308B2 (en) Method for reducing by-product deposition in wafer processing equipment
JPH0891987A (ja) プラズマ化学蒸着装置
KR20040088948A (ko) Rps 교체용 분리 밸브를 가지는 cvd 장치
JPH1079379A (ja) プラズマ処理装置
JPS61127120A (ja) 薄膜形成方法
JPH09246260A (ja) プラズマcvd装置
JPH01279759A (ja) プラズマ処理装置
JPS61121324A (ja) 気相成長装置
JPS61264719A (ja) 光cvd法
KR20010064532A (ko) 화학기상증착장비의 이물감소방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080919

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081219

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081225

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100517

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100520

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100616

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100621

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100716

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100722

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100728

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101227

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20110317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110628

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110926

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111027

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111101

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120110

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120124

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150203

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term