JP5976776B2 - Uv処理、化学処理、および堆積のための装置および方法 - Google Patents

Uv処理、化学処理、および堆積のための装置および方法 Download PDF

Info

Publication number
JP5976776B2
JP5976776B2 JP2014503984A JP2014503984A JP5976776B2 JP 5976776 B2 JP5976776 B2 JP 5976776B2 JP 2014503984 A JP2014503984 A JP 2014503984A JP 2014503984 A JP2014503984 A JP 2014503984A JP 5976776 B2 JP5976776 B2 JP 5976776B2
Authority
JP
Japan
Prior art keywords
processing chamber
gas distribution
gas
processing
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014503984A
Other languages
English (en)
Other versions
JP2014512102A (ja
Inventor
アミット バンサル,
アミット バンサル,
ボワ, デイル アール. デュ
ボワ, デイル アール. デュ
フアン カルロス ロチャ−アルバレス,
フアン カルロス ロチャ−アルバレス,
サンジーヴ バルジャ,
サンジーヴ バルジャ,
スコット エー. ヘンドリクソン,
スコット エー. ヘンドリクソン,
トーマス ノワック,
トーマス ノワック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014512102A publication Critical patent/JP2014512102A/ja
Application granted granted Critical
Publication of JP5976776B2 publication Critical patent/JP5976776B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明の実施形態は、一般に、半導体基板上にデバイスを製造する方法および装置に関する。より詳細には、本発明の実施形態は、UV処理ならびに化学処理および/または堆積を同じチャンバ内で実行する装置および方法を提供する。
電子デバイスの寸法が低減するにつれて、誘電値が2.2の低さの材料など、誘電率(k)の低い新しい材料が、電子デバイスの形成に使用されている。
プラズマで堆積させた多孔性の低誘電率膜は、そのような要件を満足できる材料の一種である。低い誘電値に寄与する孔および炭素が存在すると、孔はエッチング、灰化、およびプラズマ損傷の影響を受けやすいため、プロセスの統合は著しい難題となる。したがって、形成および/または統合後に多孔性の低誘電率膜を回復するには、一般に誘電率回復プロセスが必要とされる。
従来、誘電率の回復には2つの異なるチャンバが必要とされている。一方のチャンバは、低誘電率膜の表面処理のための薄膜のシリル化または堆積など、低誘電率膜の化学処理に用いられる。他方のチャンバは、UV(紫外線)硬化を使用して孔を封止するために使用される。従来の誘電率の回復は、化学表面処理ではハロゲンまたはオゾンを含む処理ガスを供給するためにシャワーヘッドを使用するのに対して、UVチャンバでは通常はハロゲンおよびオゾンに適合しない石英窓を使用するため、別個のチャンバ内で実行されている。しかし、2つのチャンバを用いる誘電率回復プロセスでは、2つのチャンバを必要とし、基板の移送に追加の時間がかかることで、所有コストが増大する。
したがって、誘電率回復プロセスに対する装置および方法の改良が必要とされている。
本発明の実施形態は、一般に、基板を処理する装置および方法を提供する。詳細には、本発明の実施形態は、UV処理ならびに化学または表面処理を実行することが可能な処理チャンバを提供する。
本発明の一実施形態は、処理チャンバを提供する。処理チャンバは、内容積を画定するチャンバ本体と、内容積内に配置された基板支持体と、基板支持体の上に配置されたUV透過ガス分布シャワーヘッドとを備える。処理チャンバは、UV透過ガス分布シャワーヘッドの上に配置されたUV透過窓をさらに備える。UV透過ガス分布シャワーヘッドとUV透過窓との間にガス容積が形成される。ガス容積と内容積は、UV透過ガス分布シャワーヘッドを通って形成された複数の貫通孔を通って流体連通している。処理チャンバは、内容積の外側に配置されたUVユニットをさらに備える。UVユニットは、UV透過窓およびUV透過ガス分布シャワーヘッドを通って基板支持体の方へUV光を誘導するように構成される。
本発明の別の実施形態は、処理システムを提供する。処理システムは、移送容積を画定する移送チャンバと、移送容積内に配置された基板移送ロボットと、移送チャンバに結合された処理チャンバとを備える。処理チャンバは、内容積を画定するチャンバ本体と、内容積内に配置された基板支持体と、基板支持体の上に配置されたUV透過ガス分布シャワーヘッドとを備える。処理チャンバは、UV透過ガス分布シャワーヘッドの上に配置されたUV透過窓をさらに備える。UV透過ガス分布シャワーヘッドとUV透過窓との間にガス容積が形成される。ガス容積と内容積は、UV透過ガス分布シャワーヘッドを通って形成された複数の貫通孔を通って流体連通している。処理チャンバは、UV透過窓の外側に配置されたUVユニットをさらに備える。UVユニットは、UV透過窓およびUV透過ガス分布シャワーヘッドを通って基板支持体の方へUV光を誘導するように構成される。
本発明のさらに別の実施形態は、基板を処理する方法を提供する。この方法は、処理チャンバ内に配置された基板支持体上に基板を受け取ることを含む。処理チャンバは、基板支持体の上に配置されたUV透過ガス分布シャワーヘッドと、UV透過ガス分布シャワーヘッドの上に配置されたUV透過窓と、UV透過窓の外側に配置されたUVユニットとを備える。UVユニットは、UV透過窓およびUV透過ガス分布シャワーヘッドを通って基板支持体の方へUV光を誘導するように構成される。この方法は、UV透過窓とUV透過ガス分布シャワーヘッドとの間に画定されたガス容積からUV透過ガス分布シャワーヘッドを通って1つまたは複数の処理ガスを流すことによって基板に化学処理を施すことと、UV透過ガス分布シャワーヘッドおよびUV透過窓を通ってUVユニットから基板の方へUVエネルギーを誘導することによって基板を硬化させることとをさらに含む。
本発明の上記の特徴を詳細に理解できるように、実施形態を参照することによって、上記で簡単に要約した本発明をより詳細に説明する。これらの実施形態のいくつかを、添付の図面に示す。しかし、本発明は他の等しく効果的な実施形態も許容しうるため、添付の図面は本発明の典型的な実施形態のみを示しており、したがって本発明の範囲を限定すると見なすべきではないことに留意されたい。
本発明の一実施形態による処理チャンバの概略断面図である。 UVユニットおよび窓を除去した図1の処理チャンバの概略上面図である。 Aは、本発明の一実施形態によるガスチャネルを示す締付部材の概略部分斜視図であり、Bは、図3Aの締付部材の概略部分断面図である。 ガス流のためのプレナムを含むシャワーヘッド締付アセンブリの部分断面図である。 Aは、本発明の一実施形態によるUV透過シャワーヘッドの部分断面図であり、Bは、本発明の一実施形態によるUV透過窓の部分断面図である。 本発明の一実施形態による2重容積処理チャンバの断面図である。 図6の2重容積処理チャンバの上面図である。 本発明の一実施形態による処理システムの概略平面図である。 本発明の一実施形態による基板を処理する方法を示す図である。
理解を容易にするために、可能な場合、複数の図に共通の同一の要素を指すのに同一の参照番号を使用した。一実施形態で開示する要素は、具体的な記述がなくても、他の実施形態で有益に利用できることが企図されている。
本発明の実施形態は、一般に、基板を処理する装置に関する。より詳細には、本発明の実施形態は、UV処理ならびに化学処理および/または堆積を同じチャンバ内で実行する装置および方法を提供する。
図1は、本発明の一実施形態による処理チャンバ100の概略断面図である。処理チャンバ100は、UVエネルギー、1つまたは複数の処理ガス、および遠隔で生成されたプラズマを使用して基板を処理するように構成される。
処理チャンバ100は、チャンバ本体102と、チャンバ本体の上に配置されたチャンバリッド104とを含む。チャンバ本体102およびチャンバリッド104は、内容積106を形成する。内容積106内には基板支持体アセンブリ108が配置される。基板支持体アセンブリ108は、基板110を処理できるように受け取って支持する。
内容積106内には、チャンバ104の中心開口112を通って上部締付部材118および下部締付部材120によって、UV透過ガス分布シャワーヘッド116が掛けられている。UV透過ガス分布シャワーヘッド116は、UV透過ガス分布シャワーヘッド116の下で基板支持体アセンブリ108の上に位置する処理容積122全体に1つまたは複数の処理ガスを分布させるように、基板支持体アセンブリ108に面して位置決めされる。
UV透過ガス分布シャワーヘッド116の上にはUV透過窓114が配置される。一実施形態では、UV透過窓114は、上部締付部材118によって支持され、窓締付部材124によって固定される。UV透過窓114は、UV透過ガス分布シャワーヘッド116の上に距離126を開けて位置決めされ、UV透過窓114とUV透過ガス分布シャワーヘッド116との間にガス容積128を形成する。
UV透過窓114およびUV透過ガス分布シャワーヘッド116は、UV波長内の熱エネルギーに対して少なくとも部分的に透過性を有する。
UV透過窓114の上にはUV源130が配置される。UV源130は、UVエネルギーを生成し、UV透過窓114およびUV透過ガス分布シャワーヘッド116を通って処理容積122の方へUVエネルギーを投射するように構成される。UV源130の上にはカバー132を配置することができる。一実施形態では、カバー132の内面134は、UV源130から処理容積122の方へのUVエネルギーの投射を支援するような形状とすることができる。
一実施形態では、UV源130は、UV放射を生成するための1つまたは複数のUV光136を含む。適したUV源のより詳細な説明は、米国特許第7,777,198号および米国特許出願公開第2006/0249175号に見ることができる。
処理チャンバ100は、基板支持体アセンブリ108の上に配置された基板110全体に1つまたは複数の処理ガスを供給するように構成された流れチャネルを含む。
一実施形態では、上部締付部材118およびUV透過ガス分布シャワーヘッド116内に形成された流れチャネルを通って、処理容積122に1つまたは複数の処理ガスが送出される。
処理チャンバ100は、1つまたは複数の液体源138a、138b、138cからの処理ガスを生成および/または混合するように構成されたガスパネル140を含む。ガスパネル140は、1つまたは複数のガスライン142a、142b、142cを介して入力マニホルド144に結合される。一実施形態では、1つまたは複数のガスライン142a、142b、142cは、移送中に中で処理ガスが凝縮するのを防止するように加熱される。一実施形態では、ガスパネル140は、処理容積122内に配置された基板110の化学処理のために1つまたは複数の処理ガスを提供するように構成される。
処理チャンバ100はまた、プラズマチャネル156を介して入力マニホルド144に接続された遠隔プラズマ源154を含む。一実施形態では、遠隔プラズマ源154を使用して、処理チャンバ100の内面を洗浄するためのプラズマを供給することができる。
入力マニホルド144は、1つまたは複数のフィードスルー148を出口150に接続する内部チャネル146を有する。一実施形態では、各ガスライン142a、142b、142cおよびプラズマチャネル156は、フィードスルー148の1つに結合される。入力マニホルド144は、上部締付部材118内に形成された給送スロット152に出口150が接続されるように、チャンバリッド104の上に配置して上部締付部材118に結合することができる。入力マニホルド144は、金属などの適した材料から機械加工することができる。一実施形態では、入力マニホルド144はアルミニウムから機械加工される。
一実施形態では、入力マニホルド144からの処理ガスがUV透過ガス分布シャワーヘッド116の上のガス容積128に実質的に均一に入るように、上部締付部材118内に流れチャネルが形成される。次いで、処理ガスはUV透過ガス分布シャワーヘッド116を通って、処理容積122へ流れることができる。
一実施形態では、上部締付部材118内の流れチャネルは、入り口プレナム160と、入り口プレナム160を給送スロット152に接続する垂直スロット158と、入り口プレナム160をガス容積128に接続する複数のスポーク開孔162とを含む。一実施形態では、複数のスポーク開孔162は、ガス容積128内で均一なガス分布を実現するように、入り口プレナム160に沿って均一に分布する。一実施形態では、入り口プレナム160は、上部締付部材118の溝176および下部締付部材120の溝178によって形成される。溝176および178からの容積を組み合わせることによって、上部締付部材118および下部締付部材120の寸法を変化させることなく入り口プレナム160の容積が増大する。入り口プレナム160の容積が増大することによって、本発明の実施形態では入ってくるガス流の圧力降下が低減する。
UV透過ガス分布シャワーヘッド116は、ガス容積128から処理容積122へ処理ガスが流れることを可能にする複数の貫通孔164を含む。一実施形態では、複数の貫通孔164は、UV透過ガス分布シャワーヘッド116全体に均一に分布する。
処理チャンバ100はまた、内容積106内で基板支持体アセンブリ108の周りに配置された内側ライナ166および外側ライナ168を含む。内側ライナ166および外側ライナ168は、内容積106内の処理用の化学物質からチャンバ本体102を保護する。内側ライナ166および外側ライナ168はまた、処理チャンバ100に対する排気経路を形成する。一実施形態では、内側ライナ166と外側ライナ168との間に排気プレナム170が形成される。排気プレナム170は、処理容積122を放射状に取り囲む。内側ライナ166を通って、排気プレナム170と処理容積122を接続する複数の開孔172が形成される。排気プレナム170には真空ポンプ174が流体連通し、その結果、複数の開孔172および排気プレナム170を通って処理容積122をポンプで汲み出すことができる。
図2は、UV源130およびUV透過窓114を除去した処理チャンバ100の概略上面図である。矢印は、入力マニホルド144からガス容積128への流路を示す。
図3Aは、ガスチャネルを点線で示す上部締付部材118の概略部分斜視図である。図3Bは、異なる角度から見た上部締付部材118の概略部分斜視図である。上部締付部材118は、リング形状の本体304と、リング形状の本体304の上部部分304uから外側に向かって放射状に延びるフランジ302と、リング形状の本体304の下部部分304Lから内側に向かって放射状に延びる下部段部306とを含む。フランジ302により、円形の上部開口を有するチャンバ本体上に上部締付部材118を取り付けることが可能になる。段部306は、窓を支持するための上面308を有する。
給送スロット152は、フランジ302内に形成され、フランジ302の外面312に対して開いている。溝176は、段部306の底面310から形成される。垂直スロット158は、給送スロット152を溝176に接続する。複数のスポーク開孔162は、段部306内で段部306の内面314と溝176の内壁316との間に形成される。処理中、処理ガスは給送スロット152に入り、垂直スロット158を通過し、溝176内で膨張し、次いで複数のスポーク開孔162を通って流れる。一実施形態では、給送スロット152および垂直スロット158を流れに対して垂直の方向に細長くして、上部締付部材118内で流れチャネルの寸法を増大させる。給送スロット152および垂直スロット158の寸法を増大させることによって、ガス流の圧力降下を低減させることができる。
一実施形態では、溝176内に2つ以上のカラム318を形成することができる。カラム318は、下部締付部材120を取り付けるために使用される。
図4は、下部締付部材120が1つまたは複数のねじ402によってカラム318で上部締付部材118に取り付けられているところを示す概略部分断面図である。図4はまた、上部締付部材118および下部締付部材120の溝176、178をぴったり合わせることによって入り口プレナム160が形成されることを示す。上部締付部材118および下部締付部材120からの容積を含むことによって、チャンバ構成要素の他の寸法を変化させることなく入り口プレナム160の容積を増大させる。入り口プレナム160の容積を増大させることで、処理中の流路の圧力降下がさらに低減される。
上記で論じたように、処理チャンバ100は、化学または表面処理とUV処理の両方を実行することが可能である。たとえば、図1に示す実施形態では、UV透過窓114およびUV透過ガス分布シャワーヘッド116を通ってUV源130からUVエネルギーを送出することによって、処理容積122上に配置された基板110に対するUV処理を実行することができる。
UV透過ガス分布シャワーヘッド116を含む流路を通ってガスパネル140から処理容積122へ1つまたは複数の処理ガスを供給することによって、処理容積122内に配置された基板110に対する化学処理を実行することができる。図1に示す実施形態では、この流路は、プラズマチャネル156と、入力マニホルド144内の内部チャネル146と、給送スロット152と、垂直スロット158と、入り口プレナム160と、複数のスポーク開孔162と、ガス容積128と、UV透過ガス分布シャワーヘッド116内の複数の貫通孔164とを含む。UV透過ガス分布シャワーヘッド116およびUV透過窓114は、UV波長内の光に対して実質的な透過性を有するだけでなく、処理ガス中の化学物質に対する耐性も有する。
図5Aは、本発明の一実施形態によるUV透過ガス分布シャワーヘッド500の部分断面図である。UV透過ガス分布シャワーヘッド500は、UV波長内の光に対する実質的な透過性、およびフッ素などのハロゲンまたはオゾンを含む処理用の化学物質に対する耐性を有する。UV透過ガス分布シャワーヘッド500は、処理チャンバ100内でUV透過ガス分布シャワーヘッド116の代わりに使用することができる。
UV透過ガス分布シャワーヘッド500は、本体502を含む。本体502は、互いに対して実質的に平行な上部表面508および下部表面510を有する実質的にディスク状の形状とすることができる。本体502を通って複数の貫通孔506が形成される。貫通孔506は、上部表面508および下部表面510に対して開いており、本体502を通って処理ガスを均一に分布させることが可能になるように構成される。本体502は、UV波長内の光に対して実質的な透過性を有する材料から形成される。一実施形態では、本体502は石英から形成される。
UV透過ガス分布シャワーヘッド500はまた、上部表面508と、下部表面510と、複数の貫通孔506を形成する内面512とを覆うコーティング504を含む。コーティング504は、UV波長を阻止することなく、貫通孔506を通過する処理ガスによる損傷から本体502を保護する。一実施形態では、コーティング504は、フッ素などのハロゲンまたはオゾンを含む処理用の化学物質に対する耐性を有する。コーティング504は、酸窒化アルミニウム、サファイア、または他の適した材料を含むことができる。コーティング504は、化学気相堆積、物理的気相堆積、噴霧コーティングなどの一般的な堆積技術を使用して、本体502上に堆積させることができる。コーティング504の厚さは、本体502のUV透過性に影響を与えることなく本体502に対する保護を提供するのに十分な厚さになるように選択することができる。一実施形態では、コーティング504は、化学気相堆積または物理的気相堆積によって形成された厚さ約500マイクロメートルまでの酸窒化アルミニウム膜である。
図5Bは、本発明の一実施形態によるUV透過窓520の部分断面図である。UV透過ガス分布シャワーヘッド500と同様に、UV透過窓520もまた、UV波長内の光に対する実質的な透過性、およびフッ素などのハロゲンまたはオゾンを含む処理用の化学物質に対する耐性を有する。UV透過ガス分布シャワーヘッド500は、処理チャンバ100内でUV透過ガス分布シャワーヘッド116の代わりに使用することができる。
UV透過窓520は、UV透過材料から形成された本体522と、少なくとも本体522の下部表面526上に形成されたコーティング524とを含む。本体522は、任意のUV透過材料から形成することができる。一実施形態では、本体522は石英から形成される。コーティング524は、処理ガスに露出されたときの損傷から本体522を保護する。一実施形態では、コーティング524は、フッ素などのハロゲンまたはオゾンを含む処理用の化学物質に対する耐性を有する。コーティング524は、酸窒化アルミニウム、サファイア、または他の適した材料を含む。コーティング524は、化学気相堆積、物理的気相堆積、噴霧コーティングなどの一般的な堆積技術を使用して、本体522上に堆積させることができる。コーティング524の厚さは、本体522のUV透過性に影響を与えることなく本体522に対する保護を提供するのに十分な厚さになるように選択することができる。一実施形態では、コーティング524は、化学気相堆積または物理的気相堆積によって形成された厚さ約500マイクロメートルまでの酸窒化アルミニウム膜である。
図6は、本発明の一実施形態による2重容積処理チャンバ600の断面図である。図7は、2重容積処理チャンバ600の上面図である。2重容積処理チャンバ600は、図1の処理チャンバ100と実質的に同様の2つの処理チャンバ600a、600bを含む。
処理チャンバ600a、600bは、チャンバ本体602およびチャンバリッド604を共用する。処理チャンバ600a、600bは、中心平面628を中心とする互いの鏡像である。
処理チャンバ600aは、単一の基板を処理するための処理容積624を画定する。処理チャンバ600aは、UV透過窓616と、処理容積624の上に配置されたUV透過ガス分布シャワーヘッド620とを含む。処理チャンバ600bは、単一の基板を処理するための処理容積626を画定する。処理チャンバ600bは、UV透過窓618と、処理容積626の上に配置されたUV透過ガス分布シャワーヘッド622とを含む。
処理チャンバ600a、600bは、遠隔プラズマ源606、ガスパネル608、および真空ポンプ610を共用する。処理チャンバ600aは、入力マニホルド612を介して遠隔プラズマ源606およびガスパネル608に結合されており、処理チャンバ600bは、入力マニホルド614を介して遠隔プラズマ源606およびガスパネル608に結合されている。入力マニホルド612、614は、入力マニホルド612、614と遠隔プラズマ源606の距離を最小にして、プラズマ内のラジカルが処理容積624、626へ流れる間に再結合するのを低減させるように位置決めすることができる。一実施形態では、入力マニホルド612、614は、水平線630から角度αをなす位置で位置決めされる。一実施形態では、角度αは約45度である。
図8は、本発明の一実施形態による処理システム800の概略平面図である。処理システム800は、1つまたは複数の2重容積処理チャンバ600を含む。
処理システム800は、真空気密性の処理プラットフォーム804、ファクトリインターフェース812、およびシステムコントローラ810を含む。プラットフォーム804は、複数の2重容積処理チャンバ822、824、826と、移送チャンバ802に結合されたロードロックチャンバ816とを含む。一実施形態では、移送チャンバ802は、4つの側面806を有することができる。各側面806は、2重容積処理チャンバ600またはロードロックチャンバ816と接続するように構成される。図8に示すように、移送チャンバ802の3つの側面806に3つの2重容積処理チャンバ822、824、826が結合される。
ファクトリインターフェース812は、デュアルロードロックチャンバ816を通って移送チャンバ802に結合される。一実施形態では、ファクトリインターフェース812は、基板の移送を容易にするために、少なくとも1つのドッキングステーション814と、少なくとも1つのファクトリインターフェースロボット820とを含む。ドッキングステーション814は、1つまたは複数の前方開口型統一ポッド(FOUP)818を受け入れるように構成される。
それぞれの2重容積処理チャンバ822、824、826は、それぞれ並列に位置決めされた2つの処理容積822a、822b、824a、824b、826a、826bを含む。それぞれの2重容積処理チャンバ822、824、826は、2つの基板を同時に処理するように構成される。基板移送ロボット808は、2重容積処理チャンバ822、824、826およびロードロックチャンバ816間で2つの基板を移送するように並列に構成された2つのロボットブレード808a、808bを含む。この2重容積構成を用いると、基板移送ロボットおよび各処理チャンバのガスパネルなどの資源が増大することなく、生産性が増大する。
一実施形態では、2重容積処理チャンバ822、824、826は、処理方策において異なる処理ステップを実行するために異なる構成を有することができる。別法として、2重容積処理チャンバ822、824、826は、これらの基板に対して同じ処理を実行するために同じ構成を有することもできる。
一実施形態では、2重容積処理チャンバ822、824、826の少なくとも1つは、2重容積処理チャンバ600と実質的に同様であり、基板に対してUV処理および化学処理を連続して、交互に、または同時に実行することによって、2つの処理容積内で2つの基板を同時に処理するように構成される。
図9は、本発明の一実施形態による基板を処理する方法900を示す図である。方法900は、図1の処理チャンバ100、図6の2重容積処理チャンバ600などの独立型の処理チャンバ、もしくは図8の処理システム800などの処理システムに結合された処理チャンバ、または図1の単一容積処理チャンバ100を含む処理システム内で実行することができる。
方法900は、UV処理および化学処理を同じ処理チャンバ内で使用して低誘電率の誘電体材料を回復するように構成される。
たとえば、方法900を使用して、プラズマ化学気相堆積によって形成されたSiCOH材料を基材とする低誘電率の誘電体膜に対してワンストップの回復を実行することができる。具体的には、気相シリル化と硬化を組み合わせることで、低誘電率膜の特性を回復し、側壁の損傷を修復する。気相シリル化では、メチルまたはフェニルを含有するシリル化化合物が低誘電率膜内のSi−OH基と反応して、親水性のSi−OH基を吸湿性に耐える疎水性のSi−O−Si(CH基に変換し、それによって誘電率を低減させる。UV硬化では、低誘電率膜内の孔が硬化によって封止される。
方法900のボックス910で、処理チャンバの処理容積内に配置された基板支持体上に基板が受け取られる。一実施形態では、処理容積は、UV波長内の光に対して実質的な透過性を有するUV透過ガス分布シャワーヘッドの下に配置される。UV透過ガス分布シャワーヘッドにより、化学処理用の処理ガスを基板全体に実質的に均一に分布させることが可能になる。また、UV透過ガス分布シャワーヘッドにより、UV光を通すことで処理容積内の基板のUV硬化を行うことが可能になる。
方法900のボックス920で、基板の上のUV透過ガス分布シャワーヘッドから1つまたは複数の処理ガスを流すことによって化学処理が実行される。一実施形態では、1つまたは複数の処理ガスは、UV透過窓とUV透過ガス分布シャワーヘッドとの間の領域からUV透過ガス分布シャワーヘッドを通って基板の方へ送出される。
一実施形態では、化学処理は、ヘキサメチルジシラザン(HMDS)、テトラメチルジシラザン(TMDS)、トリメチルクロロシラン(TMCS)、ジメチルジクロロシラン(DMDCS)、メチルトリクロロシラン(MTCS)、トリメチルメトキシシラン(TMMS)、フェニルトリメトキシシラン(PTMOS)、フェニルジメチルクロロシラン(PDMCS)、ジメチルアミノトリメチルシラン(DMATMS)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)、またはこれらの組合せを含む群から選択されたシリル化剤を使用する気相シリル化である。一実施形態では、気相シリル化中の時間は、約1分〜約10分とすることができる。シリル化温度は、約100℃〜約400℃とすることができる。シリル化剤流量は、約0.5g〜約5g/分とすることができ、チャンバ圧力は、約2ミリトル〜約500トルとすることができる。
方法900のボックス930で、基板は、UV透過ガス分布シャワーヘッドおよびUV透過窓の上に配置されたUVユニットからのUVエネルギーを使用して同じ処理チャンバ内で硬化される。一実施形態では、UV硬化温度は、室温〜約400℃とすることができる。UV硬化時間は、約10秒〜約180秒とすることができる。UV硬化ガスは、UV透過ガス分布シャワーヘッドを通って処理チャンバへ流すことができる。一実施形態では、HeおよびArなどの不活性の硬化ガスを約8slm〜約24slmの流量で処理チャンバへ流すことができる。
別の実施形態では、ボックス920のシリル化とボックス930のUV硬化を同時に実行することができる。UVユニットは、シリル化プロセスと同時にオン/オフが切り替わる。シリル化剤流量、UV出力、ウエハ温度、シリル化およびUV硬化プロセスのチャンバ圧力、シリル化時間、ならびにUVオン時間は調整可能である。
別の実施形態では、ボックス930のUV硬化は、ボックス920のシリル化処理の前に実行することができる。
別の実施形態では、ボックス930のUV硬化とボックス920のシリル化を交互に実行することができる。第1にUV硬化を実行して、表面/側壁から水を除去する。シリル化を実行して、表面の疎水性を回復する。次いでUV硬化を実行して、低誘電率膜の損傷をさらに回復する。シリル化剤流量、UV出力、ウエハ温度、シリル化およびUV硬化プロセスのチャンバ圧力、シリル化時間、ならびにUVオン時間は調整可能である。
さらに別の実施形態では、ボックス920のシリル化とボックス930のUV硬化は、パルス式にインシトゥで実行される。シリル化処理は約5〜10秒のパルスで実行され、それに続いてUV硬化が約5〜10秒のパルスで行われる。
本発明の実施形態は、低誘電率膜を回復するための化学処理およびUV硬化を単一のチャンバ内で実行する装置および方法を提供する。また本発明の実施形態では、遠隔プラズマ源を含むことによって、UV硬化チャンバのプラズマ洗浄が可能になる。その結果、使用されるチャンバの数が低減することによって、生産コストが低減する。基板の移送および追加のチャンバによる汲み出しをなくすことによって、製品の効率が増大する。またさらに、本発明の実施形態では、様々な処理の特徴および機能を最小の空間内に組み込むことが可能になり、それによって、製造環境内で誘電率の回復を高い費用効果で実施することが可能になる。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲を逸脱することなく、本発明の他のさらなる実施形態を考案することもでき、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (14)

  1. 内容積および上部開口を画定するチャンバ本体と、
    前記内容積内に配置された基板支持体と、
    前記基板支持体の上に配置されたUV透過ガス分布シャワーヘッドと、
    前記UV透過ガス分布シャワーヘッドの上に配置されたUV透過窓であって、前記UV透過ガス分布シャワーヘッドと前記UV透過窓との間にガス容積が形成され、前記ガス容積と前記内容積が前記UV透過ガス分布シャワーヘッドを通って流体連通している、UV透過窓と、
    前記UV透過窓の外側に配置されたUVユニットであって、前記UV透過窓および前記UV透過ガス分布シャワーヘッドを通って前記基板支持体の方へUV光を誘導するように構成されるUVユニットと、
    前記チャンバ本体の前記上部開口内に配置された締付部材であって、前記締付部材が前記UV透過ガス分布シャワーヘッドと前記UV透過窓との間に配置されて、前記締付部材内にガス流の経路が形成されている締付部材と
    を備え
    前記締付部材が上部締付部材および下部締付部材を含み、
    前記UV透過ガス分布シャワーヘッドが前記上部締付部材および前記下部締付部材の間に締め付けられており、
    前記上部締付部材および前記下部締付部材の間にプレナムが形成され、前記プレナムが前記UV透過ガス分布シャワーヘッドを取り囲むようになっている、処理チャンバ。
  2. 前記UV透過ガス分布シャワーヘッドが、
    実質的にUV透過性の材料から形成される本体と、
    前記UV透過ガス分布シャワーヘッドを通って流れる処理ガスへの露出から前記本体を保護するように構成されたコーティングと
    を備えている、請求項1に記載の処理チャンバ。
  3. 前記本体が石英から形成されている、請求項2に記載の処理チャンバ。
  4. 前記コーティングが酸窒化アルミニウム膜またはサファイアを含んでいる、請求項3に記載の処理チャンバ。
  5. 前記UV透過窓が、
    石英から形成された本体と、
    前記ガス容積内の処理ガスへの露出から前記本体を保護するように構成されたコーティングと
    を備えている、請求項1に記載の処理チャンバ。
  6. 前記締付部材が、
    リング形状の本体と、
    前記リング形状の本体の上部部分から外側に向かって放射状に延びるフランジであって、前記チャンバ本体に結合されたフランジと、
    前記リング形状の本体の下部部分から内側に向かって放射状に延びる段部であって、前記段部の上面に前記UV透過ガス分布シャワーヘッドが配置される段部と
    を有している、請求項1に記載の処理チャンバ。
  7. 前記ガス流の経路が、
    前記フランジ内に形成された水平スロットであって、前記フランジの外面で開いている水平スロットと、
    前記リング形状の本体内に形成された垂直スロットであって、前記水平スロットに上端部で接続された垂直スロットと、
    前記リング形状の本体の前記下部部分内に形成されたプレナムであって、前記垂直スロットの下端部が前記プレナムに対して開いている、プレナムと、
    前記段部を通って形成された複数のスポーク開孔であって、前記複数の開孔がそれぞれ、前記プレナムに対して開く第1の端部と前記段部の内面に対して開く第2の端部とを有している、複数のスポーク開孔と
    を含んでいる、請求項に記載の処理チャンバ。
  8. 前記締付部材に結合された入力マニホルドであって、前記入力マニホルドの出口が前記締付部材内に形成された前記ガス流の経路に接続されている、入力マニホルドと、
    前記入力マニホルドに接続された遠隔プラズマ源と、
    前記入力マニホルドに接続されたガスパネルと
    をさらに備える請求項に記載の処理チャンバ。
  9. 移送容積を画定する移送チャンバと、
    前記移送容積内に配置された基板移送ロボットと、
    前記移送チャンバに結合された2重容積処理チャンバと
    を備え、前記2重容積処理チャンバが、
    請求項1ないし8のいずれか一項に記載の第1の処理チャンバと、
    請求項1ないし8のいずれか一項に記載の第2の処理チャンバと
    を備える、処理システム。
  10. 前記第1の処理チャンバ内の流路と第2の処理チャンバ内の流路とが互いの鏡像である、請求項に記載のシステム。
  11. 基板を処理する方法であって、
    請求項1ないし8のいずれか一項に記載の処理チャンバの内容積内に配置された基板支持体上に基板を受け取ることと、
    前記UV透過窓と前記UV透過ガス分布シャワーヘッドとの間に画定されたガス容積から前記UV透過ガス分布シャワーヘッドを通って1つまたは複数の処理ガスを流すことによって、前記基板に化学処理を施すことと、
    前記UV透過ガス分布シャワーヘッドおよび前記UV透過窓を通って前記UVユニットから前記基板の方へUVエネルギーを誘導することによって、前記基板を硬化させることと
    を含む方法。
  12. 前記基板に化学処理を施すことが、前記基板上に形成された低誘電率膜に化学処理を施すためにシリル化剤を含む1つまたは複数の処理ガスを流すことを含む、請求項11に記載の方法。
  13. 前記化学処理と前記硬化とを同時に実行する、請求項12に記載の方法。
  14. 前記化学処理を前記硬化の前に実行する、請求項12に記載の方法。
JP2014503984A 2011-04-08 2012-04-05 Uv処理、化学処理、および堆積のための装置および方法 Active JP5976776B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161473577P 2011-04-08 2011-04-08
US61/473,577 2011-04-08
PCT/US2012/032331 WO2012138866A1 (en) 2011-04-08 2012-04-05 Apparatus and method for uv treatment, chemical treatment, and deposition

Publications (2)

Publication Number Publication Date
JP2014512102A JP2014512102A (ja) 2014-05-19
JP5976776B2 true JP5976776B2 (ja) 2016-08-24

Family

ID=46966323

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014503984A Active JP5976776B2 (ja) 2011-04-08 2012-04-05 Uv処理、化学処理、および堆積のための装置および方法

Country Status (6)

Country Link
US (2) US20120258259A1 (ja)
JP (1) JP5976776B2 (ja)
KR (1) KR101928348B1 (ja)
CN (1) CN103493185A (ja)
TW (1) TWI529834B (ja)
WO (1) WO2012138866A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105088191B (zh) * 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
KR101896607B1 (ko) * 2010-10-19 2018-09-07 어플라이드 머티어리얼스, 인코포레이티드 나노큐어 자외선 챔버용 석영 샤워헤드
KR101884003B1 (ko) * 2011-03-22 2018-07-31 어플라이드 머티어리얼스, 인코포레이티드 화학 기상 증착 챔버를 위한 라이너 조립체
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US8872138B2 (en) * 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US20140264059A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Light irradiance and thermal measurement in uv and cvd chambers
US20140262037A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Transparent yttria coated quartz showerhead
US9252024B2 (en) 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
WO2015100292A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
WO2016109063A1 (en) 2015-01-02 2016-07-07 Applied Materials, Inc. Processing chamber
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
CN104875113B (zh) * 2015-06-18 2017-03-01 浙江工商大学 一种研磨盘表面自生长的快速修复研磨机构
CN109070135A (zh) * 2016-02-10 2018-12-21 亮锐有限责任公司 在led应用中的硅氧烷树脂的气相固化催化和钝化
CN109594061B (zh) * 2016-05-20 2021-02-09 应用材料公司 用于半导体处理的气体分配喷头
KR102204297B1 (ko) * 2016-12-02 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 박막 캡슐화 처리 시스템 및 프로세스 키트
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
JP6981356B2 (ja) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
EP3867048B1 (en) * 2018-10-16 2023-03-29 Transitions Optical, Ltd. Ultraviolet curing apparatus
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
JP2022540607A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
EP4268271A1 (en) * 2020-12-22 2023-11-01 Mattson Technology, Inc. Workpiece processing apparatus with gas showerhead assembly
US11448977B1 (en) 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983039A (en) 1975-03-03 1976-09-28 Fusion Systems Corporation Non-symmetrical reflector for ultraviolet curing
US4135098A (en) 1976-11-05 1979-01-16 Union Carbide Corporation Method and apparatus for curing coating materials
US4411931A (en) 1982-09-29 1983-10-25 Armstrong World Industries, Inc. Multiple step UV curing process for providing accurately controlled surface texture
NL8402124A (nl) 1984-07-04 1986-02-03 Philips Nv Inrichting voor het belichten van een uv hardende laag op een draadvormig lichaam.
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
DE3919334A1 (de) 1989-06-13 1990-12-20 Tetsuhiro Kano Reflektor fuer eine leuchte
JPH0435842A (ja) 1990-05-31 1992-02-06 Brother Ind Ltd 加工ユニット制御装置
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
JPH0693454A (ja) * 1992-05-15 1994-04-05 Mitsubishi Kasei Corp グロー放電方法及びグロー放電装置
DE4318735A1 (de) 1993-06-05 1994-12-08 Kammann Maschf Werner UV-Strahler zum Bestrahlen von Druckfarben auf Objekten und Verfahren zum Trocknen von mit Druckfarbe versehenen Objekten
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5440137A (en) 1994-09-06 1995-08-08 Fusion Systems Corporation Screw mechanism for radiation-curing lamp having an adjustable irradiation area
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3088970B2 (ja) * 1996-07-12 2000-09-18 東京エレクトロン株式会社 改質方法及びその装置
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
GB2315850B (en) 1996-08-02 2000-10-04 Spectral Technology Limited Lamp assembly
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
AU3364797A (en) 1997-06-23 1998-10-20 Kamurov, Alexandr Semenovich Method and device for uv treatment of liquid, air and surface
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
DE19810455C2 (de) 1998-03-11 2000-02-24 Michael Bisges Kaltlicht-UV-Bestrahlungsvorrichtung
GB2336240A (en) 1998-04-09 1999-10-13 Jenton International Limited Apparatus for emitting light
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6187133B1 (en) * 1998-05-29 2001-02-13 Applied Materials, Inc. Gas manifold for uniform gas distribution and photochemistry
JP2000218156A (ja) 1998-11-25 2000-08-08 Hooya Shot Kk 紫外光照射装置
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US7126687B2 (en) 1999-08-09 2006-10-24 The United States Of America As Represented By The Secretary Of The Army Method and instrumentation for determining absorption and morphology of individual airborne particles
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
GB2360084B (en) 2000-03-08 2004-04-21 Nordson Corp Lamp assembly
CN1224074C (zh) 2000-04-07 2005-10-19 诺德森公司 带有灯体冷却系统的微波激励的紫外线灯系统
JP4744671B2 (ja) * 2000-05-22 2011-08-10 東京エレクトロン株式会社 枚葉式処理装置
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6323601B1 (en) 2000-09-11 2001-11-27 Nordson Corporation Reflector for an ultraviolet lamp system
US6380270B1 (en) 2000-09-26 2002-04-30 Honeywell International Inc. Photogenerated nanoporous materials
US6559460B1 (en) 2000-10-31 2003-05-06 Nordson Corporation Ultraviolet lamp system and methods
GB2407370B (en) 2001-02-27 2005-07-06 Nordson Corp Lamp assembly
US6732451B2 (en) 2001-04-11 2004-05-11 Intermec Ip Corp. UV curing module for label printer
JP4712240B2 (ja) * 2001-08-01 2011-06-29 東京エレクトロン株式会社 光励起成膜装置及び光励起成膜方法
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
DE10204994B4 (de) 2002-02-05 2006-11-09 Xtreme Technologies Gmbh Anordnung zur Überwachung der Energieabstrahlung einer EUV-Strahlungsquelle
US6717161B1 (en) 2003-04-30 2004-04-06 Fusion Uv Systems, Inc. Apparatus and method providing substantially uniform irradiation of surfaces of elongated objects with a high level of irradiance
WO2005001444A1 (en) 2003-06-03 2005-01-06 Bayer Healthcare Llc. Verification device and method for optical inspection machine
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
US7119904B2 (en) 2004-01-13 2006-10-10 Thermo Electron Scientific Instruments Corporation Stabilized infrared source for infrared spectrometers
JP4312063B2 (ja) * 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
US7164144B2 (en) 2004-03-10 2007-01-16 Cymer Inc. EUV light source
US7148480B2 (en) * 2004-07-14 2006-12-12 The Regents Of The University Of California Polycrystalline optical window materials from nanoceramics
US7077547B2 (en) 2004-07-29 2006-07-18 Nordson Corporation Shuttered lamp assembly and method of cooling the lamp assembly
DE102004038592A1 (de) 2004-08-06 2006-03-16 Ist Metz Gmbh Bestrahlungsaggregat
JP2006134974A (ja) 2004-11-04 2006-05-25 Canon Inc 露光装置、判定方法及びデバイス製造方法
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
SG136078A1 (en) 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070287091A1 (en) 2006-06-12 2007-12-13 Jacobo Victor M System and method for exposing electronic substrates to UV light
US20070298167A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Ozone abatement in a re-circulating cooling system
US20070295012A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system
JP5258241B2 (ja) * 2006-09-19 2013-08-07 日本エー・エス・エム株式会社 Uv照射チャンバーをクリーニングする方法
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US7501292B2 (en) * 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers

Also Published As

Publication number Publication date
KR101928348B1 (ko) 2018-12-12
TW201248757A (en) 2012-12-01
JP2014512102A (ja) 2014-05-19
TWI529834B (zh) 2016-04-11
WO2012138866A1 (en) 2012-10-11
US20160289838A1 (en) 2016-10-06
US10570517B2 (en) 2020-02-25
US20120258259A1 (en) 2012-10-11
KR20140021608A (ko) 2014-02-20
CN103493185A (zh) 2014-01-01

Similar Documents

Publication Publication Date Title
JP5976776B2 (ja) Uv処理、化学処理、および堆積のための装置および方法
KR102548630B1 (ko) 후면 증착 장치 및 애플리케이션들
US9506145B2 (en) Method and hardware for cleaning UV chambers
US8657961B2 (en) Method for UV based silylation chamber clean
KR100767771B1 (ko) 자외선 발생원, 자외선 조사 처리 장치 및 반도체 제조장치
US20060286819A1 (en) Method for silicon based dielectric deposition and clean with photoexcitation
US9502263B2 (en) UV assisted CVD AlN film for BEOL etch stop application
US20090261276A1 (en) Method and apparatus for excimer curing
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
US9058980B1 (en) UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10113234B2 (en) UV assisted silylation for porous low-k film sealing
KR102494202B1 (ko) 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들
US20160096193A1 (en) Parylene deposition process
JP2010056197A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151030

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160531

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160621

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160720

R150 Certificate of patent or registration of utility model

Ref document number: 5976776

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250