TWI529834B - 用於uv處理、化學處理與沉積的設備與方法 - Google Patents

用於uv處理、化學處理與沉積的設備與方法 Download PDF

Info

Publication number
TWI529834B
TWI529834B TW101112274A TW101112274A TWI529834B TW I529834 B TWI529834 B TW I529834B TW 101112274 A TW101112274 A TW 101112274A TW 101112274 A TW101112274 A TW 101112274A TW I529834 B TWI529834 B TW I529834B
Authority
TW
Taiwan
Prior art keywords
permeable
gas
disposed
window
space
Prior art date
Application number
TW101112274A
Other languages
English (en)
Other versions
TW201248757A (en
Inventor
班莎阿米
督波斯道爾R
羅莎亞凡利斯君卡洛斯
巴魯札桑傑夫
漢翠森史考特A
諾瓦克湯瑪斯
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201248757A publication Critical patent/TW201248757A/zh
Application granted granted Critical
Publication of TWI529834B publication Critical patent/TWI529834B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

用於UV處理、化學處理與沉積的設備與方法
本發明的實施例大體上關於用以在半導體基材上製造元件的方法與設備。更特別地,本發明的實施例提供用以在相同腔室中執行UV處理與化學處理與/或沉積的設備與方法。
隨著電子元件的尺寸縮小,具有低介電常數(k)的新材料(諸如具有低達2.2的介電數值的材料)被用來形成電子元件。
經電漿沉積的多孔低k膜是能夠滿足此需求的一種類別的材料。對低介電數值具有貢獻的孔隙與碳的存在造成了明顯的製程整合性挑戰,這是因為孔隙容易遭受蝕刻、灰化與電漿損壞。所以,在形成之後與/或在整合之後,通常需要k修復製程以修復多孔低k膜。
傳統上,需要兩個不同的腔室以用於k修復。一腔室是用於低k膜的化學處理(諸如矽烷化),或用於低k膜的表面處理的薄膜沉積。不同的腔室是用於使用UV(紫外線)硬化的孔隙密封。傳統的k修復被執行在個別的腔室,這是因為化學表面處理使用噴頭來供應包括有鹵素或臭氧的處理氣體,而UV腔室使用通常和鹵素與臭氧不相容的石英視窗。然而,此兩腔室k修復製程會因為 需要兩個腔室與額外的基材傳送時間而增加擁有成本(cost of ownership)。
所以,需要一種改善的用於k修復製程的設備與方法。
本發明的實施例大體上提供用以處理基材的設備與方法。特別地,本發明的實施例提供能夠執行UV處理以及化學或表面處理的處理腔室。
本發明的一實施例提供一種處理腔室。該處理腔室包含:腔室主體,該腔室主體界定內部空間;基材支撐件,該基材支撐件設置在該內部空間中;及UV可穿透氣體散佈噴頭,該UV可穿透氣體散佈噴頭設置在該基材支撐件上方。該處理腔室更包含:UV可穿透視窗,該UV可穿透視窗設置在該UV可穿透氣體散佈噴頭上方。氣體空間被形成在該UV可穿透氣體散佈噴頭與該UV可穿透視窗之間。該氣體空間與該內部空間透過被形成通過該UV可穿透氣體散佈噴頭的複數個通孔而流體連通。該處理腔室更包含:UV單元,該UV單元設置在該內部空間外面。該UV單元設以將UV光引導朝向該基材支撐件而通過該UV可穿透視窗與該UV可穿透氣體散佈噴頭。
本發明的另一實施例提供一種處理系統。該處理系統包含:傳送腔室,該傳送腔室界定傳送空間;基材傳送 機械人,該基材傳送機械人設置在該傳送空間中;及處理腔室,該處理腔室耦接到該傳送腔室。該處理腔室包含:腔室主體,該腔室主體界定內部空間;基材支撐件,該基材支撐件設置在該內部空間中;及UV可穿透氣體散佈噴頭,該UV可穿透氣體散佈噴頭設置在該基材支撐件上方。該處理腔室更包含:UV可穿透視窗,該UV可穿透視窗設置在該UV可穿透氣體散佈噴頭上方。氣體空間被形成在該UV可穿透氣體散佈噴頭與該UV可穿透視窗之間。該氣體空間與該內部空間透過被形成通過該UV可穿透氣體散佈噴頭的複數個通孔而流體連通。該處理腔室更包含:UV單元,該UV單元設置在該UV可穿透視窗外面。該UV單元設以將UV光引導朝向該基材支撐件而通過該UV可穿透視窗與該UV可穿透氣體散佈噴頭。
本發明的又一實施例提供一種用以處理基材的方法。該方法包含:接收基材在基材支撐件上,該基材支撐件設置在處理腔室中。該處理腔室包含:UV可穿透氣體散佈噴頭,該UV可穿透氣體散佈噴頭設置在該基材支撐件上方;UV可穿透視窗,該UV可穿透視窗設置在該UV可穿透氣體散佈噴頭上方;及UV單元,該UV單元設置在該UV可穿透視窗外面。該UV單元設以將UV光引導朝向該基材支撐件而通過該UV可穿透視窗與該UV可穿透氣體散佈噴頭。該方法更包含:藉由使一或更多種處理氣體從氣體空間流動通過該UV可穿透氣體散佈 噴頭,以化學地處理該基材,該氣體空間被界定在該UV可穿透視窗與該UV可穿透氣體散佈噴頭之間;及藉由將UV能量從該UV單元引導朝向該基材而通過該UV可穿透氣體散佈噴頭與該UV可穿透視窗,以硬化該基材。
本發明的實施例大體上關於用以處理基材的設備。更特別地,本發明的實施例提供用以在相同腔室中執行UV處理與化學處理與/或沉積的設備與方法。
第1圖是根據本發明的一實施例的處理腔室100的示意剖視圖。處理腔室100設以使用UV能量、一或更多種處理氣體與遠端產生的電漿來處理基材。
處理腔室100包括腔室主體102與設置在腔室主體上方的腔室蓋104。腔室主體102與腔室蓋104形成內部空間106。基材支撐組件108設置在內部空間106中。基材支撐組件108係接收並支撐基材110於基材支撐組件108上以為了處理。
UV可穿透氣體散佈噴頭116藉由上夾持構件118與下夾持構件120透過腔室蓋104的中央開口112而被懸置在內部空間106中。UV可穿透氣體散佈噴頭116定位成面對基材支撐組件108,以散佈一或更多種處理氣體而使該等處理氣體遍佈處理空間122,其中處理空間122位在UV可穿透氣體散佈噴頭116下方且位在基材支撐 組件108上方。
UV可穿透視窗114設置在UV可穿透氣體散佈噴頭116上方。在一實施例中,UV可穿透視窗114被上夾持構件118支撐且被視窗夾持構件124固定。UV可穿透視窗114定位在UV可穿透氣體散佈噴頭116上方的一距離126處,而在UV可穿透視窗114與UV可穿透氣體散佈噴頭116之間形成氣體空間128。
UV可穿透視窗114與UV可穿透氣體散佈噴頭116對於UV波長內的熱能是至少部分可穿透的。
UV源130設置在UV可穿透視窗114上方。UV源130設以產生UV能量且透過UV可穿透視窗114與UV可穿透氣體散佈噴頭116而朝向處理空間122投射UV能量。遮覆件132可設置在UV源130上方。在一實施例中,遮覆件132的內表面134的形狀有助於UV能量從UV源130朝向處理空間122的投射。
在一實施例中,UV源130包括一或更多個UV燈136,以產生UV輻射。可在美國專利案第7,777,198號與美國專利公開案第2006/0249175號中得到適當的UV源的更詳細描述。
處理腔室100包括多個流動通道,該等通道設以供應一或更多種處理氣體而使該等處理氣體遍佈被設置在基材支撐組件108上方的基材110。
在一實施例中,一或更多種處理氣體透過被形成在上夾持構件118與UV可穿透氣體散佈噴頭116中的流動 通道被輸送到處理空間122。
處理腔室100包括氣體盤140,氣體盤140設以產生與/或混合來自一或更多個液體源138a、138b、138c的處理氣體。氣體盤140經由一或更多個氣體管線142a、142b、142c耦接到輸入岐管144。在一實施例中,該一或更多個氣體管線142a、142b、142c被加熱,以避免在傳送期間該等管線中的處理氣體的任何凝結。在一實施例中,氣體盤140設以提供一或更多種處理氣體,以用於設置在處理空間122中的基材110的化學處理。
處理腔室100亦包括遠端電漿源154,遠端電漿源154經由電漿通道156連接到輸入岐管144。在一實施例中,遠端電漿源154可用以供應電漿,以清潔處理腔室100的內表面。
輸入岐管144具有多個內部通道146,該等內部通道146將一或更多個饋送口148連接到出口150。在一實施例中,各個氣體管線142a、142b、142c與電漿通道156耦接到該等饋送口148的其中一饋送口。輸入岐管144可設置在腔室蓋104上方且耦接到上夾持構件118,以致出口150連接到被形成在上夾持構件118中的饋送溝渠152。可從適當的材料(諸如金屬)來加工製成輸入岐管144。在一實施例中,從鋁加工製成輸入岐管144。
在一實施例中,多個流動通道被形成在上夾持構件118中,以致來自輸入岐管144的處理氣體能夠以實質上均勻的方式進入UV可穿透氣體散佈噴頭116上方的氣體 空間128。接著,處理氣體可流動通過UV可穿透氣體散佈噴頭116到處理空間122。
在一實施例中,上夾持構件118中的流動通道包括進口容室160、垂直溝渠158與複數個輻狀穿孔162,該垂直溝渠158將進口容室160連接到饋送溝渠152,該等輻狀穿孔162將進口容室160連接到氣體空間128。在一實施例中,該複數個輻狀穿孔162係沿著進口容室160均勻地被散佈,以在氣體空間128內達到均勻的氣體散佈。在一實施例中,藉由上夾持構件118的溝槽176與下夾持構件120的溝槽178來形成進口容室160。藉由結合溝槽176與178的空間,進口容室160的空間會被增加,而不會改變上夾持構件118與下夾持構件120的尺寸。藉由增加進口容室160的空間,本發明的實施例能減少進入的氣體流的壓降。
UV可穿透氣體散佈噴頭116包括複數個通孔164,該等通孔164容許處理氣體能從氣體空間128流動到處理空間122。在一實施例中,該複數個通孔164係均勻地被散佈而遍佈UV可穿透氣體散佈噴頭116。
處理腔室100亦包括設置在內部空間106中且環繞基材支撐組件108的內襯裡166與外襯裡168。內襯裡166與外襯裡168可遮蔽腔室主體102,而使腔室主體102免於處理內部空間106中的處理化學作用。內襯裡166與外襯裡168亦形成處理腔室100的排放路徑。在一實施例中,排放容室170被形成在內襯裡166與外襯裡168 之間。排放容室170係徑向地環繞處理空間122。複數個穿孔172被形成通過內襯裡166,而連接排放容室170與處理空間122。真空泵174和排放容室170流體連通,以致處理空間122可透過該複數個穿孔172與該排放容室170被往外泵送。
第2圖是處理腔室100的示意俯視圖,其中UV源130與UV可穿透視窗114被移除。箭頭圖示出從輸入岐管144到氣體空間128的流動路徑。
第3A圖是上夾持構件118的示意部分透視圖,此圖以虛線來圖示氣體通道。第3B圖是從不同角度觀看的上夾持構件118的示意部分透視圖。上夾持構件118包括環形主體304、凸緣302與下階梯306,凸緣302從環形主體304的上部304u徑向向外延伸,下階梯306從環形主體304的下部304L徑向向內延伸。凸緣302容許上夾持構件118能裝設在具有圓形頂部開口的腔室主體上。階梯306具有頂表面308,以支撐視窗在頂表面308中。
饋送溝渠152被形成在凸緣302中且開放到凸緣302的外表面312。溝槽176從階梯306的底表面310被形成。垂直溝渠158將饋送溝渠152連接到溝槽176。該複數個輻狀穿孔162被形成在階梯306中而介於階梯306的內表面314與溝槽176的內壁316之間。在處理期間,處理氣體進入饋送溝渠152,通過垂直溝渠158,在溝槽176中擴張,並接著流動通過該複數個輻狀穿孔162。在一實施例中,饋送溝渠152與垂直溝渠158在垂直於流 動的方向上被伸長,以增加上夾持構件118內的流動通道的尺寸。藉由增加饋送溝渠152與垂直溝渠158的尺寸,可減少氣體流中的壓降。
在一實施例中,可在溝槽176中形成兩個或兩個以上柱318。該等柱318用以接附下夾持構件120。
第4圖是圖示下夾持構件120在柱318處藉由一或更多個螺栓402被接附到上夾持構件118的示意部分剖視圖。第4圖亦圖示出進口容室160是藉由匹配上夾持構件118與下夾持構件120的溝槽176、178來形成。藉由包括上夾持構件118與下夾持構件120的空間,可增加進口容室160的空間,而不會改變腔室部件的其他尺寸。所增加的進口容室160的空間可在處理期間進一步減少流動路徑中的壓降。
如上所討論,處理腔室100能夠執行化學或表面處理以及UV處理。例如,在第1圖所圖示的實施例中,可藉由將來自UV源130的UV能量輸送通過UV可穿透視窗114與UV可穿透氣體散佈噴頭116來對設置在處理空間122上的基材110執行UV處理。
可藉由從氣體盤140供應一或更多種處理氣體而使該等處理氣體通過包括UV可穿透氣體散佈噴頭116的流動路徑到處理空間122來對設置在處理空間122中的基材110執行化學處理。在第1圖所圖示的實施例中,流動路徑包括電漿通道156、輸入岐管144中的內部通道146、饋送溝渠152、垂直溝渠158、進口容室160、複 數個輻狀穿孔162、氣體空間128與UV可穿透氣體散佈噴頭116中的複數個通孔164。UV可穿透氣體散佈噴頭116與UV可穿透視窗114不僅對於UV波長內的光是實質上可穿透的,對於處理氣體中的化學作用亦具有抵抗性。
第5A圖是根據本發明的一實施例的UV可穿透氣體散佈噴頭500的部分剖視圖。UV可穿透氣體散佈噴頭500對於UV波長內的光是實質上可穿透的,並且可抵抗包括鹵素(諸如氟)或臭氧的處理化學作用。UV可穿透氣體散佈噴頭500可被用來取代處理腔室100中的UV可穿透氣體散佈噴頭116。
UV可穿透氣體散佈噴頭500包括主體502。主體502的形狀實質上類似碟片,該碟片具有實質上彼此平行的上表面508與下表面510。複數個通孔506被形成通過主體502。通孔506開放到上表面508與下表面510,並且設以容許處理氣體能均勻地被散佈通過主體502。主體502是由對於UV波長內的光為實質上可穿透的材料所形成。在一實施例中,主體502由石英所形成。
UV可穿透氣體散佈噴頭500亦包括塗層504,塗層504覆蓋上表面508、下表面510與形成複數個通孔506的內表面512。塗層504係保護主體502,而使主體502免於被通過通孔506的處理氣體損壞,並且不會阻擋UV波長。在一實施例中,塗層504可抵抗包括鹵素(諸如氟)或臭氧的處理化學作用。塗層504可包含氮氧化鋁、藍 寶石(sapphire)或其他適當的材料。可使用普遍的沉積技術(諸如化學氣相沉積、物理氣相沉積、噴塗)將塗層504沉積在主體502上。可選擇塗層504的厚度,而使塗層504的厚度厚到足以提供對主體502的保護,並且不會影響主體502的UV穿透性。在一實施例中,塗層504是藉由化學氣相沉積或物理氣相沉積來形成的厚度高達約500微米的氮氧化鋁膜。
第5B圖是根據本發明的一實施例的UV可穿透視窗520的部分剖視圖。類似UV可穿透氣體散佈噴頭500,UV可穿透視窗520對於UV波長內的光亦是實質上可穿透的,並且可抵抗包括鹵素(諸如氟)或臭氧的處理化學作用。UV可穿透氣體散佈噴頭500可被用來取代處理腔室100中的UV可穿透氣體散佈噴頭116。
UV可穿透視窗520包括由UV可穿透材料所形成的主體522以及被形成在至少主體522的下表面526上的塗層524。主體522可由任何UV可穿透材料所形成。在一實施例中,主體522由石英所形成。塗層524係保護主體522當暴露於處理氣體時能免於損壞。在一實施例中,塗層524可抵抗包括鹵素(諸如氟)或臭氧的處理化學作用。塗層524包括氮氧化鋁、藍寶石或其他適當的材料。可使用普遍的沉積技術(諸如化學氣相沉積、物理氣相沉積、噴塗)將塗層524沉積在主體522上。可選擇塗層524的厚度,而使塗層524的厚度厚到足以提供對主體522的保護,並且不會影響主體522的UV穿透性。 在一實施例中,塗層524是藉由化學氣相沉積或物理氣相沉積來形成的厚度高達約500微米的氮氧化鋁膜。
第6圖是根據本發明的一實施例的雙空間處理腔室600的剖視圖。第7圖是雙空間處理腔室600的俯視圖。雙空間處理腔室600包括兩個處理腔室600a、600b,該兩個處理腔室600a、600b實質上類似第1圖的處理腔室100。
處理腔室600a、600b共用腔室主體602與腔室蓋604。處理腔室600a、600b是彼此繞著中央平面628的鏡像。
處理腔室600a界定用以處理單一基材的處理空間624。處理腔室600a包括UV可穿透視窗616與設置在處理空間624上方的UV可穿透氣體散佈噴頭620。處理腔室600b界定用以處理單一基材的處理空間626。處理腔室600b包括UV可穿透視窗618與設置在處理空間626上方的UV可穿透氣體散佈噴頭622。
處理腔室600a、600b共用遠端電漿源606、氣體盤608與真空泵610。處理腔室600a經由輸入岐管612耦接到遠端電漿源606與氣體盤608,並且處理腔室600b經由輸入岐管614耦接到遠端電漿源606與氣體盤608。輸入岐管612、614可定位成使得輸入岐管612、614到遠端電漿源606之間的距離能被最小化,以在電漿中的自由基流動到處理空間624、626時能減少避免電漿中的自由基再結合。在一實施例中,輸入岐管612、614定位在和水平線630呈角度α的位置處。在一實施例中,角度α 是約45度。
第8圖是根據本發明的一實施例的處理系統800的示意平面圖。處理系統800包括一或更多個雙空間處理腔室600。
處理系統800包括真空密封的處理平台804、工廠界面812與系統控制器810。平台804包括複數個雙空間處理腔室822、824、826與負載閉鎖腔室816,雙空間處理腔室822、824、826與負載閉鎖腔室816耦接到傳送腔室802。在一實施例中,傳送腔室802可具有四個側806。各個側806設以和雙空間處理腔室600或負載閉鎖腔室816連接。三個雙空間處理腔室822、824、826耦接到傳送腔室802的三個側806,如第8圖所圖示。
工廠界面812透過雙負載閉鎖腔室816耦接到傳送腔室802。在一實施例中,工廠界面812包括至少一停靠站814與至少一工廠界面機械人820,以促進基材的傳送。停靠站814設以接收一或更多個前開式整合艙(FOUP)818。
雙空間處理腔室822、824、826的各者分別包括併接定位的兩個處理空間822a、822b、824a、824b、826a、826b。雙空間處理腔室822、824、826的各者設以同時地處理兩個基材。基材傳送機械人808包括併接排列的兩個機械人葉片808a、808b,以用於在雙空間處理腔室822、824、826與負載閉鎖腔室816之間傳送兩個基材。此雙空間配置可增加產能,而不會增加資源(諸如用於各 個處理腔室的基材傳送機械人與氣體盤)。
在一實施例中,雙空間處理腔室822、824、826可具有不同配置,以執行處理程式(processing recipe)中的不同處理步驟。或者,雙空間處理腔室822、824、826可具有相同配置,以執行對基材的相同處理。
在一實施例中,雙空間處理腔室822、824、826的至少一者實質上類似雙空間處理腔室600,並且設以藉由接續地、替代地或同時地執行對基材的UV處理和化學處理來同時地處理在兩個處理空間中的兩個基材。
第9圖是圖示用以根據本發明的一實施例處理基材的方法900的流程圖。方法900可被執行在獨立的處理腔室(諸如第1圖的處理腔室100、第6圖的雙空間處理腔室600)中或在耦接到處理系統(諸如第8圖的處理系統800或包括有第1圖的單一空間處理腔室100的處理系統)的處理腔室中。
方法900設以在相同的處理腔室內使用UV處理與化學處理來修復低k介電材料。
例如,方法900可用以執行藉由電漿增強化學氣相沉積來形成的以SiCOH材料為基底的低k介電膜的一終止修復。特別地,氣相矽烷化與硬化被結合來修復低k膜性質與修補側壁損壞。在氣相矽烷化中,含甲基或苯基的矽烷化化合物會與低k膜中的Si-OH基團反應,而將親水性Si-OH基團轉變成疏水性Si-O-Si(CH3)3基團抵抗濕氣上升,因此降低了介電常數。在UV硬化中,藉由 硬化將低k膜中的孔隙予以密封。
在方法900的方塊910中,基材被接收在基材支撐件上,其中該基材支撐件設置在處理腔室的處理空間中。 在一實施例中,處理空間設置在UV可穿透氣體散佈噴頭下方,UV可穿透氣體散佈噴頭對於UV波長內的光是實質上可穿透的。UV可穿透氣體散佈噴頭容許用於化學處理的處理氣體能以實質上均勻的方式被散佈遍佈基材。UV可穿透氣體散佈噴頭亦容許UV光的通過,以使得處理空間中的基材的UV硬化成為可能。
在方法900的方塊920中,藉由使來自基材上方的UV可穿透氣體散佈噴頭的一或更多種處理氣體流動,以執行化學處理。在一實施例中,從UV可穿透視窗與UV可穿透氣體散佈噴頭之間的區域透過UV可穿透氣體散佈噴頭朝向基材輸送該一或更多種處理氣體。
在一實施例中,化學處理是使用矽烷化試劑的氣相矽烷化,其中該矽烷化試劑選自包含六甲基二矽氮烷(HMDS)、四甲基二矽氮烷(TMDS)、三甲基氯矽烷(TMCS)、二甲基二氯矽烷(DMDCS)、甲基三氯矽烷(MTCS)、甲基三氯矽烷(MTCS)、三甲基甲氧基矽烷(TMMS)、苯基三甲氧基矽烷(PTMOS)、苯基二甲基氯矽烷(PDMCS)、二甲基氨基三甲基矽烷(DMATMS)、双(二甲基氨基)二甲基矽烷(BDMADMS)或上述組合的群組。在一實施例中,氣相矽烷化的時段可從約1 min至約10 min。矽烷化溫度可從約100℃至約400℃。矽烷化試劑 的流速可介於約0.5 g/min與約5 g/min之間,並且腔室壓力可介於約2 mTorr與約500 Torr之間。
在方法900的方塊930中,使用來自設置在UV可穿透氣體散佈噴頭與UV可穿透視窗上方的UV單元的UV能量將基材於相同處理腔室中硬化。在一實施例中,UV硬化溫度可從約室溫至約400℃。UV硬化時間可從約10 sec至約180 sec。可透過UV可穿透氣體散佈噴頭將UV硬化氣體流動到處理腔室。在一實施例中,可在介於約8 slm與約24 slm之間的流速下將惰性硬化氣體(諸如He與Ar)流動到處理腔室。
在另一實施例中,可同時地執行在方塊920中的矽烷化與在方塊930中的UV硬化。UV單元在和矽烷化製程相同的時間點而開啟/關閉。矽烷化試劑流速、UV功率、晶圓溫度、矽烷化與UV硬化製程的腔室壓力、矽烷化時間與UV開啟時間是可調整的。
在另一實施例中,可在方塊920中的矽烷化處理之前執行在方塊930中的UV硬化。
在另一實施例中,可交替地執行在方塊930中的UV硬化與在方塊920中的矽烷化。首先,執行UV硬化,以從表面/側壁移除一些水。執行矽烷化,以修復表面疏水性。接著,執行UV硬化,以進一步修復低k膜損壞。矽烷化試劑流速、UV功率、晶圓溫度、矽烷化與UV硬化製程的腔室壓力、矽烷化時間與UV開啟時間是可調整的。
在又一實施例中,可以脈衝化原位方式來執行在方塊920中的矽烷化與在方塊930中的UV硬化。以約5-10秒的脈衝來執行矽烷化處理,接著進行約5-10秒的脈衝的UV硬化。
本發明的實施例提供用以在單一腔室中執行化學處理與UV硬化以進行低k膜修復的設備與方法。本發明的實施例亦使得藉由包括遠端電漿源的UV硬化腔室的電漿清潔成為可能。因此,可藉由減少所使用的腔室的數量而減少生產成本。可藉由去除基材傳送與額外的腔室泵送而增加生產效率。此外,本發明的實施例亦使得以最小空間來併入各種處理特徵與功能成為可能,而造成了在製造環境中的k修復的符合經濟效益實施。
儘管上述說明導向本發明的實施例,可在不悖離本發明的基本範疇下設想出本發明的其他與進一步的實施例,並且本發明的範疇是由隨附的申請專利範圍來決定。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧腔室蓋
106‧‧‧內部空間
108‧‧‧基材支撐組件
110‧‧‧基材
112‧‧‧中央開口
114‧‧‧UV可穿透視窗
116‧‧‧氣體散佈噴頭
118‧‧‧上夾持構件
120‧‧‧下夾持構件
122‧‧‧處理空間
124‧‧‧視窗夾持構件
126‧‧‧距離
128‧‧‧氣體空間
130‧‧‧UV源
132‧‧‧遮覆件
134‧‧‧內表面
136‧‧‧UV燈
138a‧‧‧液體源
138b‧‧‧液體源
138c‧‧‧液體源
140‧‧‧氣體盤
142a‧‧‧氣體管線
142b‧‧‧氣體管線
142c‧‧‧氣體管線
144‧‧‧輸入岐管
146‧‧‧內部通道
148‧‧‧饋送口
150‧‧‧出口
152‧‧‧饋送溝渠
154‧‧‧遠端電漿源
156‧‧‧電漿通道
158‧‧‧垂直溝渠
160‧‧‧進口容室
162‧‧‧輻狀穿孔
164‧‧‧通孔
166‧‧‧內襯裡
168‧‧‧外襯裡
170‧‧‧排放容室
172‧‧‧穿孔
174‧‧‧真空泵
176‧‧‧溝槽
178‧‧‧溝槽
302‧‧‧凸緣
304‧‧‧環形主體
304L‧‧‧下部
304u‧‧‧上部
306‧‧‧階梯
308‧‧‧頂表面
310‧‧‧底表面
312‧‧‧外表面
314‧‧‧內表面
316‧‧‧內壁
318‧‧‧柱
402‧‧‧螺栓
500‧‧‧氣體散佈噴頭
502‧‧‧主體
504‧‧‧塗層
506‧‧‧通孔
508‧‧‧上表面
510‧‧‧下表面
512‧‧‧內表面
520‧‧‧UV可穿透視窗
522‧‧‧主體
524‧‧‧塗層
526‧‧‧下表面
600‧‧‧雙空間處理腔室
600a‧‧‧處理腔室
600b‧‧‧處理腔室
602‧‧‧腔室主體
604‧‧‧腔室蓋
606‧‧‧遠端電漿源
608‧‧‧氣體盤
610‧‧‧真空泵
612‧‧‧輸入岐管
614‧‧‧輸入岐管
616‧‧‧UV可穿透視窗
618‧‧‧UV可穿透視窗
620‧‧‧氣體散佈噴頭
622‧‧‧氣體散佈噴頭
624‧‧‧處理空間
626‧‧‧處理空間
628‧‧‧中央平面
630‧‧‧水平線
800‧‧‧處理系統
802‧‧‧腔室
804‧‧‧平台
806‧‧‧側
808‧‧‧機械人
808a‧‧‧機械人葉片
808b‧‧‧機械人葉片
810‧‧‧系統控制器
812‧‧‧工廠界面
814‧‧‧停靠站
816‧‧‧負載閉鎖腔室
818‧‧‧前開式整合艙(FOUP)
820‧‧‧工廠界面機械人
822‧‧‧雙空間處理腔室
822a‧‧‧處理空間
822b‧‧‧處理空間
824‧‧‧雙空間處理腔室
824a‧‧‧處理空間
824b‧‧‧處理空間
826‧‧‧雙空間處理腔室
826a‧‧‧處理空間
826b‧‧‧處理空間
900‧‧‧方法
910‧‧‧方塊
920‧‧‧方塊
930‧‧‧方塊
可藉由參考本發明的實施例來詳細暸解本發明的上述特徵,本發明的上述特徵簡短地在前面概述過,其中一些實施例在附圖中圖示出。但是應注意的是,附圖僅示出本發明的典型實施例,並且因此附圖不應被視為會對本發明範疇構成限制,這是因為本發明可允許其他等效實施例。
第1圖是根據本發明的一實施例的處理腔室的示意剖視圖。
第2圖是第1圖的處理腔室的示意俯視圖,其中UV單元與視窗被移除。
第3A圖是根據本發明的一實施例的夾持構件的示意部分透視圖,此圖圖示氣體通道。
第3B圖是第3A圖的夾持構件的示意部分剖視圖。
第4圖是噴頭夾持組件的部分剖視圖,該噴頭夾持組件包括用於氣體流動的容室。
第5A圖是根據本發明的一實施例的UV可穿透噴頭的部分剖視圖。
第5B圖是根據本發明的一實施例的UV可穿透視窗的部分剖視圖。
第6圖是根據本發明的一實施例的雙空間處理腔室的剖視圖。
第7圖是第6圖的雙空間處理腔室的俯視圖。
第8圖是根據本發明的一實施例的處理系統的示意平面圖。
第9圖是圖示用以根據本發明的一實施例處理基材的方法的流程圖。
為促進了解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。應瞭解,一實施例的元件可有利地被應用到其他實施例而不需詳述。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧腔室蓋
106‧‧‧內部空間
108‧‧‧基材支撐組件
110‧‧‧基材
112‧‧‧中央開口
114‧‧‧UV可穿透視窗
116‧‧‧氣體散佈噴頭
118‧‧‧上夾持構件
120‧‧‧下夾持構件
122‧‧‧處理空間
124‧‧‧視窗夾持構件
126‧‧‧距離
128‧‧‧氣體空間
130‧‧‧UV源
132‧‧‧遮覆件
134‧‧‧內表面
136‧‧‧UV燈
138a‧‧‧液體源
138b‧‧‧液體源
138c‧‧‧液體源
140‧‧‧氣體盤
142a‧‧‧氣體管線
142b‧‧‧氣體管線
142c‧‧‧氣體管線
144‧‧‧輸入岐管
146‧‧‧內部通道
148‧‧‧饋送口
150‧‧‧出口
152‧‧‧饋送溝渠
154‧‧‧遠端電漿源
156‧‧‧電漿通道
158‧‧‧垂直溝渠
160‧‧‧進口容室
162‧‧‧輻狀穿孔
164‧‧‧通孔
166‧‧‧內襯裡
168‧‧‧外襯裡
170‧‧‧排放容室
172‧‧‧穿孔
174‧‧‧真空泵
176‧‧‧溝槽
178‧‧‧溝槽

Claims (17)

  1. 一種處理腔室,包含:一腔室主體,該腔室主體界定一內部空間;一基材支撐件,該基材支撐件設置在該內部空間中;一UV可穿透氣體散佈噴頭,該UV可穿透氣體散佈噴頭設置在該基材支撐件上方;一UV可穿透視窗,該UV可穿透視窗設置在該UV可穿透氣體散佈噴頭上方,其中一氣體空間被形成在該UV可穿透氣體散佈噴頭與該UV可穿透視窗之間,並且該氣體空間與該內部空間透過該UV可穿透氣體散佈噴頭而流體連通;一UV單元,該UV單元設置在該UV可穿透視窗外面,其中該UV單元設以將UV光引導朝向該基材支撐件而通過該UV可穿透視窗與該UV可穿透氣體散佈噴頭;及一夾持構件,該夾持構件設置在該腔室主體的一上開口中,其中該夾持構件設置在該UV可穿透氣體散佈噴頭與該UV可穿透視窗之間,並且一氣體流動路徑被形成在該夾持構件內,其中該夾持構件具有:一環形主體;一凸緣,該凸緣從該環形主體的一上部徑向地向外延伸,其中該凸緣耦接到該腔室主體;及 一階梯,該階梯從該環形主體的一下部徑向地向內延伸,其中該UV可穿透氣體散佈噴頭設置在該階梯的一頂表面上。
  2. 如請求項1的處理腔室,其中該UV可穿透氣體散佈噴頭包含:一主體,該主體由一實質上UV可穿透材料所形成;及一塗層,該塗層設以保護該主體,而使該主體免於暴露於流動通過該UV可穿透氣體散佈噴頭的處理氣體。
  3. 如請求項2的處理腔室,其中該主體由石英所形成。
  4. 如請求項3的處理腔室,其中該塗層包含氮氧化鋁膜。
  5. 如請求項4的處理腔室,其中該氮氧化鋁膜藉由電漿增強化學沉積來形成。
  6. 如請求項3的處理腔室,其中該塗層包含藍寶石。
  7. 如請求項1的處理腔室,其中該UV可穿透視窗包含:一主體,該主體由石英所形成;及一塗層,該塗層設以保護該主體,而使該主體免 於暴露於該氣體空間中的處理氣體。
  8. 如請求項1的處理腔室,其中該氣體流動路徑包括:一水平溝渠,該水平溝渠被形成在該凸緣中,其中該水平溝渠開放在該凸緣的一外表面處;一垂直溝渠,該垂直溝渠被形成在該環形主體中,其中該垂直溝渠在一上端處連接到該水平溝渠;一容室,該容室被形成在該環形主體的該下部中,其中該垂直溝渠的一下端開放到該容室;及複數個輻狀穿孔,該等輻狀穿孔被形成通過該階梯,其中該複數個穿孔的各者具有開放到該容室的一第一端與開放到該階梯的一內表面的一第二端。
  9. 如請求項1的處理腔室,更包含一輸入岐管,該輸入岐管耦接到該夾持構件,其中該輸入岐管的一出口連接到被形成在該夾持構件中的該氣體流動路徑。
  10. 如請求項9的處理腔室,更包含:一遠端電漿源,該遠端電漿源連接到該輸入岐管;及一氣體盤,該氣體盤連接到該輸入岐管。
  11. 一種處理系統,包含:一傳送腔室,該傳送腔室界定一傳送空間; 一基材傳送機械人,該基材傳送機械人設置在該傳送空間中;及一雙空間處理腔室,該雙空間處理腔室耦接到該傳送腔室,其中該雙空間處理腔室包含:一腔室主體,該腔室主體界定一第一內部空間與一第二內部空間;一第一基材支撐件,該第一基材支撐件設置在該第一內部空間中;一第一UV可穿透氣體散佈噴頭,該第一UV可穿透氣體散佈噴頭設置在該第一基材支撐件上方;一第一UV可穿透視窗,該第一UV可穿透視窗設置在該第一UV可穿透氣體散佈噴頭上方,其中一第一氣體空間被形成在該第一UV可穿透氣體散佈噴頭與該第一UV可穿透視窗之間,並且該第一氣體空間與該第一內部空間透過該第一UV可穿透氣體散佈噴頭而流體連通;一第一UV單元,該第一UV單元設置在該第一UV可穿透視窗外面,其中該第一UV單元設以將UV光引導朝向該第一基材支撐件而通過該第一UV可穿透視窗與該第一UV可穿透氣體散佈噴頭;一第一夾持構件,該第一夾持構件設置在該第一UV可穿透氣體散佈噴頭與該第一UV可穿透視窗之間,其中一第一氣體流動路徑被形成在該第一夾 持構件內,其中該第一夾持構件具有:一第一環形主體;一第一凸緣,該第一凸緣從該第一環形主體的一上部徑向地向外延伸,其中該第一凸緣耦接到該腔室主體;及一第一階梯,該第一階梯從該第一環形主體的一下部徑向地向內延伸,其中該第一UV可穿透氣體散佈噴頭設置在該第一階梯的一頂表面上;一第二基材支撐件,該第二基材支撐件設置在該第二內部空間中;一第二UV可穿透氣體散佈噴頭,該第二UV可穿透氣體散佈噴頭設置在該第二基材支撐件上方;一第二UV可穿透視窗,該第二UV可穿透視窗設置在該第二UV可穿透氣體散佈噴頭上方,其中一第二氣體空間被形成在該第二UV可穿透氣體散佈噴頭與該第二UV可穿透視窗之間,並且該第二氣體空間與該第二內部空間透過該第二UV可穿透氣體散佈噴頭而流體連通;一第二UV單元,該第二UV單元設置在該第二UV可穿透視窗外面,其中該第二UV單元設以將UV光引導朝向該第二基材支撐件而通過該第二UV可穿透視窗與該第二UV可穿透氣體散佈噴頭; 及一第二夾持構件,該第二夾持構件設置在該第二UV可穿透氣體散佈噴頭與該第二UV可穿透視窗之間,其中一第二氣體流動路徑被形成在該第二夾持構件內,其中該第二夾持構件具有:一第二環形主體;一第二凸緣,該第二凸緣從該第二環形主體的一上部徑向地向外延伸,其中該第二凸緣耦接到該腔室主體;及一第二階梯,該第二階梯從該第二環形主體的一下部徑向地向內延伸,其中該第二UV可穿透氣體散佈噴頭設置在該第二階梯的一頂表面上。
  12. 如請求項11的系統,其中該第一流動路徑與該第二流動路徑是彼此的鏡像。
  13. 如請求項11的系統,其中該第一UV可穿透氣體散佈噴頭包含:一主體,該主體由一UV可穿透材料所形成;及一塗層,該塗層設以保護該主體,而使該主體免於暴露於流動通過該第一UV可穿透氣體散佈噴頭的處理氣體。
  14. 如請求項11的系統,其中該第一UV可穿透視窗包含:一主體,該主體由石英所形成;及一塗層,該塗層設以保護該主體,而使該主體免於暴露於該第一氣體空間中的處理氣體。
  15. 一種用以處理一基材的方法,該方法包含以下步驟:接收一基材在一基材支撐件上,該基材支撐件設置在一處理腔室的一內部空間中,其中該處理腔室包含:一UV可穿透氣體散佈噴頭,該UV可穿透氣體散佈噴頭設置在該基材支撐件上方;一UV可穿透視窗,該UV可穿透視窗設置在該UV可穿透氣體散佈噴頭上方;及一UV單元,該UV單元設置在該內部空間外面,其中該UV單元設以將UV光引導朝向該基材支撐件而通過該UV可穿透視窗與該UV可穿透氣體散佈噴頭;藉由使一或更多種處理氣體從一氣體空間流動通過該UV可穿透氣體散佈噴頭,以化學地處理該基材,該氣體空間被界定在該UV可穿透視窗與該UV可穿透氣體散佈噴頭之間,其中化學地處理該基材的步驟包含以下步驟:使包含一矽烷化試劑的一或更多種處理氣體流動,以化學地處理被形成在該基材上的 一低k膜;及藉由將一UV能量從該UV單元引導朝向該基材而通過該UV可穿透氣體散佈噴頭與該UV可穿透視窗,以硬化該基材。
  16. 如請求項15的方法,其中同時地執行該化學處理與該硬化步驟。
  17. 如請求項15的方法,其中在該硬化步驟之前執行該化學處理步驟。
TW101112274A 2011-04-08 2012-04-06 用於uv處理、化學處理與沉積的設備與方法 TWI529834B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161473577P 2011-04-08 2011-04-08

Publications (2)

Publication Number Publication Date
TW201248757A TW201248757A (en) 2012-12-01
TWI529834B true TWI529834B (zh) 2016-04-11

Family

ID=46966323

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101112274A TWI529834B (zh) 2011-04-08 2012-04-06 用於uv處理、化學處理與沉積的設備與方法

Country Status (6)

Country Link
US (2) US20120258259A1 (zh)
JP (1) JP5976776B2 (zh)
KR (1) KR101928348B1 (zh)
CN (1) CN103493185A (zh)
TW (1) TWI529834B (zh)
WO (1) WO2012138866A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI490366B (zh) * 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
JP5905476B2 (ja) * 2010-10-19 2016-04-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Nanocureuvチャンバ用の石英シャワーヘッド
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US8872138B2 (en) * 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US20140264059A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Light irradiance and thermal measurement in uv and cvd chambers
US20140262037A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Transparent yttria coated quartz showerhead
US9252024B2 (en) 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
WO2016109063A1 (en) 2015-01-02 2016-07-07 Applied Materials, Inc. Processing chamber
US11333246B2 (en) 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US20160314997A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
CN104875113B (zh) * 2015-06-18 2017-03-01 浙江工商大学 一种研磨盘表面自生长的快速修复研磨机构
US10767016B2 (en) * 2016-02-10 2020-09-08 Lumileds Llc Vapor-phase curing catalysis and passivation of siloxane resins in LED applications
KR102214350B1 (ko) * 2016-05-20 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
KR102204297B1 (ko) * 2016-12-02 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 박막 캡슐화 처리 시스템 및 프로세스 키트
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
JP6981356B2 (ja) * 2018-04-24 2021-12-15 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
CN112867599B (zh) * 2018-10-16 2023-07-21 光学转变有限公司 紫外线固化设备
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
CN116710595A (zh) * 2020-12-22 2023-09-05 玛特森技术公司 具有气体喷头组件的工件处理装置
US11448977B1 (en) 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983039A (en) 1975-03-03 1976-09-28 Fusion Systems Corporation Non-symmetrical reflector for ultraviolet curing
US4135098A (en) 1976-11-05 1979-01-16 Union Carbide Corporation Method and apparatus for curing coating materials
US4411931A (en) 1982-09-29 1983-10-25 Armstrong World Industries, Inc. Multiple step UV curing process for providing accurately controlled surface texture
NL8402124A (nl) 1984-07-04 1986-02-03 Philips Nv Inrichting voor het belichten van een uv hardende laag op een draadvormig lichaam.
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
DE3919334A1 (de) 1989-06-13 1990-12-20 Tetsuhiro Kano Reflektor fuer eine leuchte
JPH0435842A (ja) 1990-05-31 1992-02-06 Brother Ind Ltd 加工ユニット制御装置
US5228206A (en) 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
JPH0693454A (ja) * 1992-05-15 1994-04-05 Mitsubishi Kasei Corp グロー放電方法及びグロー放電装置
DE4318735A1 (de) 1993-06-05 1994-12-08 Kammann Maschf Werner UV-Strahler zum Bestrahlen von Druckfarben auf Objekten und Verfahren zum Trocknen von mit Druckfarbe versehenen Objekten
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5440137A (en) 1994-09-06 1995-08-08 Fusion Systems Corporation Screw mechanism for radiation-curing lamp having an adjustable irradiation area
US5705232A (en) 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3088970B2 (ja) * 1996-07-12 2000-09-18 東京エレクトロン株式会社 改質方法及びその装置
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
GB2349684B (en) 1996-08-02 2001-01-17 Nordson Corp Lamp assembly
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
EP0968962A4 (en) 1997-06-23 2002-04-03 Soloviev Evgeny Vladimirovich METHOD AND DEVICE FOR TREATING LIQUIDS, AIR AND SURFACES WITH UV RAYS
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
DE19810455C2 (de) 1998-03-11 2000-02-24 Michael Bisges Kaltlicht-UV-Bestrahlungsvorrichtung
GB2336240A (en) 1998-04-09 1999-10-13 Jenton International Limited Apparatus for emitting light
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6187133B1 (en) * 1998-05-29 2001-02-13 Applied Materials, Inc. Gas manifold for uniform gas distribution and photochemistry
JP2000218156A (ja) 1998-11-25 2000-08-08 Hooya Shot Kk 紫外光照射装置
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
US7126687B2 (en) 1999-08-09 2006-10-24 The United States Of America As Represented By The Secretary Of The Army Method and instrumentation for determining absorption and morphology of individual airborne particles
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
GB2360084B (en) 2000-03-08 2004-04-21 Nordson Corp Lamp assembly
WO2001080271A2 (en) 2000-04-07 2001-10-25 Nordson Corporation Microwave excited ultraviolet lamp system with improved lamp cooling
JP4744671B2 (ja) * 2000-05-22 2011-08-10 東京エレクトロン株式会社 枚葉式処理装置
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6323601B1 (en) 2000-09-11 2001-11-27 Nordson Corporation Reflector for an ultraviolet lamp system
US6380270B1 (en) 2000-09-26 2002-04-30 Honeywell International Inc. Photogenerated nanoporous materials
US6559460B1 (en) 2000-10-31 2003-05-06 Nordson Corporation Ultraviolet lamp system and methods
GB2407370B (en) 2001-02-27 2005-07-06 Nordson Corp Lamp assembly
US6732451B2 (en) 2001-04-11 2004-05-11 Intermec Ip Corp. UV curing module for label printer
JP4712240B2 (ja) * 2001-08-01 2011-06-29 東京エレクトロン株式会社 光励起成膜装置及び光励起成膜方法
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
DE10204994B4 (de) 2002-02-05 2006-11-09 Xtreme Technologies Gmbh Anordnung zur Überwachung der Energieabstrahlung einer EUV-Strahlungsquelle
US6717161B1 (en) 2003-04-30 2004-04-06 Fusion Uv Systems, Inc. Apparatus and method providing substantially uniform irradiation of surfaces of elongated objects with a high level of irradiance
EP1634059A1 (en) 2003-06-03 2006-03-15 Bayer HealthCare LLC Verification device and method for optical inspection machine
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
US7119904B2 (en) 2004-01-13 2006-10-10 Thermo Electron Scientific Instruments Corporation Stabilized infrared source for infrared spectrometers
JP4312063B2 (ja) * 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
US7164144B2 (en) 2004-03-10 2007-01-16 Cymer Inc. EUV light source
US7148480B2 (en) * 2004-07-14 2006-12-12 The Regents Of The University Of California Polycrystalline optical window materials from nanoceramics
US7077547B2 (en) 2004-07-29 2006-07-18 Nordson Corporation Shuttered lamp assembly and method of cooling the lamp assembly
DE102004038592A1 (de) 2004-08-06 2006-03-16 Ist Metz Gmbh Bestrahlungsaggregat
JP2006134974A (ja) 2004-11-04 2006-05-25 Canon Inc 露光装置、判定方法及びデバイス製造方法
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7777198B2 (en) 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7909595B2 (en) 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070287091A1 (en) 2006-06-12 2007-12-13 Jacobo Victor M System and method for exposing electronic substrates to UV light
US20070295012A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system
US20070298167A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Ozone abatement in a re-circulating cooling system
JP5258241B2 (ja) * 2006-09-19 2013-08-07 日本エー・エス・エム株式会社 Uv照射チャンバーをクリーニングする方法
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US7501292B2 (en) * 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
WO2014116304A2 (en) 2012-08-23 2014-07-31 Applied Materials, Inc. Method and hardware for cleaning uv chambers

Also Published As

Publication number Publication date
US20120258259A1 (en) 2012-10-11
KR101928348B1 (ko) 2018-12-12
US20160289838A1 (en) 2016-10-06
CN103493185A (zh) 2014-01-01
WO2012138866A1 (en) 2012-10-11
US10570517B2 (en) 2020-02-25
KR20140021608A (ko) 2014-02-20
TW201248757A (en) 2012-12-01
JP2014512102A (ja) 2014-05-19
JP5976776B2 (ja) 2016-08-24

Similar Documents

Publication Publication Date Title
TWI529834B (zh) 用於uv處理、化學處理與沉積的設備與方法
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
JP6360849B2 (ja) 多レベルシャワーヘッド設計
TWI689615B (zh) 供應製程氣體及處理半導體晶圓的設備
KR102133373B1 (ko) Uv 챔버들을 세정하기 위한 방법 및 하드웨어
CN105900214B (zh) 通过使用远程等离子体pecvd的fcvd硬件形成的可流动碳膜
US10221483B2 (en) Showerhead design
TW201704529A (zh) 以間歇性再生電漿並利用原子層沉積矽氧化物表面塗層使自由基再結合最小化
US20110045676A1 (en) Remote plasma source seasoning
KR20130136957A (ko) 프로세싱 챔버 세정 가스의 난류 유발 장치 및 방법
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
KR20150010720A (ko) Uv 기반 실릴화 챔버 세정을 위한 방법
US10755903B2 (en) RPS defect reduction by cyclic clean induced RPS cooling
KR20130064071A (ko) 광자 열 프로세싱 시스템용 스플릿 배플들을 갖는 퍼지 링
CN101454482A (zh) 用于填充介电质间隙的处理室
KR102216500B1 (ko) 웨이퍼 가스방출을 위한 플라즈마 강화 어닐링 챔버
US11222771B2 (en) Chemical control features in wafer process equipment
US10113234B2 (en) UV assisted silylation for porous low-k film sealing
TWI658164B (zh) 薄膜封裝處理系統和處理套組
JP2023532277A (ja) 裏面処理のためのステーション可変支持フィーチャを備えたマルチステーション処理ツール
US20160096193A1 (en) Parylene deposition process
TWI695084B (zh) 電漿增強化學氣相沉積裝置及方法
TW201712786A (zh) 整合多功能腔以及基板處理系統