JPH10199874A - リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法 - Google Patents

リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法

Info

Publication number
JPH10199874A
JPH10199874A JP9324651A JP32465197A JPH10199874A JP H10199874 A JPH10199874 A JP H10199874A JP 9324651 A JP9324651 A JP 9324651A JP 32465197 A JP32465197 A JP 32465197A JP H10199874 A JPH10199874 A JP H10199874A
Authority
JP
Japan
Prior art keywords
chamber
wall
white powder
remote
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9324651A
Other languages
English (en)
Inventor
Shan Kuanyuan
シャン クアンユアン
M Robertson Robert
エム. ロバートソン ロバート
S Rou Kam
エス. ロウ カム
Meidan Dan
メイダン ダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AKT Inc
Original Assignee
Applied Komatsu Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Komatsu Technology Inc filed Critical Applied Komatsu Technology Inc
Publication of JPH10199874A publication Critical patent/JPH10199874A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)

Abstract

(57)【要約】 【課題】 プロセスチャンバ内での白色粉末の生成を抑
える装置及び方法を提供する。 【解決手段】 本方法は、プロセスチャンバの壁の少な
くとも一部分を加熱するステップ、プロセスチャンバの
壁の大部分を覆うライナを設けるステップ、プロセスチ
ャンバの内部に接続されたリモートチャンバを設けるス
テップ、リモートチャンバ内で清浄ガスのプラズマを生
じさせるステップ、及び清浄ガスのプラズマの一部をプ
ロセスチャンバ内に流入するステップを含んでいる。本
装置は、壁を有する堆積チャンバ、壁に熱結合され壁を
加熱する手段、壁の大部分を覆うライナ、チャンバの外
側に配置されたリモートチャンバ、リモートチャンバ内
にエネルギを供給することの可能な活性化源、リモート
ガス供給源からの前駆ガスをリモートチャンバ内に流入
させる第1導管、及びリモートチャンバからの反応種を
堆積チャンバ内に流入させる第2導管を含んでいる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、リモートプラズマ
源清浄技術を用いて窒化ケイ素堆積中の白色粉末を低減
する装置および方法に関するものである。
【0002】
【従来の技術】プラズマ補助化学反応(plasma-assiste
d chemical reactions)は、半導体産業やフラットパネ
ルディスプレイ産業で広く利用されている。その一例
が、プラズマ励起式化学的気相堆積(PECVD)であ
り、これは、アクティブマトリクス液晶ディスプレイ
(AMLCD)用の薄膜トランジスタ(TFT)の製造
時に使用されるプロセスである。PECVDでは、基板
は、一対の平行平板電極が設置された真空堆積チャンバ
(vacuum deposition chamber)内に配置される。これ
らの電極の一方、例えば一般にサセプタと呼ばれる下部
電極が基板を保持する。他方の電極、すなわち上部電極
は、ガス入口マニホールドまたはシャワーヘッドとして
機能する。堆積中、反応ガスは上部電極を通ってチャン
バ内に流れ込み、高周波(RF)電圧が電極間に印加さ
れて、反応ガス中にプラズマが形成される。このプラズ
マは、反応ガスを分解し、材料の層を基板の表面上に堆
積する。
【0003】しばしば堆積される材料として、窒化ケイ
素(SiN)がある。SiNは、その耐水性や耐ナトリ
ウム汚染性のために、ゲート絶縁層およびパッシベーシ
ョン層の共通の材料となっている。SiNの堆積では、
本発明の譲受人に譲渡された米国特許第5,399,387号に
記載されているように、シラン(SiH4)ガスおよび
アンモニア(NH3)ガスからなるプラズマを用いるこ
とで、幾つかの反応経路に従ってSiNを堆積すること
が可能である。例えば、
【化1】 である。SiNは、基板上だけではなく壁や排気システ
ムの上にも堆積する。既知の現場清浄プロセスは、清浄
ガス(cleaning gas)(多くの場合、フッ化窒素(NF
3)である)を供給し、排気可能な揮発性生成物を形成
するためにRFプラズマを用いてチャンバ内部のガスを
活性化することにより、壁からSiN膜を除去すること
が可能である。この反応は、次のように進行する。
【0004】
【化2】 生成物フッ化ケイ素(SiF4)は、この後、SiN堆
積プロセス中にNH3およびフッ化水素(HF)と反応
して、例えば六フッ化アンモニウム((NH42SiF
6)を形成する。このような生成物および他の同様のケ
イ素含有フッ化物生成物は、ここでは「白色粉末」と呼
ばれ、より一般的には、部分反応SiN膜を構成する。
この望ましくない白色粉末は、例えば、真空ポンプ内で
濃縮(condense)することがある。また、この白色粉末
は、プロセスチャンバをポンプに接続する真空ライン
(フォアライン)やポンプを排気システムに接続する真
空ライン(排気ライン)内で濃縮することもある。最終
的に、この白色粉末は、燃焼箱(排気を扱う)内で、お
よびチャンバ壁上で濃縮する可能性がある。ポンプおよ
び排気の場合、濃縮は、総計で数キログラムの白色粉末
になることがあり、これにより、しばしばポンプが故障
する。フォアラインおよび排気ラインの場合、目詰まり
が起こることがある。この白色粉末は、堆積プロセス中
において望ましくない微粒子の源ともなる。
【0005】
【発明が解決しようとする課題】従来のプラズマ現場清
浄プロセスは、白色粉末の除去やSiN堆積中における
白色粉末の発生の低減には有効でない。チャンバやチャ
ンバ内の露出部品を清浄するこのようなシステムでは、
前駆ガスがチャンバに供給される。この後、チャンバ内
の前駆ガスにグロー放電プラズマを局所的に加えること
により、反応種が生成される。この反応種は、チャンバ
表面上のプロセス堆積物と揮発性の化合物を形成するこ
とによりチャンバの表面を清浄する。このプラズマ現場
清浄は、通常、白色粉末を除去せず、ポンプおよび排気
の定期的な整備が依然として必要である。
【0006】新たに改良を加えた清浄システムが、白色
粉末を除去するために開発されている。例えば、ある改
良システムは、プロセスチャンバとポンプとの間に追加
のプラズマ源を導入する。別の例では、ポンプとプロセ
スチャンバとの間、あるいは(排気ライン中における)
ポンプの後にトラップが導入されている。しかしなが
ら、これらの方法も、白色粉末の除去やSiN堆積中に
おける白色粉末の発生の低減には有効でない。
【0007】本発明は、SiN堆積プロセス中に形成さ
れる白色粉末の量を低減することを目的としている。ま
た、白色粉末形成の結果として生じる可能性のある部品
への損傷を低減することを関連する目的としている。
【0008】
【課題を解決するための手段】一つの側面では、本発明
は、窒化ケイ素を堆積するために用いられるプロセスチ
ャンバ内での白色粉末の生成を低減する方法に関してお
り、プロセスチャンバの壁の少なくとも一部分を加熱す
るステップと、プロセスチャンバの壁の実質的な部分を
覆うライナを設けるステップと、プロセスチャンバの内
部に接続されたリモートチャンバを設けるステップと、
このリモートチャンバ内で清浄ガスのプラズマを発生さ
せるステップと、この清浄ガスのプラズマの一部をプロ
セスチャンバ内に流入するステップと、を備え、白色粉
末の生成が実質的に低減されるようになっている。
【0009】この発明の具体化には、以下の事項が含ま
れる。上記の加熱ステップは、壁内の少なくとも1個の
中空隔室内に加熱された流体を流入することにより行わ
れる。白色粉末の生成は、真空ライン内や、プロセスチ
ャンバに機能を提供するポンプシステム内で低減され
る。この方法は、ウェーハを約85℃以上の温度に加熱
するステップをさらに備えていても良い。上記のライナ
は、プロセスチャンバの内部の実質的に全体を覆ってい
る。このライナは、アルマイト(陽極酸化アルミニウ
ム)(anodized aluminum)またはセラミックから作ら
れている。
【0010】別の側面では、本発明は、窒化ケイ素を堆
積するために使用されるプロセスチャンバ内での白色粉
末の生成を低減する方法に関しており、プロセスチャン
バの壁を加熱する手段を設けるステップと、プロセスチ
ャンバの内部の実質的な部分を覆うライナを設けるステ
ップと、を備え、白色粉末の生成が実質的に低減される
ようになっている。
【0011】この発明の具体化には、以下の事項が含ま
れる。上記の加熱手段は、抵抗ヒータ、またはプロセス
チャンバの外側を実質的に覆う熱絶縁ブランケットであ
る。
【0012】別の側面では、本発明は、白色粉末の生成
が低減される窒化ケイ素堆積用の装置に関する。本発明
は、壁を有する堆積チャンバと、この壁を加熱する手段
であってこの壁に熱結合(thermally couple)された手
段と、壁の実質的な部分を覆うライナと、チャンバの外
側に配置されたリモートチャンバと、このリモートチャ
ンバ内にエネルギを供給する活性化源(activation sou
rce)と、リモートガス供給源からの前駆ガスを、前駆
ガスが活性化源によって活性化されて反応種が形成され
るリモートチャンバ内に流入する第1の導管と、リモー
トチャンバからの反応種を堆積チャンバ内に流入する第
2の導管と、を備えている。
【0013】この発明の具体化には、以下の事項が含ま
れる。上記の加熱手段は、壁の少なくとも一部分の内部
に配置された隔室と、この隔室に接続された流体流入口
と、この隔室に接続された流体流出口と、を有してい
る。また、前記流体流入口に接続された流体源もある。
この加熱手段は、チャンバの外部を実質的に覆う熱絶縁
ブランケットであっても良い。
【0014】本発明の利点は、SiN堆積プロセス中に
生成される白色粉末の量が低減されることである。ま
た、白色粉末によって引き起こされるポンプの故障やラ
イン目詰まりの発生が低減されることも利点である。
【0015】本発明の他の目的や利点は、以下の説明の
中で述べるが、その一部は以下の説明から明らかにな
り、また本発明の実施によって理解することができる。
本発明の目的および利点は、特に特許請求の範囲で指摘
される手段および組合せによって実現および取得するこ
とができる。
【0016】
【発明の実施の形態】添付の図面は、上記の一般的な説
明および以下の詳細な説明とともに本発明を概略的に示
しており、本発明の原理を説明するのに役立っている。
なお、添付図面は、本明細書に組み込まれて本明細書の
一部をなす。
【0017】説明する実施形態では、我々は、カリフォ
ルニア州サンタクララのアプライドコマツテクノロジー
製モデルAKT−3500PECVDシステムを使用し
た。このシステムには、本明細書で説明するように改修
が加えられている。このAKT−3500PECVD
は、AMLCDの生成に使用するために設計されてい
る。これは、複数のプロセスチャンバを有するモジュラ
システムである。これらのプロセスチャンバは、アモル
ファスシリコン膜、SiN膜、シリコン酸化膜および酸
窒化膜を堆積するために使用することができる。このシ
ステムに関するより詳しい説明は、本発明の譲受人に譲
渡された米国特許出願第08/707,491号に見出すことがで
きる。なお、この文献は、参照文献として本明細書に組
み込まれる。しかしながら、本発明は、任意の市販の堆
積システムとともに使用することも可能である。
【0018】図1に示されるように、本発明に従って改
修されたPECVDシステムは、堆積ガスを導入するた
めのガス入口マニホールド(またはシャワーヘッド)1
2を内側に有する堆積チャンバ10と、材料が堆積され
るべき基板16を保持するサセプタ14と、を含んでい
る。入口マニホールド12およびサセプタ14は、双方
とも平行平板形であり、それぞれ上部電極および下部電
極としても機能する。下部電極およびチャンバ本体は、
グラウンドに接続されている。RF電源38は、整合回
路網(matching network)40を介して上部電極に電力
を供給する。RF電源38は、上部電極と下部電極との
間にプラズマを生成するために用いられる。
【0019】ポンプシステムは、フォアライン62、排
気ライン64、ポンプ36、燃焼箱(burn box)66お
よび流出口72を有している。ポンプ輸送されるべきガ
スは、チャンバ10内のランダム運動を介してフォアラ
イン62に入る。このガスは、ポンプ36によって除去
され、排気ライン64を介してポンプ36から排気され
る。燃焼箱66はこの排気ガスを処理し、この後、この
排気ガスは流出口72を介して除去される。燃焼箱66
は、酸素およびメタンを燃焼する場合に特に有用であ
る。流出口72は、別のポンプまたは処理設備に接続さ
れていても良いし、あるいは単に大気への出口であって
も良い。
【0020】チャンバ10の外側には、堆積中に用いら
れる複数のガスを含んだ堆積ガスシステム32がある。
SiNの堆積では、これらのガスは、通常、アンモニア
およびシランである。これらは、例えば、アンモニアガ
ス供給源71およびシランガス供給源68によって示さ
れている。これらのプロセスガスは、入口を通ってガス
マニホールド内に流入した後、シャワーヘッドを通って
チャンバ内に流入する。電動バルブおよび流れ制御機構
34は、ガス供給源からチャンバ内へのガスの流れを制
御する。
【0021】チャンバ10は、ライナ15を含んでい
る。図1では、ライナ15は、チャンバの内壁70の所
定部分をライニングする2枚の平行平板として概略図示
されている。ライナ15は、実質的にチャンバ10の内
壁70全体をライニングしていることが好ましく、チャ
ンバ内壁70の全てをライニングしているとより一層好
ましい。ライナ15は、例えばアルマイト(陽極酸化ア
ルミニウム)やセラミック材料から形成されていても良
い。使用可能なセラミックライナは、本発明の譲受人に
譲渡された米国特許第5,366,585号に記載されている。
なお、この文献は、参照文献として本明細書に組み込ま
れる。ライナ15は、通常、チャンバ10の内壁と物理
的および熱的に接触している。
【0022】ライナ15は、チャンバ内およびチャンバ
上の少なくとも3個の要素からの対流、伝導、および放
射によって加熱される。第1に、堆積チャンバ内の熱い
プロセスガスは、ライナ15を加熱する傾向がある。こ
れらのガスは、ライナ15と直接接触し、熱伝導によっ
てエネルギを直接伝達する。第2に、サセプタの加熱が
チャンバ内に熱い要素を作り出し、この熱が放射および
対流によってライナ15に部分的に伝達される。第3
に、ライナ15は、チャンバの壁から更に加熱を受ける
ことがある。ここで、チャンバの壁は、後述するように
して加熱される。約370℃程度に高くすることできる
通常のプロセス温度では、ライナ15は、約150℃か
らサセプタの温度(370℃)付近までの温度に達する
ことが可能である。但し、通常は、約250℃である。
一般に、濃縮を排除する効果は、ライナ温度が高まるに
伴って大きくなる。上記の温度において、ライナ15上
での濃縮は低減される。注目すべきことに、これらの温
度は、通常、ライナ15との化学反応が生じない程度に
低い温度である。
【0023】チャンバ10の各壁は、独立に加熱され
る。これは、多数の理由によるものである。第1に、ラ
イナ15は、チャンバ10の内壁70への衝突を全ての
ガスについて防ぐことはできないからである。このた
め、清浄ガス粒子が内壁70に付着することを防ぐため
に、壁70の温度は、いかなる衝突ガス粒子も壁70上
で濃縮しないように加熱によって上昇させられる。壁7
0を加熱する第2の理由は、ライナ15の加熱を高める
ためである。上述のように、ライナ15は、加熱された
壁70からの熱伝導により、ある程度の熱を受け取る。
【0024】壁70は、多数の方法により加熱すること
ができる。壁を加熱する方法は、重要ではない。一例と
して、壁70に熱結合された抵抗ヒータにより加熱を行
うことができる。このような結合の方法は、当業者にと
って公知である。
【0025】別の実施形態では、チャンバ10の壁は、
流入口11および流出口13に接続された中空部分17
を有している。再循環流体供給装置61は、これらの出
入口を用いて、加熱済みのガスまたは液体をチャンバ壁
を通して流す。例えば、水は、約75℃からその沸点ま
での温度範囲で使用することができる。特に、温度85
℃の水を使用することができる。その後、加熱済みの水
が、チャンバ壁を加熱する。流体の流れは、図1におい
て矢印“A”で概略的に示されている。このチャンバフ
ローシステムは、チャンバ10の一又は複数の中空セク
ションを形成する一連の流体接続された隔室を含んでい
てもよい。すなわち、壁は、隔室を画成する中空セクシ
ョンを有していてもよい。流体通路によって隔室を接続
することができるので、一つの隔室内に継続して流れ込
む流体は、最終的には他の隔室に到達し、この隔室にも
流体が流れることになる。このような隔室の設計は、チ
ャンバ10の壁の形状寸法や設計に応じて決めることが
できる。好ましくは、実質的に全ての内壁が、少なくと
も一つの中空隔室に極めて接近していると良い。
【0026】本発明は、リモートプラズマ源清浄技術と
ともに用いられる。換言すると、ライナおよび加熱壁
は、(参照文献として組み込まれた上記特許出願に記載
されているように)リモートプラズマ源清浄によっても
たらされる有利な清浄効果とともに用いられる。ライナ
および加熱壁とともに用いられるリモートプラズマ源
は、白色粉末の生成を実質的に低減することを可能にす
る。
【0027】リモートプラズマ源清浄システムの一例で
は、流入口33を介して清浄ガス供給システム69もチ
ャンバに接続されている。清浄ガス供給システムは、ガ
ス、例えばNF3、を供給して、一連の堆積作業の後に
チャンバの内側を清浄する。これらのガスが混合の望ま
れるものである場合は、第1および第2ガス供給源を結
合することも可能である。
【0028】清浄ガスシステム69は、前駆ガス44の
源と、前駆ガス44の流れを制御する電動バルブおよび
流れ制御機構と、堆積チャンバの外側において所定距離
だけ離れたリモート活性化チャンバ(remote activatio
n chamber)46にガス44を流入する第1の導管67
と、を含んでいる。電力励起源(power activation sou
rce)、例えば高出力マイクロ波発生器48は、リモー
ト活性化チャンバ内で前駆ガスを活性化するために用い
られる。第2の導管またはパイプ57は、リモートチャ
ンバ46を流入口33を介して堆積チャンバ10に接続
する。流れレストリクタ(flow restrictor)59は、
リモートチャンバ46と堆積チャンバ10との間に圧力
差が存在できるようにするため、パイプ57内で使用さ
れる。リモートチャンバ46は、サファイアチューブで
あってもよく、電源は、サファイアチューブ用の出力を
有する2.54GHzマイクロ波エネルギ源であっても
よい。
【0029】上記の実施形態では、前駆ガスはNF3
ある。活性種の流量は約2リットル毎分であり、プロセ
スチャンバ圧力は約0.5Torrである。前駆ガスを
活性化するため、マイクロ波源は、約3000〜120
00ワットをリモート活性化チャンバに供給する。50
00ワットという値は、多くの応用例に用いることがで
きる。
【0030】別の実施形態では、図2に示されるよう
に、熱絶縁ブランケット73を用いてチャンバ10の外
側を覆っても良い。この実施形態では、図2に示される
ように、ブランケット73は、チャンバ10内のプロセ
スガスおよびサセプタにより生成された熱を維持するの
に役立っている。言い換えると、ブランケット73は、
この熱が環境から逃げることを許さない。ブランケット
73を用いると、熱放散の通常の経路が取り除かれるの
で、内壁70は加熱される。この実施形態は、再循環流
体システム61を介して壁を通して流体を流しつつ、あ
るいは流すことなく使用することができる。
【0031】双方の実施形態では、サセプタ14は、堆
積中に基板を加熱する抵抗ヒータ18を含んでいる。外
部ヒータ制御モジュール20は、ヒータに電力を供給し
て、システム内で行われているプロセスにより指示され
る適切な温度レベルにサセプタを到達させ、その温度レ
ベルに維持する。
【0032】白色粉末の除去における加熱壁およびライ
ナのリモートプラズマ源と協同した動作は、以下のよう
に少なくとも部分的に説明することができる。リモート
プラズマ源を用いると、清浄ガスは、チャンバ内の長い
距離を進行する長い期間にわたってプラズマ状態を維持
する。(この特徴は、参照文献として本明細書に組み込
まれる上記特許出願でも説明されている。)清浄ガスが
長い距離を進行すると、清浄ガスは、チャンバの離れた
部分に広がる。例えば、ガス粒子はポンプに到達するこ
とができるが、これらのガス粒子は依然としてプラズマ
状態にある。このため、これらの粒子は、ポンプ付近の
領域、すなわち白色粉末の主な収集箇所を清浄すること
ができる。チャンバの他の離れた部位も、同様に清浄さ
れる。
【0033】チャンバ全体にわたる拡散に加えて、リモ
ートプラズマシステムは、従来のシステムよりも大量の
清浄ガスを供給する。このため、化学清浄反応を完了ま
で押し進める活性プラズマガスがより大量に存在してい
る。再度述べるが、この説明は一つの提案として理解さ
れるべきであり、限定的なものと解釈されるべきではな
い。
【0034】リモートプラズマ源を備える加熱壁および
ライナからなる上記システムを用いることで、幾つかの
利点が得られる。例えば、システム中に形成される白色
粉末の量が、特にフォアライン62、排気ライン64、
ポンプ36、燃焼箱66、およびチャンバ内壁70上で
低減される。これにより、SiNが堆積される従前のシ
ステムよりもポンプや燃焼箱の故障が少なくなる。
【0035】一般に、清浄に用いられる反応ガスは、広
い選択範囲から選択することができる。この選択範囲に
は、一般に用いられるハロゲンやハロゲン化合物が含ま
れる。
【0036】選択される電力レベル、流量、および圧力
はシステム特有のものであり、これらは、プロセスが行
われる特定のシステムに最適化する必要がある。プロセ
ス条件を適切に調節して特定のシステムに対して最適な
性能を達成することは、通常の当業者の能力の範囲内で
ある。
【0037】ここまで、本発明を好適な実施形態の面か
ら説明してきた。しかしながら、本発明は、上述の実施
形態に限定されるものではない。本発明の範囲は、特許
請求の範囲によって定められる。
【図面の簡単な説明】
【図1】本発明を具体化した高出力PECVDリモート
プラズマ清浄システムのブロック図である。
【図2】本発明を具体化した高出力PECVDリモート
プラズマ清浄システムであって熱絶縁ブランケットを用
いる清浄システムのブロック図である。
【符号の説明】
10…堆積チャンバ、12…ガス入口マニホールド、1
4…サセプタ、15…ライナ、16…基板、36…ポン
プ、38…RF電源、62…フォアライン、64…排気
ライン、66…燃焼箱、72…流出口。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 カム エス. ロウ アメリカ合衆国, カリフォルニア州, ユニオン シティー, リヴィエラ ドラ イヴ 461 (72)発明者 ダン メイダン アメリカ合衆国, カリフォルニア州, ロス アルトス ヒルズ, ムリエタ レ ーン 12000

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 窒化ケイ素を堆積するために用いられる
    プロセスチャンバ内での白色粉末の生成を低減する方法
    であって、 前記プロセスチャンバの壁の少なくとも一部分を加熱す
    るステップと、 前記プロセスチャンバの壁の実質的な部分を覆うライナ
    を設けるステップと、 前記プロセスチャンバの内部に接続されたリモートチャ
    ンバを設けるステップと、 前記リモートチャンバ内に清浄ガスのプラズマを生じさ
    せるステップと、 前記清浄ガスのプラズマの一部を前記プロセスチャンバ
    内に流入するステップと、 を備え、これにより前記白色粉末の生成が実質的に低減
    されるようになっている方法。
  2. 【請求項2】 前記加熱ステップは、前記壁内の少なく
    とも1個の中空隔室内に、加熱された流体を流すことに
    より行われる、請求項1記載の方法。
  3. 【請求項3】 真空ライン内、および前記プロセスチャ
    ンバに機能を提供するポンプシステム内で、白色粉末の
    生成が低減される請求項2記載の方法。
  4. 【請求項4】 前記加熱された流体が実質的に水である
    請求項2記載の方法。
  5. 【請求項5】 前記水を約85℃以上の温度に加熱する
    ステップを更に備える請求項4記載の方法。
  6. 【請求項6】 前記ライナがアルマイト製である請求項
    2記載の方法。
  7. 【請求項7】 前記ライナがセラミック製である請求項
    2記載の方法。
  8. 【請求項8】 窒化ケイ素を堆積するために用いられる
    プロセスチャンバ内での白色粉末の生成を低減する方法
    であって、 前記プロセスチャンバの壁を加熱する手段を設けるステ
    ップと、 前記プロセスチャンバの内部の実質的な部分を覆うライ
    ナを設けるステップと、 を備え、これにより前記白色粉末の生成が実質的に低減
    されるようになっている方法。
  9. 【請求項9】 前記加熱手段は、前記プロセスチャンバ
    の外部を実質的に覆う熱絶縁ブランケットである、請求
    項8記載の方法。
  10. 【請求項10】 前記加熱手段は、抵抗ヒータである、
    請求項8記載の方法。
  11. 【請求項11】 白色粉末の生成が低減される窒化ケイ
    素堆積用の装置であって、 壁を有する堆積チャンバと、 前記壁を加熱する手段であって、前記壁に熱結合された
    手段と、 前記壁の実質的な部分を覆うライナと、 前記チャンバの外側に配置されたリモートチャンバと、 前記リモートチャンバ内にエネルギを供給する活性化源
    と、 リモートガス供給源からの前駆ガスを、この前駆ガスが
    前記活性化源によって活性化されて反応種が形成される
    前記リモートチャンバ内に流入する第1の導管と、 前記リモートチャンバからの反応種を前記堆積チャンバ
    内に流入する第2の導管と、 を備える装置。
  12. 【請求項12】 前記加熱手段は、 前記壁の少なくとも一部分の内部に配置された隔室と、 前記隔室に接続された流体流入口と、 前記隔室に接続された流体流出口と、 を有している、請求項11記載の装置。
  13. 【請求項13】 前記流体流入口に接続された流体源を
    更に備える請求項12記載の装置。
  14. 【請求項14】 前記流体は、約85℃以上の温度に加
    熱された水である、請求項13記載の装置。
  15. 【請求項15】 前記ライナがアルミニウム製である請
    求項11記載の装置。
  16. 【請求項16】 前記ライナがセラミック製である請求
    項11記載の装置。
  17. 【請求項17】 前記加熱手段は、前記チャンバの外部
    を実質的に覆う熱絶縁ブランケットである、請求項11
    記載の装置。
JP9324651A 1997-01-14 1997-11-26 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法 Pending JPH10199874A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/782,169 US6055927A (en) 1997-01-14 1997-01-14 Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US08/782169 1997-01-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2005075722A Division JP4916119B2 (ja) 1997-01-14 2005-03-16 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置

Publications (1)

Publication Number Publication Date
JPH10199874A true JPH10199874A (ja) 1998-07-31

Family

ID=25125206

Family Applications (2)

Application Number Title Priority Date Filing Date
JP9324651A Pending JPH10199874A (ja) 1997-01-14 1997-11-26 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法
JP2005075722A Expired - Lifetime JP4916119B2 (ja) 1997-01-14 2005-03-16 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2005075722A Expired - Lifetime JP4916119B2 (ja) 1997-01-14 2005-03-16 リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置

Country Status (4)

Country Link
US (2) US6055927A (ja)
JP (2) JPH10199874A (ja)
KR (2) KR19980070120A (ja)
TW (1) TW385485B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1222687A2 (en) * 1999-09-30 2002-07-17 Genus, Inc. IMPROVED PECVD AND CVD PROCESSES FOR WNx DEPOSITION
JP2005286325A (ja) * 1997-01-14 2005-10-13 Akt America Inc リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法
JP2009076809A (ja) * 2007-09-25 2009-04-09 Tokyo Electron Ltd ガス供給装置、半導体製造装置及びガス供給装置用部品
JP2014512102A (ja) * 2011-04-08 2014-05-19 アプライド マテリアルズ インコーポレイテッド Uv処理、化学処理、および堆積のための装置および方法
JP2015178644A (ja) * 2014-03-18 2015-10-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
KR100296692B1 (ko) * 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
KR100363081B1 (ko) 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
KR100345053B1 (ko) * 1999-10-01 2002-07-19 삼성전자 주식회사 Hsg-si 제조 방법 및 상기 방법을 수행하는 장치
JP2001252527A (ja) * 2000-03-13 2001-09-18 Seiko Epson Corp Pfcの処理方法および処理装置
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP2002243898A (ja) * 2001-02-13 2002-08-28 Ebara Corp ビーム取り出し装置
CN1309859C (zh) * 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
US6811615B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
KR20030040119A (ko) * 2001-11-14 2003-05-22 아네르바 가부시키가이샤 발열체 cvd 장치 및 이것을 이용한 발열체 cvd 방법
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US7214600B2 (en) * 2004-06-25 2007-05-08 Applied Materials, Inc. Method to improve transmittance of an encapsulating film
KR101279914B1 (ko) 2004-06-25 2013-07-01 어플라이드 머티어리얼스, 인코포레이티드 밀봉 필름의 차수 성능 개선 방법 및 장치
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7510742B2 (en) * 2005-11-18 2009-03-31 United Technologies Corporation Multilayered boron nitride/silicon nitride fiber coatings
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US20070286956A1 (en) * 2006-04-07 2007-12-13 Applied Materials, Inc. Cluster tool for epitaxial film formation
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
EP2021525A2 (en) * 2006-04-07 2009-02-11 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
JP5175285B2 (ja) 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US20080131622A1 (en) * 2006-12-01 2008-06-05 White John M Plasma reactor substrate mounting surface texturing
US8980049B2 (en) 2007-04-02 2015-03-17 Charm Engineering Co., Ltd. Apparatus for supporting substrate and plasma etching apparatus having the same
KR101339699B1 (ko) * 2007-04-02 2013-12-10 (주)소슬 플라즈마 식각 장치
CN101652840B (zh) * 2007-05-18 2013-07-03 株式会社爱发科 等离子体处理装置及防附着部件的制造方法
US20090056743A1 (en) * 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
JP2011525719A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
WO2010005576A2 (en) * 2008-07-10 2010-01-14 Radarfind Corporation Rotatable tags for automated location and monitoring of moveable objects and related systems
KR101509632B1 (ko) * 2008-09-19 2015-04-08 주성엔지니어링(주) 기판 처리 장치 및 방법
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
JP5778132B2 (ja) * 2010-03-16 2015-09-16 東京エレクトロン株式会社 成膜装置
KR102036325B1 (ko) * 2013-02-13 2019-10-25 삼성디스플레이 주식회사 방착 유니트를 가지는 박막 증착 장치와, 이의 증착물을 제거하는 방법
KR102410526B1 (ko) * 2015-01-22 2022-06-20 삼성디스플레이 주식회사 플라즈마 발생장치의 오염측정장비
US10879049B2 (en) * 2015-07-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition tool and operating method thereof
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55145338A (en) * 1979-05-01 1980-11-12 Toshiba Corp Pressure reduction chemical vapour deposition device
JPH02226721A (ja) * 1988-10-31 1990-09-10 Tokyo Electron Ltd 処理方法
JPH04214873A (ja) * 1990-11-21 1992-08-05 Fuji Electric Co Ltd Ecrプラズマcvd装置ドライクリーニングの方法
JPH05214531A (ja) * 1991-09-30 1993-08-24 Siemens Ag プラズマエッチングによる析出チャンバの浄化方法
JPH06196410A (ja) * 1992-12-25 1994-07-15 Fuji Electric Co Ltd プラズマ処理装置
JPH06298596A (ja) * 1993-01-28 1994-10-25 Applied Materials Inc プラズマ処理反応器内の導電性の面を保護するための方法及び装置
JPH07288248A (ja) * 1994-04-19 1995-10-31 Toshiba Corp 半導体素子用プラズマ装置
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
JPH08227859A (ja) * 1994-11-30 1996-09-03 Applied Materials Inc Cvd処理チャンバ

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5211176A (en) * 1975-07-18 1977-01-27 Toshiba Corp Activation gas reaction apparatus
JPS6029295B2 (ja) * 1979-08-16 1985-07-10 舜平 山崎 非単結晶被膜形成法
CH643469A5 (fr) * 1981-12-22 1984-06-15 Siv Soc Italiana Vetro Installation pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide.
JPH0770509B2 (ja) * 1982-10-08 1995-07-31 株式会社日立製作所 ドライプロセス装置
JPS6126774A (ja) * 1984-07-16 1986-02-06 Canon Inc 非晶質シリコン膜形成装置
JPS6188527A (ja) * 1984-10-08 1986-05-06 Hitachi Ltd 半導体プロセス装置
US4724160A (en) * 1986-07-28 1988-02-09 Dow Corning Corporation Process for the production of semiconductor materials
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
JP2760845B2 (ja) * 1988-07-08 1998-06-04 株式会社日立製作所 プラズマ処理装置及びその方法
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
WO1992016671A1 (en) * 1991-03-20 1992-10-01 Canon Kabushiki Kaisha Method and device for forming film by sputtering process
JP2532401Y2 (ja) * 1991-04-16 1997-04-16 ソニー株式会社 バイアスecrプラズマcvd装置
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3360090B2 (ja) * 1994-09-30 2002-12-24 アネルバ株式会社 プラズマ処理装置
JP3421465B2 (ja) * 1995-02-20 2003-06-30 東京エレクトロン株式会社 熱処理装置及びその方法
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JPH08253863A (ja) * 1995-03-14 1996-10-01 Sony Corp プラズマcvd装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
JP3164195B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5895548A (en) * 1996-03-29 1999-04-20 Applied Komatsu Technology, Inc. High power microwave plasma applicator
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55145338A (en) * 1979-05-01 1980-11-12 Toshiba Corp Pressure reduction chemical vapour deposition device
JPH02226721A (ja) * 1988-10-31 1990-09-10 Tokyo Electron Ltd 処理方法
JPH04214873A (ja) * 1990-11-21 1992-08-05 Fuji Electric Co Ltd Ecrプラズマcvd装置ドライクリーニングの方法
JPH05214531A (ja) * 1991-09-30 1993-08-24 Siemens Ag プラズマエッチングによる析出チャンバの浄化方法
JPH06196410A (ja) * 1992-12-25 1994-07-15 Fuji Electric Co Ltd プラズマ処理装置
JPH06298596A (ja) * 1993-01-28 1994-10-25 Applied Materials Inc プラズマ処理反応器内の導電性の面を保護するための方法及び装置
JPH07288248A (ja) * 1994-04-19 1995-10-31 Toshiba Corp 半導体素子用プラズマ装置
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
JPH08227859A (ja) * 1994-11-30 1996-09-03 Applied Materials Inc Cvd処理チャンバ

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005286325A (ja) * 1997-01-14 2005-10-13 Akt America Inc リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置および方法
EP1222687A2 (en) * 1999-09-30 2002-07-17 Genus, Inc. IMPROVED PECVD AND CVD PROCESSES FOR WNx DEPOSITION
EP1222687A4 (en) * 1999-09-30 2006-11-02 Genus Inc PLASMA ACTIVATED CHEMICAL VAPOR PHASE CHEMICAL DEPOSITION PROCESS AND CHEMICAL VAPOR DEPOSITION FOR WNx DEPOSITION
JP2009076809A (ja) * 2007-09-25 2009-04-09 Tokyo Electron Ltd ガス供給装置、半導体製造装置及びガス供給装置用部品
JP2014512102A (ja) * 2011-04-08 2014-05-19 アプライド マテリアルズ インコーポレイテッド Uv処理、化学処理、および堆積のための装置および方法
US10570517B2 (en) 2011-04-08 2020-02-25 Applied Materials, Inc. Apparatus and method for UV treatment, chemical treatment, and deposition
JP2015178644A (ja) * 2014-03-18 2015-10-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体

Also Published As

Publication number Publication date
KR20060087376A (ko) 2006-08-02
TW385485B (en) 2000-03-21
JP2005286325A (ja) 2005-10-13
US6055927A (en) 2000-05-02
KR19980070120A (ko) 1998-10-26
JP4916119B2 (ja) 2012-04-11
KR100857871B1 (ko) 2008-09-10
US6468601B1 (en) 2002-10-22

Similar Documents

Publication Publication Date Title
JP4916119B2 (ja) リモートプラズマ源清浄技術を用いた窒化ケイ素堆積中の白色粉末低減用の装置
KR100767762B1 (ko) 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
CN100577865C (zh) 为介质cvd膜实现晶片间厚度均匀性的高功率介质干燥
JP3132489B2 (ja) 化学的気相成長装置及び薄膜成膜方法
US6810886B2 (en) Chamber cleaning via rapid thermal process during a cleaning period
JP3693798B2 (ja) 高出力遠隔励起源を用いた堆積チャンバクリーニング技術
US5326723A (en) Method for improving stability of tungsten chemical vapor deposition
US8343317B2 (en) In situ cleaning of CVD System exhaust
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
JPS61127121A (ja) 薄膜形成方法
JPH1072672A (ja) 非プラズマ式チャンバクリーニング法
JP2001102367A (ja) 遠隔プラズマ源を用いる被膜除去
JP2723053B2 (ja) 薄膜の形成方法およびその装置
KR20040088948A (ko) Rps 교체용 분리 밸브를 가지는 cvd 장치
JPH0891987A (ja) プラズマ化学蒸着装置
JPH06333846A (ja) 薄膜形成装置
JP2022114450A (ja) 層を堆積させるための方法およびシステム
JPH09246260A (ja) プラズマcvd装置
JP2001131752A (ja) プラズマクリーニング方法
JPS61288431A (ja) 絶縁層の製造方法
JPS61121324A (ja) 気相成長装置
JP2001351909A (ja) 薄膜形成方法および薄膜形成装置
JPH01279759A (ja) プラズマ処理装置
JPH0291928A (ja) 気相成長方法及びその装置

Legal Events

Date Code Title Description
A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20031125

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050316

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050414

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050419

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20050520