JP2002075692A - プラズマ反応器 - Google Patents

プラズマ反応器

Info

Publication number
JP2002075692A
JP2002075692A JP2001127862A JP2001127862A JP2002075692A JP 2002075692 A JP2002075692 A JP 2002075692A JP 2001127862 A JP2001127862 A JP 2001127862A JP 2001127862 A JP2001127862 A JP 2001127862A JP 2002075692 A JP2002075692 A JP 2002075692A
Authority
JP
Japan
Prior art keywords
plate
gas
reactor
plasma
discharge space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001127862A
Other languages
English (en)
Other versions
JP4786818B2 (ja
Inventor
Emmanuel Turlot
エマニュエル・ティーロ
Jean Baptiste Chevier
ジャン−バティーステ・シェブリエール
Jacques Schmitt
ジャーク・シュミート
Jean Barreiro
ジャン・バーレイロウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OC Oerlikon Balzers AG
Original Assignee
Unaxis Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Balzers AG filed Critical Unaxis Balzers AG
Publication of JP2002075692A publication Critical patent/JP2002075692A/ja
Application granted granted Critical
Publication of JP4786818B2 publication Critical patent/JP4786818B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 向上したRFプラズマ反応器のプラズマ放電
空間へのシャワーヘッドガス注入技術を提供する。 【解決手段】 RFプラズマ反応器(30)は、反応器
槽を含み、その中の1対の電極は、間隔をあけて向き合
って配置されてその間にプラズマ放電空間(36)が規
定される金属表面からなり、金属表面のうち少なくとも
1つは、貫通する多数のガス給送開口部を有する金属表
面のプレート(40)であり、該開口部は、放電空間に
面するプレートに沿って延在する分散室から、金属表面
を通って、放電空間に向かい、それにより分散室は、プ
レートに向き合って離れた後壁を有し、かつ多数のガス
注入開口部を備えたガス注入構成を含み、これは後壁に
沿って分散され、かつ反応器への少なくとも1つのガス
給送線に接続される。

Description

【発明の詳細な説明】
【0001】この発明は一般的には、RF、RFおよび
DC、またはパルス化されたRFによって電気が供給さ
れたプラズマで動作されるプラズマ反応器のプラズマ放
電空間への、いわゆるシャワーヘッドガス注入技術に関
する改良に向けられる。したがってこれは、放電エネル
ギがマイクロ波結合または誘導電界を介して導入される
他の反応器とは対照的である、RFエネルギが容量性平
板状構成の1対の電極を介して放電空間に結合される平
行平板型反応器に向けられる。
【0002】そのような容量結合プラズマ反応器を一般
に用いて、少なくとも一度に1つの基板をプラズマグロ
ー放電の処理作用に晒す。多様なそのようなプロセスが
知られており、用いられて基板表面の性質を変更する。
プロセスと、特に反応器のグロー放電空間内に注入され
るガスの性質とに応じて、半導体の表面の特性を変更
し、そこに薄膜を与えるかまたはそこから材料を除去す
ることが、特に選択的に除去することが、可能である。
【0003】基板は平面であっても、またはたとえば車
の風防ガラスのように湾曲していてもよい。そのような
場合には、その間にプラズマ放電空間が規定される電極
は、同一面ではなく対応して平行に湾曲して配置される
ために、基板の湾曲した表面と電極との距離は基板の表
面範囲にわたって実質的に一定であり得る。
【0004】本出願はプラズマ反応器について記載する
が、これはクレームに記載するプラズマ反応器によって
行なわれるプロセスステップによって基板を製造するた
めの、異なった発明の方法を十分に説明する。そのよう
な製造プロセスは、特に半導体ウェハと、メモリ装置の
ためのディスクと、フラットディスプレイパネルと、窓
ガラスと、網または箔とに向けられる。
【0005】電界のRF成分によってプラズマ放電が生
成される、真空槽内で行なわれる基板の表面処理のため
のプロセスとして、PVD、PECVD、反応性イオン
エッチング、イオンプレーティングなどのプロセスが、
周知である。
【0006】図1に、「シャワーヘッド」ガス注入口を
備えたRFプラズマ反応器に対して一般に用いられる設
計を概略的に示す。従来のRFプラズマ反応器は、ポン
ピングポート3を備えた反応器槽1を含む。反対側に配
置され、間隔をあけた金属表面4および6はプラズマ放
電電極であって、同時にプラズマ放電空間8を規定す
る。2つの電極表面4と6との間には、少なくともRF
成分によってプラズマ放電供給電界Eが与えられる。
【0007】プラズマ放電電極表面4、6のうち少なく
とも1つには、多数のガス給送開口部10が設けられて
おり、それぞれの電極はプレート11の表面である。そ
のプレート11の背面のプラズマ放電空間8に関して
は、後壁14と側縁壁16とを備えた貯蔵室12が設け
られる。貯蔵室12の範囲に対して中央には、ガス注入
開口部と給送線18とが設けられる。ガス給送開口部1
0と開口部18以外では、貯蔵室12は密封される。
【0008】貯蔵室12を包含する境界の金属壁とプレ
ートとは、中央の電気給送線20によってプラズマ放電
供給電気エネルギを付与される。反応器槽1は通例電極
表面4と同じ電位では動作されず、特にフルのRF電力
では動作されないが、通例接地電位での基準電位で動作
され、貯蔵室12の全体は、概略的に示されるように電
気的に絶縁された支持部と貫通部22とによって電気的
に絶縁された態様で反応器槽1内に搭載される。中央に
配置されるガス給送線18は、同様に通常は電気的に絶
縁するコネクタ26を介して、通常は接地される反応器
槽1へのガス供給線24に接続される。
【0009】貯蔵室12の電極表面4とプレート11と
の中のガス給送開口部10は、小さなガスのコンダクタ
ンスを、したがって高いガス流抵抗因子を有するため
に、中央から注入ガスを与えられる、分散および均圧室
として作用する貯蔵室12の内容量は、ガス給送開口部
10を通して、多くは電極表面4に沿いプラズマ放電空
間8内へ可能な限り均一に分散する、良好に制御された
所望の態様で、ガスを給送する。図1に示すように反応
器の全体に与えられるガスは、(管24から給送線18
において)大きな電位の変化を受ける。それにより、こ
の高い電位差が起こる領域、すなわちコネクタ26にお
ける条件は、その中での所望でないプラズマ放電の発生
を回避することが非常に重要である。
【0010】この既知の構成のさらなる欠点は、第1に
その遅い反応時間である。貯蔵室12の内容量をより大
きくして、プレート11に沿って均一なガス分散と一定
の圧力とを供給しなければならない場合、比較的高い圧
力でより大量のガスがこの貯蔵室12内に蓄積される。
こうして、もし処理中にガスの組成や出流量を変更した
ければ、プラズマ放電空間において考慮すると、そのよ
うな変化は、所望の安定した新しく確立されたガス組成
および/または出流量に達するまでに、大きな時間定数
を伴うより制御されない遷移相の間に起こるであろう。
【0011】さらに、反応器で処理プロセスを始める前
に、貯蔵室12の容量は真空ポンピングによって空にさ
れなければならないが、これはそれぞれの体積が大きけ
れば大きいほど、より時間がかかる。これは特に、容量
12が小さな低コンダクタンスの開口部10のみを介し
て槽のポンピングポートに接続されているということを
考慮すると、脱気壁を含めた反応器全体の前処理調整の
時間が長くかかる。それでも、低コンダクタンスのガス
給送開口部10および貯蔵室12の大きな容量によっ
て、この技術はたとえば均一な分散のような、電極表面
4に沿ったガス出流量分散の十分な制御をもたらす。プ
ラズマ放電空間に接する電極表面4に沿ったガス給送開
口部10の密度を変化させることにより、特定の必要性
に応じて、ガス分散を容易に調整することができる。
【0012】この発明の一般的な目的は、図1に主に示
すRF反応器のシャワーヘッドを改良することであり、
それによりこの利点を維持する。RF反応器という用語
は、プラズマ放電が電気エネルギの少なくともRF成分
によって電気的に与えられる反応器を意味すると理解す
る。
【0013】この発明の第1の局面においては、この目
的はRFプラズマ反応器によって解決されるが、該反応
器は、反応器槽を含み、その中の1対の電極は、間隔を
あけて向き合って配置されてその間にプラズマ放電空間
が規定される金属表面からなり、金属表面のうち少なく
とも1つは、貫通する多数のガス給送開口部を有する金
属表面のプレートであり、該多数のガス給送開口部は、
放電空間に面するプレートに沿って延在する分散室か
ら、金属表面を通って、放電空間に向かい、それにより
分散室は、プレートに向き合って離れた後壁を有し、か
つ多数のガス注入開口部を備えたガス注入構成を含み、
これは後壁に沿って分散され、かつ反応器への少なくと
も1つのガス給送線に接続される。
【0014】こうして、および図1に従った周知の技術
とは対照的に、この発明で提供される分散室へのガス注
入は局所ではなく、多数のガス注入開口部を介して行な
われる。大きな容量の圧力均等化に関する分散室自体へ
の要件が、図1に従った教示に比較して顕著に減じられ
るという利点をもたらす。すなわち分散室の容量を顕著
に減じることができ、これはプラズマ放電空間へのガス
流および/またはガス組成を変化させるときの反応時間
を顕著に向上させる。
【0015】上述の目的は、RFプラズマ反応器によっ
てこの発明の第2の局面の下に解決されるが、該反応器
は、反応器槽を含み、その中の1対の電極は、間隔をあ
けて向き合って配置されてその間にプラズマ放電空間が
規定される金属表面からなり、金属表面のうち少なくと
も1つは、これを通って放電空間に面するプレートに沿
って延在する分散室から放電空間に向かう多数のガス給
送開口部を有する金属表面のプレートであり、分散室
は、プレートに向き合いかつ離れたガス注入構成を備え
て、さらにプラズマ放電電極である2つの金属表面への
電気エネルギ給送構成を備えた、後壁を有し、実質的に
放電空間に接する後壁とプレートとはさらに互いから電
気的に絶縁される。それにより、いずれの電位差も、特
に大きなプラズマ供給電位差の場合、プレートと分散室
の後壁との間に与えられることができ、それにより後壁
は直接的に槽壁の一部となり、それぞれの電極表面に与
えられる電位から独立して、たとえば一般には接地電位
である基準電位で、望みの電位に駆動することができ
る。
【0016】これにより、一方ではガス給送線に沿った
危険な高電位差は、回避されかつ分散室にわたって扱い
がより容易になる。さらに、図1に従った既知の技術の
22に設けられる、反応器内の貯蔵室全体の、電気的に
絶縁されたサスペンションをなくすことにより、反応器
全体の構成は顕著に簡略化される。
【0017】上述の目的は、この発明の第3の局面にお
いてRFプラズマ反応器によってさらに解決されるが、
該反応器は、反応器槽を含み、その中の1対の電極は、
間隔をあけて向き合って配置されてその間にプラズマ放
電空間が規定される金属表面からなり、金属表面のうち
少なくとも1つは、貫通する多数のガス給送開口部を有
する金属表面のプレートであり、該多数のガス給送開口
部は、放電空間に面するプレートに沿って延在する分散
室から、金属表面を通って、放電空間に向かい、それに
より分散室は、プレートに向き合って離れた後壁を有
し、かつガス注入構成を含み、さらに、少なくとも1つ
の格子部材がプレートに沿って離れて分散室内に配置さ
れ、少なくとも1つの格子部材は、後壁とプレートとか
ら電気的に絶縁される。
【0018】一般的に格子という用語は、穿孔が貫通す
るプレート状の材料構成であると理解する。よって、格
子はより網状の構造から、少ない穿孔を備えた剛性のあ
るプレートまでにわたって、実現化されてもよい。
【0019】たとえば電気伝導性材料である、そのよう
な格子部材によって分散空間を2つ以上の副空間にさら
に分割することにより、プレートと後壁との間のいずれ
の電位差も副空間の各々をわたって小部分にさらに分割
される。これにより、分散室内のスプリアスプラズマ放
電生成について注意しながら、スプリアスプラズマ発火
の危険を冒すことなく、副空間の高さを、すなわち分散
室の高さを増すことが可能になる。これは、実際に完全
なプラズマ放電電位差が分散室にわたって与えられたと
きに、特に真である。実際に、プレートと分散室に接す
る後壁との間のスプリアスなキャパシタンスが減じられ
る。さらに、上述のように格子部材を備えると、分散室
に沿ったガス圧力分散と均一性とを、格子部材が電気伝
導性材料であるか誘電性材料であるかにかかわらず、向
上させる。
【0020】上述の一般的な目的は、RFプラズマ反応
器によってこの発明の第4の局面においてさらに解決さ
れるが、該反応器は、反応器槽を含み、その中の1対の
電極は、間隔をあけて向き合って配置されてその間にプ
ラズマ放電空間が規定される金属表面からなり、金属表
面のうち少なくとも1つは、貫通する多数のガス給送開
口部を有する金属表面のプレートであり、該多数のガス
給送開口部は、放電空間に面するプレートに沿って延在
する分散室から、金属表面を通って、放電空間に向か
い、分散室は、プレートに向き合って離れた後壁を有
し、さらに壁は、プレートの外縁部に向かって、かつこ
れを超えてこれから離れて延在する側縁部分を含み、分
散室は、開口部構成によって側縁部分とプレートの外縁
部との間の空間に通じており、該開口部構成は、プレー
トに実質的に平行であって、かつ壁の側縁部分に鉛直に
延在する。
【0021】一方では、付加的な量のガスがプラズマ放
電空間にその外縁部境界領域で給送される。反応プロセ
スにおいては、通例より多くのガスを、プラズマ放電の
外縁部においてより多くの反応性ガスを消費するため
に、このより多くのガスが補償される。よってプレート
内の、および金属電極表面を通る、表面領域ごとのガス
注入開口部の密度は、技術的な努力と製造費との要件か
ら無制限には増加できないために、上述の外縁部ガス給
送が、プラズマ放電空間への外縁部ガス流を増加させる
ための最も簡単な技術である。
【0022】さらに考慮されなければならないのは、こ
の発明によって提供されるプレートの外縁部から離れて
設けられる壁の縁部分によって、プラズマ放電空間への
注入チャネルが形成されることである。もし電位差がプ
レートと壁との間に与えられると、この電位差はプレー
トの外縁部から壁の縁までの該空間をわたっても存在す
るであろう。驚くべきことに、縁とプレートの外縁部と
の間のスプリアスなプラズマ放電の発火は、たとえばプ
レートのガス給送開口部におけるものよりも、または一
般的に言って、「単一電位」電極環境におけるものより
も、はるかに危険が少ない。
【0023】好ましい実施例においては、4つのプラズ
マ反応器の特徴は、この発明とそれらの4つの局面とに
従い、該反応器のそれぞれ2つの該反応器の特徴であっ
ても、3つの該反応器の特徴であっても、すべての4つ
の該反応器の特徴であっても、創意工夫をもって組合さ
れて、さらにこの創意工夫のある反応器を提供する。
【0024】この発明を、その局面のすべてにおいて図
によって、および当業者が上述の説明を検討してこの発
明をさらに良好に理解するために必要なだけ、例示す
る。
【0025】図2において、好ましい態様のRFプラズ
マ反応器を概略的に示す。それ自体がこの発明に設定さ
れた目的を解決する4組の特徴のすべてが組合され、そ
れにより、上述のようにこれらの特徴の組の各々がそれ
自体本発明において意味があると考えられる。
【0026】RF反応器30は、上壁31と、底壁32
と、側壁34とを含む。第1の電極表面31は、金属プ
レート40の表面によって形成され、プラズマ放電空間
36に向けられる。この実施例においては、第2のプラ
ズマ放電電極は特に、底壁32の金属上面42によって
形成される。
【0027】プレート40においては、分散室46から
プラズマ放電空間36に向けられる多数の開口部44が
設けられる。ガス注入構成48は、ガスを分散室46に
給送し、ここからこれは開口部44を通ってプラズマ放
電空間36に放出される。
【0028】1 反応器外部から分散室46へのガス注
入構成48の好ましいレイアウト ガス注入構成48は、予め定められた所望のパターンに
分散される多数の注入開口部50を含み、好ましくはこ
れらの多くは、分散室46に対しては後壁として規定さ
れる上壁31の表面に沿って均一に分散する。ツリー状
構造において、開口部50は中央ガス注入線52と連通
し、それにより、配管ツリーの54、56、58の「ブ
ランチ」の各々においては、開口部50の各々とガス注
入管52との間の流れ抵抗が予め定められた値を有し、
かつ好ましい態様であって少なくとも開口部50の大部
分においては、等しい値を有するように、流れ抵抗は選
択される。単一のガス注入口から多数ガス排出口への、
そのようなツリー状の分散線のシステムの構築自体につ
いては、たとえば本願と同出願人の米国特許第5,62
2,606号を参照する。
【0029】多数のガス注入開口部へのそのような縦続
またはツリー型給送により、これを通って給送される処
理ガスの組成の変更を瞬時に実現することができる。多
数の開口部50への給送を縦続する原理は、ガスを所与
の数の予め定められた、好ましくは等しい、副流に分割
することに基づく。分割するプロセスは、図2のブラン
チレベル54から58によって何度か繰返され、初期の
流れを多数の副流に分割する。ツリー構造は開口部50
の所望のパターンに従って構築されるが、後者はプラズ
マ放電に晒される製品の形に応じて、それが方形であっ
ても、円形であっても、適合されることが好ましい。図
3においては、たとえば注入線52と開口部50との間
の接続線のそのようなツリー構造の例を斜視図で示す。
【0030】分散室46へのガス注入は、室46に接す
る壁または後壁31の表面に沿って分散される多数のガ
ス注入開口部50を通して実現されることから、プレー
ト40を通るプラズマ放電空間36へのガス流制御の顕
著な向上が達成される。これは、容量と特に分散室46
の高さXの選択における顕著に向上した自由度を可能に
する。図2に示すように、および好ましい態様において
は、給送線の縦続は、この実施例においては室46の後
壁としての役割を果たす、この発明の反応器の上壁31
に一体化される。
【0031】2 電気給送 図2に示すように、プレート40は、分散室46の後壁
31から電気的に絶縁されて搭載されるが、この後壁は
好ましくは反応器槽30の直接的な上壁である。これ
は、たとえば絶縁スペーサまたは絶縁スペーサリング6
0によって実現化される。こうして、および62におい
て概略的に示すように、電気エネルギは別個の電力給送
を介して金属プレート40と一方の電極表面38とに給
送され、これはスペーサ60を通すか、または示すよう
に、反応器槽30の側壁34などを通して実現化されて
もよく、プラズマ放電にどのように電気エネルギを給送
するかにおいて、大きな自由度を残す。
【0032】図2において、横向きに配置されたRF給
送を示す。特により大きな設備に対しては、中央給送が
好ましい。これにより、1つ以上の給送線の中央RF給
送が、上壁31、分散室46を通って金属プレート40
に給送される。
【0033】分散室46の後壁31は、これにより、電
極表面38に与えられる電位から電気的に独立して、い
ずれの所望の電位においても動作することができる。こ
うして、分散室46の後壁31を、好ましい実施例にお
いては基準電位で、特に接地電位で動作することが可能
になり、これにより該後壁31がプラズマ反応器槽の直
接的な壁であることが実現化される。これは、この壁と
縦続接続された注入開口部50へのガス給送構造とを一
体化させることを考慮した場合に最も有利であるが、こ
こで全体の流れ分割システムは接地電位であって、よっ
てガスを外部から反応器槽30に給送する給送管52と
等しい電位である。分散室46を区切る壁は、独自の電
位にはなく、フルのプラズマ放電が電位を供給する場合
の、異なった電位にある。特に分散されたガス注入開口
部50によって、分散室内に広がるガス圧力を顕著に減
じることが可能になり、分散室内のスプリアスなプラズ
マ発火の発生が、その高さXに到達した場合においても
回避される。
【0034】3 格子 図2に示し、この発明の反応器槽の好ましい実施例によ
る、1つ、2つまたはそれ以上の格子部材64が、分散
室46に沿ってその中に、プレート40に実質的に平行
に搭載される。これらの格子部材は、後壁31とプレー
ト40との両方から電気的に絶縁されて搭載される。こ
れらは電気伝導性材料であっても、誘電性材料であって
もよい。もし電気的に伝導的に構築されると、それらは
浮動電位で動作される。これは、電気伝導性格子部材6
4に対する適切な絶縁マウント(図示せず)によって実
現化される。
【0035】これらの格子は2つの有利な効果を有す
る。一方では、それらの電気的浮動、または絶縁マウン
トおよびそれらの電気伝導性にかかわらず、それらはプ
レート40の分散室側に沿ったガス圧力の均一性を、こ
うしてプラズマ放電空間36へのガス給送分散の均一性
を、顕著におよび付加的に向上させる。
【0036】より正確には、電気的に浮動する格子の存
在は、室46内の空間におけるプラズマの発火の危険を
冒すことなく、室46の距離合計xを増すことが可能に
なる。それにより、横方向のガスコンダクタンスの全体
が増大し、よって横方向のガス拡散も増大する。
【0037】さらに、電気的に浮動する格子を、これを
貫く限定された数の孔を備えたより電気的に浮動するプ
レートの形で実現化することは、図2に示すように、実
際にプレート31内で大域的に縦続接続するマニホール
ドが室46内で連続しかつ通過することにより、ガスの
組織的な、良好に制御された分布に貢献する。
【0038】他方では、伝導性材料によって起こる電気
的要件下で、これらはプレート40の電位と後壁31の
電位の間の電位を負う。こうして、特にプレート40と
後壁31とが相互に電気的に絶縁される実施例において
は、もし電位差を生成するプラズマが分散室46にわた
って与えられると、結果として生じる副室46a、46
bおよび46cの中にその分割された電位差が生じる。
【0039】所与のガス圧力で、およびそのような空間
を区切る電気導電性壁の間の所与の電位差での場合、ス
プリアスなプラズマの発火の傾向は、スプリアスな電極
として作用する電気導電性壁の間の距離が広がるにつれ
て増大し、減じられた電位、すなわち全体の電位差の小
部分で動作される副室46a、b、cの各々は、高さを
増すことができ、こうして放電空間46の全体が、スプ
リアスなプラズマ発火の危険を冒すことなく、高さXを
増すことができる。
【0040】要約すると、分散室での電気的導電部分の
間隔に関しては、格子部材および/またはプレートまた
は後壁であっても、2つの矛盾する要件が存在すること
を考慮しなければならない。スプリアスなプラズマ放電
生成を防ぐためには、間隔Xは、所与の圧力およびその
間に与えられる所与の電位差で、できるだけ狭くなけれ
ばならないのに対し、ガス給送開口部50に沿った圧力
均一化の見地からは、そのような間隔Xはできるだけ広
くなるよう調整されなければならない。この発明は、以
下の特徴を提案する。
【0041】・開口部50によって分散された、ガス注
入口。 ・格子、後壁およびプレートなどの、互いに直接面する
電気伝導性表面の電気的に絶縁されたマウント。
【0042】これらは、分散室の範囲を適合するため
の、高い構築上の柔軟性をもたらし、それにより、同時
にスプリアスなプラズマ生成の傾向を増大させることな
く、その室の均一化の効果を特に増大させることができ
る。
【0043】4 プラズマ放電空間への外縁部ガス注入 図2に示すように、およびこの局面において、この発明
の2つの尺度を提供する。プレート40からプラズマ放
電空間36へのガス給送開口部44の分散に関しては、
表面領域ごとに与えられるそのような開口部44の密度
は、プレート40からその外縁部Pに向かって伝播する
場合には、増大させる。どのようにそのような開口部を
実現化し、どのように表面領域ごとのそれらの密度を均
等に変化させるかについての特に有利な技術を、図4か
ら図6を参照して以下に説明する。
【0044】プレート40の外縁部Pに向かって広がる
につれてガス給送開口部44の表面領域ごとの密度を増
大させる代わりに、またはそれに加えて、以下のよう
に、放電空間46からプラズマ放電空間36への付加的
な開口部構成66を設ける。
【0045】その一面で放電空間46に接する後壁31
が縁部分68に設けられるが、これは明確に別の部品で
あって、好ましい態様においては、反応器槽の側壁34
によって実現化することができる。この縁部分は、プレ
ート40の外縁部Pに向かって、かつこれを超えてそれ
らから離れて延在する。それにより、流れチャネル70
がプレート40全体を取囲んで形成される。
【0046】開口部構成66は、プレート40に実質的
に平行に、かつ縁部分68に実質的に鉛直に延在し、チ
ャネル70を介して、分散室46とプラズマ放電空間3
6との間に連通を確立する。これにより、およびチャネ
ル70の狭い間隔によって、プレート40と縁部分68
との間に高い電位差が存在した場合にも、その中ではス
プリアスなプラズマ放電は発火しない。
【0047】これらの尺度(プレートの外縁部に向かっ
て開口部44の密度を増大させることおよび/またはプ
レートの外縁部のまわりに横向きのガス注入を行なうこ
と)のうちの1つおよび/または他方によって、プラズ
マ放電空間36内の、その外縁部においてはより大き
い、ガス消費分散が補償され、たとえば電極表面42に
沿って、図2に従って配置された基板表面上への均一な
プラズマ放電効果をもたらす。それにより、プラズマ放
電空間36のごく周辺部までをも用いて製品表面を均一
に処理し、事実上反応器の効率性を向上させるという、
利点が得られる。
【0048】5 プレート40および貫通する開口部分
散の有利な実現化 図4に示すように、プレート40を通るガス給送開口部
44の最も有利な実現化は、プラズマ放電空間36に面
して存在する、プレート40のその面に平坦底部孔72
を機械加工することにより行なわれる。そのような平坦
底部孔72は、その上面図において、円形や方形などで
あってもよく、連続するかまたは限定されない溝型であ
ってもよい。そのような孔72の底部74においては、
プラズマ放電空間36への小さな直径の開口部44が機
械加工される。それにより、小さな直径の開口部44を
加工するためには、プレート40の全体の厚みのごく一
部だけが加工される。
【0049】それにより、プレート40が通常ごく厚く
なくてはならないことを考慮しなければならない。これ
は、これがごく限られた装着点のみで吊るされ、かつ頻
繁に変化する熱サイクルに晒されることにもかかわら
ず、そのようなプレートが確実に平坦に保たれなければ
ならないという点での、機械的な安定性に応じるもので
ある。さらに、そのようなプレートに沿った熱伝導性
は、変化させる温度にまで急速に、均一な温度分散を到
達させなければならない。
【0050】それにより、およびそのような窪み、すな
わち溝または大きな直径の孔72のコンセプトに従っ
て、分散室46からプラズマ放電室46への流れ抵抗
を、図5に示すように、そのような窪みに加えられるイ
ンサート78によって開口部44で変化させ、かつ正確
に調整することが可能である。図4の72などの窪みの
コンセプトに従って、および図6に示すように、プレー
ト40に沿って開口部の密度を、非常に高密度のおそら
くはより直径を減じた開口部44aにまで、特にプレー
ト40の外縁部Pへ向かって増大させることは、製造上
問題にはならない。
【0051】さらにインサート78によって、その一面
が処理プラズマ放電に晒される開口部44の背面におけ
るプラズマ発火の危険は減じられる。
【0052】図5に示すインサートと、おそらくは非対
称形状であるそれぞれの形状とによって、窪み72に設
けられる選択された開口部44の流れ抵抗を正確に調整
し、たとえばプラズマ処理におけるいずれの非均一的な
影響を補償することさえも可能であることは自明であ
る。
【0053】最後に、この発明に従った反応器の説明に
おいて、第1の目的がプラズマ放電空間の全体に沿った
ガス分散の均一化を達成することであったとしても、必
ずしも均一化を達成するのではなく、より一般的に、良
好に制御され、予め定められたガス分散が達成されるこ
とが理解されるべきであることを、明記する。
【0054】さらに、この説明は当業者に対してそれぞ
れの製品を製作するための方法を明確に開示するが、そ
れにより反応器のハードウェアの技術とともに説明した
ように、プラズマ放電に対するガス流および/または電
気的条件は、創意工夫をもって設定され、選択される。
【0055】添付の特許請求の範囲に規定される発明以
外にも、以下の教示それ自体がそれぞれ本発明において
意味があると考慮される。
【0056】I.プラズマ反応器であって、反応器槽を
含み、その中の1対の電極は間隔をあけて向き合って配
置されてその間にプラズマ放電空間が規定される金属表
面からなり、該金属表面のうち少なくとも1つは、多数
のガス給送開口部を有する金属表面のプレートであり、
該多数のガス給送開口部は、貫通して該放電空間に面す
る該プレートに沿って延在する分散室から該放電空間に
向かい、該分散室は、該プレートに向き合いかつ離れ
た、ガス注入構成を備えた壁を有し、さらに該プラズマ
反応器は、該2つの金属表面への電気エネルギ給送構成
を含み、該壁と該プレートとは互いから電気的に絶縁さ
れる、プラズマ反応器。
【0057】II.プラズマ反応器であって、反応器槽
を含み、その中の1対の電極は間隔をあけて向き合って
配置され、その間にプラズマ放電空間が規定される金属
表面からなり、該金属表面のうち少なくとも1つは、貫
通する多数のガス給送開口部を有する金属表面のプレー
トであり、該多数のガス給送開口部は、該放電空間に面
する該プレートに沿って延在する分散室から、該金属表
面を通って該放電空間に向かい、該分散室は、該プレー
トに向き合って離れた壁を有し、かつガス注入構成を含
み、さらに該プラズマ反応器は、該分散室内に、該プレ
ートから離れ、かつこれに沿って配置される少なくとも
1つの格子部材を含み、該少なくとも1つの格子部材
は、該壁と該プレートとから電気的に絶縁される、プラ
ズマ反応器。
【0058】III.プラズマ反応器であって、反応器
槽を含み、その中の1対の電極は間隔をあけて向き合っ
て配置され、その間にプラズマ放電空間が規定される金
属表面からなり、該金属表面のうち少なくとも1つは、
貫通する多数のガス給送開口部を有する金属表面のプレ
ートであり、該多数のガス給送開口部は、該放電空間に
面する該プレートに沿って延在する分散室から、該金属
表面を通って該放電空間に向かい、該分散室は、該プレ
ートに向き合って離れた壁を有し、かつガス注入構成を
含み、該壁は、該プレートに向かって、かつこれを超え
て延在する側縁部分を、該プレートの外縁部に沿って、
かつこれから離れて含み、該室は、開口部構成によって
該側縁部分と該プレートの外縁部との間の空間に通じて
おり、該開口部構成は、該プレートに実質的に平行であ
って、かつ該側縁部分に実質的に鉛直に延在する、プラ
ズマ反応器。
【0059】IV.プラズマ反応器であって、反応器槽
を含み、その中の1対の電極は間隔をあけて向き合って
配置され、その間にプラズマ放電空間が規定される金属
表面からなり、該金属表面のうち少なくとも1つは、貫
通する多数のガス給送開口部を有する金属表面のプレー
トであり、該多数のガス給送開口部は、該放電空間に面
する該プレートに沿って延在する分散室から、該金属表
面を通って該放電空間に向かい、該分散室は、該プレー
トに向き合って離れた壁を有し、かつ、該壁に沿って分
散されて該反応器への少なくとも1つのガス給送線に接
続される多数のガス注入開口部を備えたガス注入構成を
含み、該プラズマ反応器はさらに、該2つの金属表面に
対して電気エネルギ給送構成を含み、該壁とプレートと
は互いから電気的に絶縁される、プラズマ反応器。
【0060】V.プラズマ反応器であって、反応器槽を
含み、その中の1対の電極は間隔をあけて向き合って配
置されて、プラズマ放電空間を規定する金属表面からな
り、該金属表面のうち少なくとも1つは、貫通する多数
のガス給送開口部を有する金属表面のプレートであり、
該多数のガス給送開口部は、該放電空間に面する該プレ
ートに沿って延在する分散室から、該金属表面を通って
該放電空間に向かい、該分散室は、該プレートに向き合
って離れた壁を有し、かつ、該壁に沿って分散されて該
反応器への少なくとも1つのガス給送線に接続される多
数のガス注入開口部を備えたガス注入構成を含み、該プ
ラズマ反応器はさらに、該分散室内に該プレートと壁と
に沿って離れて配置される少なくとも1つの格子部材を
含み、該格子部材は該壁と該プレートとから電気適任絶
縁される、プラズマ反応器。
【0061】VI.プラズマ反応器であって、反応器槽
を含み、その中の1対の電極は間隔をあけて向き合って
配置され、その間にプラズマ放電空間が規定される金属
表面からなり、該金属表面のうち少なくとも1つは、貫
通する多数のガス給送開口部を有する金属表面のプレー
トであり、該多数のガス給送開口部は、該放電空間に面
する該プレートに沿って延在する分散室から、該金属表
面を通って該放電空間に向かい、該分散室は、該プレー
トに向き合って離れた壁を有し、かつ、該壁に沿って分
散されて該反応器への少なくとも1つのガス給送線に接
続される多数のガス注入開口部を備えたガス注入構成を
含み、該壁はさらに、該プレートの外縁部に向かって、
かつこれを超えて延在する側縁部分を含み、これから離
れて、該室は、開口部構成によって該側縁と該プレート
の外縁部との間の空間に通じており、該開口部構成は、
該プレートに実質的に平行であって、かつ該側縁部分に
鉛直に延在する、プラズマ反応器。
【0062】VII.プラズマ反応器であって、反応器
槽を含み、その中の1対の電極は間隔をあけて向き合っ
て配置され、その間にプラズマ放電空間が規定される金
属表面からなり、該金属表面のうち少なくとも1つは、
多数のガス給送開口部を有する金属表面のプレートであ
り、該多数のガス給送開口部は、これを通って該放電空
間に面する該プレートに沿って延在する分散室から該放
電空間に向かい、該分散室は、該プレートに対面し、か
つ離れた、ガス注入構成を備えた壁を有し、さらに該プ
ラズマ反応器は、該2つの金属表面への電気エネルギ給
送構成を含み、該壁と該プレートとは互いから電気的に
絶縁され、該プラズマ反応器はさらに、該分散室内に該
プレートと該壁とに沿って離れた少なくとも1つの格子
部材構成を含み、該格子部材は該壁と該プレートとから
電気的に絶縁される、プラズマ反応器。
【0063】VIII.プラズマ反応器であって、反応
器槽を含み、その中の1対の電極は間隔をあけて向き合
って配置され、その間にプラズマ放電空間が規定される
金属表面からなり、該金属表面のうち少なくとも1つ
は、多数のガス給送開口部を有する金属表面のプレート
であり、該多数のガス給送開口部は、これを通って該放
電空間に面する該プレートに沿って延在する分散室から
該放電空間に向かい、該分散室は、該プレートに対面し
てガス注入構成を備えた壁を有し、さらに該プラズマ反
応器は、該2つの金属表面への電気エネルギ給送構成を
含み、該壁と該プレートとは互いから電気的に絶縁さ
れ、該壁は、該プレートに向かって、かつこれを超えて
延在する側縁部分を含み、かつこれから離れて、該室
は、開口部構成によって該側縁部分と該プレートの外縁
部との間の空間に通じており、該開口部構成は、該プレ
ートに実質的に平行であって、かつ該側縁部分に実質的
に鉛直に延在する、プラズマ反応器。
【0064】IX.プラズマ反応器であって、反応器槽
を含み、その中の1対の電極は間隔をあけて互いに向き
合って配置され、その間にプラズマ放電空間が規定され
る金属表面からなり、該金属表面のうち少なくとも1つ
は、貫通する多数のガス給送開口部を有する金属表面の
プレートであり、該多数のガス給送開口部は、該放電空
間に面する該プレートに沿って延在する分散室から該金
属表面を通って該放電空間に向かい、該分散室は、該プ
レートに向き合って離れた壁を有し、かつガス注入構成
を含み、該プラズマ反応器はさらに、該分散室内に該プ
レートと壁とに沿って離れて配置される少なくとも1つ
の格子部材を含み、該格子部材は該壁と該プレートとか
ら電気的に絶縁され、該壁は、該プレートの外縁部に向
かって、かつこれを超えて延在する側縁部分を含み、こ
れから離れて、該室は、開口部構成によって該側縁部分
と該プレートの外縁部との間の空間に通じており、該開
口部構成は、該プレートに実質的に平行であって、かつ
該側縁部分に鉛直に延在する、プラズマ反応器。
【0065】X.プラズマ反応器であって、反応器槽を
含み、その中の1対の電極は間隔をあけて向き合って配
置されて、プラズマ放電空間を規定する金属表面からな
り、該金属表面のうち少なくとも1つは、貫通する多数
のガス給送開口部を有する金属表面のプレートであり、
該多数のガス給送開口部は、該放電空間に面する該プレ
ートに沿って延在する分散室から、該金属表面を通って
該放電空間に向かい、該分散室は、該プレートに向き合
って離れた壁を有し、かつ、該壁に沿って分散されて該
反応器への少なくとも1つのガス給送線に接続される多
数のガス注入開口部を備えたガス注入構成を含み、該プ
ラズマ反応器はさらに、該2つの金属表面に対して電気
エネルギ給送構成を含み、該壁と該プレートとは互いか
ら電気的に絶縁され、さらに、該分散室内に該プレート
と該壁とに沿って離れて配置される少なくとも1つの格
子部材を含み、該格子部材は該壁と該プレートとから電
気的に絶縁される、プラズマ反応器。
【0066】XI.プラズマ反応器であって、反応器槽
を含み、その中の1対の電極は間隔をあけて向き合って
配置されて、プラズマ放電空間を規定する金属表面から
なり、該金属表面のうち少なくとも1つは、貫通する多
数のガス給送開口部を有する金属表面のプレートであ
り、該多数のガス給送開口部は、該放電空間に面する該
プレートに沿って延在する分散室から、該金属表面を通
って該放電空間に向かい、該分散室は、該プレートに向
き合って離れた壁を有し、かつ、該壁に沿って分散され
て該反応器への少なくとも1つのガス給送線に接続され
る多数のガス注入開口部を備えたガス注入構成を含み、
該プラズマ反応器はさらに、該2つの金属表面に対して
電気エネルギ給送構成を含み、該壁と該プレートとは互
いから電気的に絶縁され、該壁は、該プレートに向かっ
て、かつこれを超えて延在する側縁部分を含み、かつこ
れから離れて、該室は、開口部構成によって該側縁部分
と該プレートの外縁部との間の空間に通じており、該開
口部構成は、該プレートに実質的に平行であって、かつ
該側縁部分に実質的に鉛直に延在する、プラズマ反応
器。
【0067】XII.プラズマ反応器であって、反応器
槽を含み、その中の1対の電極は間隔をあけて向き合っ
て配置されて、プラズマ放電空間を規定する金属表面か
らなり、該金属表面のうち少なくとも1つは、貫通する
多数のガス給送開口部を有する金属表面のプレートであ
り、該多数のガス給送開口部は、該放電空間に面する該
プレートに沿って延在する分散室から、該金属表面を通
って該放電空間に向かい、該分散室は、該プレートに向
き合って離れた壁を有し、かつ、該壁に沿って分散され
て該反応器への少なくとも1つのガス給送線に接続され
る多数のガス注入開口部を備えたガス注入構成を含み、
該プラズマ反応器はさらに、該分散室内に該プレートと
該壁とに沿って離れた少なくとも1つの格子部材構成を
含み、該格子部材は該壁と該プレートとから電気的に絶
縁され、該壁はさらに、該プレートの外縁部に向かっ
て、かつこれを超えて延在する側縁部分を含み、これか
ら離れて、該室は、開口部構成によって該側縁部分と該
プレートの外縁部との間の空間に通じており、該開口部
構成は、該プレートに実質的に平行であって、かつ該側
縁部分に実質的に鉛直に延在する、プラズマ反応器。
【0068】XIII.プラズマ反応器であって、反応
器槽を含み、その中の1対の電極は間隔をあけて向き合
って配置され、その間にプラズマ放電空間が規定される
金属表面からなり、該金属表面のうち少なくとも1つ
は、貫通する多数のガス給送開口部を有する金属表面の
プレートであり、該多数のガス給送開口部は、該放電空
間に面する該プレートに沿って延在する分散室から、該
金属表面を通って該放電空間に向かい、該分散室は、該
プレートに向き合って離れた壁を有し、かつ、ガス注入
構成を有し、該プラズマ反応器はさらに、該2つの金属
表面に対して電気エネルギ給送構成を含み、該壁とプレ
ートとは互いから電気的に絶縁され、さらに、該分散室
内に該プレートと該壁とに沿って離れて配置される少な
くとも1つの格子部材を含み、該格子部材は該壁と該プ
レートとから電気的に絶縁され、該壁はさらに、該プレ
ートの外縁部に向かって、かつこれを超えて延在する側
縁部分を含み、これから離れて、該室は、開口部構成に
よって該側縁部分と該プレートの外縁部との間の空間に
通じており、該開口部構成は、該プレートに実質的に平
行であって、かつ該側縁部分に実質的に鉛直に延在す
る、プラズマ反応器。
【0069】XIV.プラズマ反応器であって、反応器
槽を含み、その中の1対の電極は間隔をあけて向き合っ
て配置され、その間にプラズマ放電空間が規定される金
属表面からなり、該金属表面のうち少なくとも1つは、
貫通する多数のガス給送開口部を有する金属表面のプレ
ートであり、該多数のガス給送開口部は、該放電空間に
面する該プレートに沿って延在する分散室から、該金属
表面を通って該放電空間に向かい、該分散室は、該プレ
ートに向き合って離れた壁を有し、かつ、該壁に沿って
分散されて該反応器への少なくとも1つのガス給送線に
接続される多数のガス注入開口部を備えたガス注入構成
を含み、該プラズマ反応器はさらに、該2つの金属表面
に対して電気エネルギ給送構成を含み、該壁とプレート
とは互いから電気的に絶縁され、該プラズマ反応器はさ
らに、該分散室内に該プレートと壁とに沿って離れて配
置される少なくとも1つの格子部材を含み、該格子部材
は該壁と該プレートとから電気的に絶縁され、該壁は、
該プレートの外縁部に向かって、かつこれを超えて延在
する側縁部分を含み、これから離れて、該室は、開口部
構成によって該側縁部分と該プレートの外縁部との間の
空間に通じており、該開口部構成は、該プレートに実質
的に平行であって、かつ該側縁部分に実質的に鉛直に延
在する、プラズマ反応器。
【0070】XV.該ガス注入構成は、該壁に沿って分
散され、該プレートに向けられる複数のガス注入開口部
を含み、該ガス注入開口部の少なくともいくつかは、共
通のガス給送線に接続され、該ガス給送線と、そこに接
続される該注入開口部の少なくとも大部分との間のガス
流抵抗係数は、少なくとも実質的に等しい、教示Iから
教示XIVのいずれかに記載のプラズマ反応器。
【0071】XVI.該プレート内の、および該プレー
トの外縁部の近傍に配置された、該ガス給送開口部の少
なくともいくつかは、該プレートに、該プレートの外縁
部からより離れて位置する該ガス給送開口部よりも大き
な直径を有する、教示IからXVのいずれかに記載のプ
ラズマ反応器。
【0072】XVII.該プレートを貫通する該ガス給
送線の少なくとも一部は、取り外し可能な流抵抗係数増
加インサートと協働する、教示IからXVIのいずれか
に記載のプラズマ反応器。
【図面の簡単な説明】
【図1】 「シャワーヘッド」ガス注入口を備えた、R
Fプラズマ反応器のための、広く用いられる設計を示す
概略図である。
【図2】 好ましい態様で、この発明のすべての意義の
ある局面を組合せる、この発明の製造方法を行なうため
のこの発明のRFプラズマ反応器の概略図である。
【図3】 この発明の反応器槽の分散室にガスを注入す
るための好ましいガス分散構成の概略図である。
【図4】 この発明の反応器において、ガス給送開口部
を製造するため、およびそれらの流れ抵抗を制御するた
めの、好ましい3つの選択肢のうちの、1つを示す図で
ある。
【図5】 この発明の反応器において、ガス給送開口部
を製造するため、およびそれらの流れ抵抗を制御するた
めの、好ましい3つの選択肢のうちの、1つを示す図で
ある。
【図6】 この発明の反応器において、ガス給送開口部
を製造するため、およびそれらの流れ抵抗を制御するた
めの、好ましい3つの選択肢のうちの、1つを示す図で
ある。
【符号の説明】
36 プラズマ放電空間、40 金属プレート、46
分散室、48 ガス注入構成、52 中央ガス注入線。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/3065 H01L 21/302 C (72)発明者 ジャーク・シュミート フランス、91620 ラ・ビル・ディ・ボア、 グランド・リュ、51 (72)発明者 ジャン・バーレイロウ フランス、91120、パレセオ、リュ・ド・ ウナ、25 Fターム(参考) 4G075 AA24 AA30 BA01 BB08 BC04 BC06 CA16 CA65 DA02 EA01 EB01 EB42 EC21 EC30 EE12 EE34 FA02 FA03 FB02 FC15 4K030 EA05 EA06 FA01 FA03 KA12 KA46 5F004 AA01 BA04 BB28 BC03 5F045 BB02 BB20 DP03 EF05

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ反応器であって、反応器槽を含
    み、その中の1対の電極は、間隔をあけて向き合って配
    置され、かつその間にプラズマ放電空間が規定される金
    属表面からなり、前記金属表面のうち少なくとも1つ
    は、貫通する多数のガス給送開口部を有する金属表面の
    プレートであり、前記多数のガス給送開口部は、前記放
    電空間に面する前記プレートに沿って延在する分散室か
    ら、前記金属表面を通って前記放電空間に向かい、前記
    分散室は、前記プレートに向き合って離れた壁を有し、
    かつ、前記壁に沿って分散されて前記反応器への少なく
    とも1つのガス給送線に接続される多数のガス注入開口
    部を備えたガス注入構成を含む、プラズマ反応器。
  2. 【請求項2】 前記ガス注入構成は、前記壁に沿って分
    散され、かつ前記プレートに向けられる多数のガス注入
    開口部を含み、前記ガス注入開口部の少なくともいくつ
    かは、共通のガス給送線に接続され、前記ガス給送線と
    前記ガス給送線に接続される前記注入開口部の少なくと
    も大部分との間のガス流れ抵抗係数は、少なくとも実質
    的に等しい、請求項1に記載のプラズマ反応器。
  3. 【請求項3】 前記プレート内に存在し、かつ前記プレ
    ートの外縁部の近傍に配置される前記ガス給送開口部の
    少なくともいくつかは、前記プレートにおいて前記プレ
    ートの外縁部からより離れて位置決めされる前記ガス供
    給開口部よりも小さな直径を有する、請求項1または請
    求項2に記載の反応器。
  4. 【請求項4】 前記プレートを貫通する前記ガス給送開
    口部の少なくとも一部は、取外し可能な流れ抵抗係数増
    加インサートと協働する、請求項1から請求項3のいず
    れかに記載の反応器。
JP2001127862A 2000-04-26 2001-04-25 プラズマ反応器 Expired - Fee Related JP4786818B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/559,408 US6502530B1 (en) 2000-04-26 2000-04-26 Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US09/559408 2000-04-26

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009258107A Division JP5271874B2 (ja) 2000-04-26 2009-11-11 プラズマ反応器

Publications (2)

Publication Number Publication Date
JP2002075692A true JP2002075692A (ja) 2002-03-15
JP4786818B2 JP4786818B2 (ja) 2011-10-05

Family

ID=24233499

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001127862A Expired - Fee Related JP4786818B2 (ja) 2000-04-26 2001-04-25 プラズマ反応器
JP2009258107A Expired - Fee Related JP5271874B2 (ja) 2000-04-26 2009-11-11 プラズマ反応器

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009258107A Expired - Fee Related JP5271874B2 (ja) 2000-04-26 2009-11-11 プラズマ反応器

Country Status (7)

Country Link
US (3) US6502530B1 (ja)
JP (2) JP4786818B2 (ja)
KR (1) KR100746439B1 (ja)
CN (2) CN1181709C (ja)
DE (2) DE20122901U1 (ja)
FR (1) FR2808224B1 (ja)
TW (1) TW506232B (ja)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004079904A (ja) * 2002-08-21 2004-03-11 Sony Corp 成膜装置
JP2004119766A (ja) * 2002-09-27 2004-04-15 Utec:Kk 半導体製造装置
JP2004127853A (ja) * 2002-10-07 2004-04-22 Sekisui Chem Co Ltd プラズマ表面処理装置の電極構造
JP2004172622A (ja) * 2002-11-15 2004-06-17 Samsung Electronics Co Ltd 半導体処理システムのガス注入装置
JP2006502553A (ja) * 2002-10-10 2006-01-19 ユーティーシー フューエル セルズ,エルエルシー 燃料電池用のカスケード燃料入口マニホールド
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
KR100702831B1 (ko) * 2004-08-20 2007-04-03 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100734775B1 (ko) 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
JP2007273913A (ja) * 2006-03-31 2007-10-18 Shimadzu Corp 表面波励起プラズマ処理装置
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US20080093341A1 (en) * 2000-04-26 2008-04-24 Unaxis Balzers Aktiengesellschaft RF Plasma Reactor Having a Distribution Chamber with at Least One Grid
WO2008076408A1 (en) * 2006-12-18 2008-06-26 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP2008231568A (ja) * 2007-03-05 2008-10-02 Applied Materials Inc コーティング装置及びガス供給システム
JP2008285747A (ja) * 2007-03-14 2008-11-27 Applied Materials Inc コーティング装置のためのフラッディングチャンバ
JP2009127131A (ja) * 2007-11-23 2009-06-11 Applied Materials Inc コーティング装置及び電極アセンブリの製造方法
WO2010035429A1 (ja) * 2008-09-29 2010-04-01 積水化学工業株式会社 表面処理用ノズル装置
US7819081B2 (en) 2002-10-07 2010-10-26 Sekisui Chemical Co., Ltd. Plasma film forming system
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
JP2011249448A (ja) * 2010-05-25 2011-12-08 Shin Etsu Handotai Co Ltd エピタキシャル成長装置
JP2012031490A (ja) * 2010-08-02 2012-02-16 Ulvac Japan Ltd プラズマ処理装置及び前処理方法
JP2012082445A (ja) * 2010-10-06 2012-04-26 Ulvac Japan Ltd 真空処理装置、蒸着装置、プラズマcvd装置及び有機蒸着方法
WO2012090715A1 (ja) * 2010-12-28 2012-07-05 三洋電機株式会社 プラズマ処理装置
JP2013513239A (ja) * 2009-12-04 2013-04-18 アプライド マテリアルズ インコーポレイテッド 基板処理シャワーヘッド用の再構成可能なマルチゾーンガス供給ハードウェア
JP2014067827A (ja) * 2012-09-25 2014-04-17 Tokyo Electron Ltd 基板処理装置及びガス供給装置
KR101508314B1 (ko) * 2008-12-29 2015-04-06 주식회사 케이씨텍 플라즈마 처리장치
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
JP2015225856A (ja) * 2014-05-29 2015-12-14 チャム エンジニアリング カンパニー リミテッド ガス分配装置およびこれを備える基板処理装置
WO2018042877A1 (ja) * 2016-09-05 2018-03-08 信越半導体株式会社 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント
WO2018042876A1 (ja) * 2016-09-05 2018-03-08 信越半導体株式会社 気相成長装置及びエピタキシャルウェーハの製造方法
JP2018100439A (ja) * 2016-12-21 2018-06-28 東京エレクトロン株式会社 ガス処理装置及びガス処理方法

Families Citing this family (404)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US6986814B2 (en) * 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
CN1791467B (zh) * 2003-05-15 2010-11-03 夏普株式会社 离子发生元件、离子发生装置、电气设备
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
KR100973899B1 (ko) * 2003-07-01 2010-08-03 주식회사 포스코 크레인 주행중의 비상 급제동장치
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
CH706979B1 (en) * 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
DE102004039969A1 (de) * 2004-08-18 2006-02-23 Leybold Optics Gmbh Plasmaquellenvorrichtung, Anordnung mit einer Plasmaquellenvorrichtung sowie Abstrahleinheit für eine Plasmaquellenvorrichtung
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
JP2009503875A (ja) * 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド ガスマニホルドバルブクラスタ
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
CN100355325C (zh) * 2005-09-30 2007-12-12 友达光电股份有限公司 用于等离子体蚀刻机器的气体分布电极
CN101292059A (zh) * 2005-10-17 2008-10-22 Oc欧瑞康巴尔斯公司 用于利用远程等离子体源的大面积等离子体增强化学气相沉积装置的清洗器具
DE102006020291A1 (de) * 2006-04-27 2007-10-31 Ipt Ionen- Und Plasmatechnik Gmbh Plasmaquelle
JP5063969B2 (ja) * 2006-09-29 2012-10-31 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US20080223294A1 (en) * 2007-03-14 2008-09-18 Applied Materials, Inc. Flooding Chamber For Coating Installations
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
EP2067877A1 (en) * 2007-11-23 2009-06-10 Applied Materials, Inc. Coating device and method of producing an electrode assembly
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8097082B2 (en) 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
CN101307437B (zh) * 2008-06-19 2010-12-01 东莞宏威数码机械有限公司 射频电极及薄膜制备装置
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
TWI498053B (zh) * 2008-12-23 2015-08-21 Ind Tech Res Inst 電漿激發模組
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101559470B1 (ko) * 2009-06-04 2015-10-12 주성엔지니어링(주) 화학 기상 증착 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
CN101899652B (zh) * 2009-12-01 2012-05-02 东莞宏威数码机械有限公司 气体供应系统及方法
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
WO2011138239A1 (en) 2010-05-06 2011-11-10 Oerlikon Solar Ag, Truebbach Plasma reactor
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
DE102010027224A1 (de) 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
TWI419351B (zh) * 2011-02-14 2013-12-11 Sunshine Pv Corp 化學浴沉積機台及分配支流結構
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102534570B (zh) * 2012-01-04 2014-02-19 北京印刷学院 一种等离子体增强化学气相沉积微晶硅薄膜的方法
CN103205719B (zh) * 2012-01-17 2015-09-09 上海北玻镀膜技术工业有限公司 气体通道模块及应用其的气体分配装置
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
GB2510615A (en) * 2013-02-08 2014-08-13 Glyndwr University Gas blade injection system
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
KR101446632B1 (ko) * 2013-06-24 2014-10-06 피에스케이 주식회사 기판 처리 장치 및 방법
CN103712229A (zh) * 2013-12-19 2014-04-09 常熟市联诚光源电器配件有限公司 新型芯柱生产设备集中送风系统
CN103697557A (zh) * 2013-12-19 2014-04-02 常熟市联诚光源电器配件有限公司 芯柱生产设备集中送风系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6584786B2 (ja) * 2015-02-13 2019-10-02 株式会社日立ハイテクノロジーズ プラズマイオン源および荷電粒子ビーム装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) * 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6868616B2 (ja) 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105555002A (zh) * 2016-02-01 2016-05-04 江苏微导纳米装备科技有限公司 一种动态平行板等离子体发生器
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) * 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR102525361B1 (ko) * 2016-04-27 2023-04-25 삼성디스플레이 주식회사 감압 건조 장치 및 이를 이용한 막 제조 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
JP6597732B2 (ja) * 2017-07-24 2019-10-30 東京エレクトロン株式会社 ガス処理装置
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019083645A2 (en) * 2017-09-20 2019-05-02 Ih Ip Holdings Limited MODULAR REACTOR SYSTEM FOR EXOTHERMIC REACTIONS
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP2021521648A (ja) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 加熱されるセラミック面板
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109585032B (zh) * 2018-10-29 2021-02-02 大连民族大学 一种耐高温全钨面向等离子体反应器
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
WO2021076527A1 (en) * 2019-10-14 2021-04-22 Lam Research Corporation Dual plenum fractal showerhead
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12000046B1 (en) 2021-12-29 2024-06-04 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
US11932941B1 (en) * 2021-12-29 2024-03-19 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
CN115156195A (zh) * 2022-06-10 2022-10-11 深圳泰德半导体装备有限公司 等离子清洗装置

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05125545A (ja) * 1991-10-31 1993-05-21 Tokyo Electron Yamanashi Kk プラズマ装置
JPH0661157A (ja) * 1992-08-12 1994-03-04 Sharp Corp 半導体製造装置
JPH0677149A (ja) * 1992-06-09 1994-03-18 Philips Electron Nv 半導体装置の製造方法
JPH06333850A (ja) * 1993-05-19 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
JPH08203893A (ja) * 1995-01-27 1996-08-09 Nec Corp 半導体装置の製造方法
JPH08250441A (ja) * 1994-12-16 1996-09-27 Chul-Ju Hwang 低圧化学蒸着装置
JPH09326383A (ja) * 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH118225A (ja) * 1997-06-17 1999-01-12 Nkk Corp 平行平板電極型プラズマ処理装置
JPH11274087A (ja) * 1998-03-25 1999-10-08 Toshiba Corp シャワープレート

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5946088B2 (ja) * 1980-08-20 1984-11-10 株式会社日立国際電気 気相反応装置
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
JPS5955343A (ja) * 1982-09-21 1984-03-30 Seiko Epson Corp プラズマcvd装置
US4550681A (en) * 1982-10-07 1985-11-05 Johannes Zimmer Applicator for uniformly distributing a flowable material over a receiving surface
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPH01154296A (ja) * 1987-12-10 1989-06-16 Fujitsu Ltd 文字切出方法
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01168023A (ja) * 1987-12-23 1989-07-03 Sharp Corp 半導体製造装置
JPH0261078A (ja) * 1988-08-24 1990-03-01 Nec Kyushu Ltd 平行平板型プラズマエッチング装置
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
DE4029270C1 (ja) * 1990-09-14 1992-04-09 Balzers Ag, Balzers, Li
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3342118B2 (ja) * 1993-06-29 2002-11-05 東京エレクトロン株式会社 処理装置
US5415753A (en) * 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP3113796B2 (ja) 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
JPH09129612A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US5893985A (en) * 1997-03-14 1999-04-13 The Lincoln Electric Company Plasma arc torch
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1154296A (ja) 1997-08-05 1999-02-26 Sony Corp プラズマ発生装置およびプラズマ装置
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JPH11152568A (ja) * 1997-11-20 1999-06-08 Citizen Watch Co Ltd 円筒状部材の内周面への硬質カーボン膜形成方法
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JPH11181572A (ja) 1997-12-22 1999-07-06 Kokusai Electric Co Ltd プラズマcvd装置
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
WO1999048138A1 (en) * 1998-03-18 1999-09-23 Applied Materials, Inc. Large area uniform laminar gas flow dispenser
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6132805A (en) * 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
WO2000070117A1 (en) * 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
WO2001075188A2 (en) * 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US7632379B2 (en) * 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05125545A (ja) * 1991-10-31 1993-05-21 Tokyo Electron Yamanashi Kk プラズマ装置
JPH0677149A (ja) * 1992-06-09 1994-03-18 Philips Electron Nv 半導体装置の製造方法
JPH0661157A (ja) * 1992-08-12 1994-03-04 Sharp Corp 半導体製造装置
JPH06333850A (ja) * 1993-05-19 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
JPH08250441A (ja) * 1994-12-16 1996-09-27 Chul-Ju Hwang 低圧化学蒸着装置
JPH08203893A (ja) * 1995-01-27 1996-08-09 Nec Corp 半導体装置の製造方法
JPH09326383A (ja) * 1996-06-05 1997-12-16 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH118225A (ja) * 1997-06-17 1999-01-12 Nkk Corp 平行平板電極型プラズマ処理装置
JPH11274087A (ja) * 1998-03-25 1999-10-08 Toshiba Corp シャワープレート

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080093341A1 (en) * 2000-04-26 2008-04-24 Unaxis Balzers Aktiengesellschaft RF Plasma Reactor Having a Distribution Chamber with at Least One Grid
US9045828B2 (en) * 2000-04-26 2015-06-02 Tel Solar Ag RF plasma reactor having a distribution chamber with at least one grid
JP2004079904A (ja) * 2002-08-21 2004-03-11 Sony Corp 成膜装置
JP2004119766A (ja) * 2002-09-27 2004-04-15 Utec:Kk 半導体製造装置
US7819081B2 (en) 2002-10-07 2010-10-26 Sekisui Chemical Co., Ltd. Plasma film forming system
JP2004127853A (ja) * 2002-10-07 2004-04-22 Sekisui Chem Co Ltd プラズマ表面処理装置の電極構造
JP2006502553A (ja) * 2002-10-10 2006-01-19 ユーティーシー フューエル セルズ,エルエルシー 燃料電池用のカスケード燃料入口マニホールド
JP4691360B2 (ja) * 2002-10-10 2011-06-01 ユーティーシー パワー コーポレイション 燃料電池用のカスケード燃料入口マニホールド
JP2004172622A (ja) * 2002-11-15 2004-06-17 Samsung Electronics Co Ltd 半導体処理システムのガス注入装置
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
KR100702831B1 (ko) * 2004-08-20 2007-04-03 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
KR100734775B1 (ko) 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
JP4683334B2 (ja) * 2006-03-31 2011-05-18 株式会社島津製作所 表面波励起プラズマ処理装置
JP2007273913A (ja) * 2006-03-31 2007-10-18 Shimadzu Corp 表面波励起プラズマ処理装置
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US9093483B2 (en) 2006-12-18 2015-07-28 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
WO2008076408A1 (en) * 2006-12-18 2008-06-26 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
KR100943560B1 (ko) * 2007-03-05 2010-02-22 어플라이드 머티어리얼스, 인코포레이티드 코팅 장치 및 가스 주입 시스템
JP2008231568A (ja) * 2007-03-05 2008-10-02 Applied Materials Inc コーティング装置及びガス供給システム
JP2008285747A (ja) * 2007-03-14 2008-11-27 Applied Materials Inc コーティング装置のためのフラッディングチャンバ
JP2009127131A (ja) * 2007-11-23 2009-06-11 Applied Materials Inc コーティング装置及び電極アセンブリの製造方法
JP4540731B2 (ja) * 2008-09-29 2010-09-08 積水化学工業株式会社 表面処理用ノズル装置
JP2010084161A (ja) * 2008-09-29 2010-04-15 Sekisui Chem Co Ltd 表面処理用ノズル装置
WO2010035429A1 (ja) * 2008-09-29 2010-04-01 積水化学工業株式会社 表面処理用ノズル装置
KR101508314B1 (ko) * 2008-12-29 2015-04-06 주식회사 케이씨텍 플라즈마 처리장치
JP2013513239A (ja) * 2009-12-04 2013-04-18 アプライド マテリアルズ インコーポレイテッド 基板処理シャワーヘッド用の再構成可能なマルチゾーンガス供給ハードウェア
JP2011249448A (ja) * 2010-05-25 2011-12-08 Shin Etsu Handotai Co Ltd エピタキシャル成長装置
JP2012031490A (ja) * 2010-08-02 2012-02-16 Ulvac Japan Ltd プラズマ処理装置及び前処理方法
JP2012082445A (ja) * 2010-10-06 2012-04-26 Ulvac Japan Ltd 真空処理装置、蒸着装置、プラズマcvd装置及び有機蒸着方法
WO2012090715A1 (ja) * 2010-12-28 2012-07-05 三洋電機株式会社 プラズマ処理装置
JP2014067827A (ja) * 2012-09-25 2014-04-17 Tokyo Electron Ltd 基板処理装置及びガス供給装置
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
JP2015225856A (ja) * 2014-05-29 2015-12-14 チャム エンジニアリング カンパニー リミテッド ガス分配装置およびこれを備える基板処理装置
WO2018042877A1 (ja) * 2016-09-05 2018-03-08 信越半導体株式会社 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント
WO2018042876A1 (ja) * 2016-09-05 2018-03-08 信越半導体株式会社 気相成長装置及びエピタキシャルウェーハの製造方法
JPWO2018042876A1 (ja) * 2016-09-05 2018-08-30 信越半導体株式会社 気相成長装置
JPWO2018042877A1 (ja) * 2016-09-05 2019-04-04 信越半導体株式会社 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント
US10665460B2 (en) 2016-09-05 2020-05-26 Shin-Etsu Handotai Co., Ltd. Vapor phase growth apparatus, method of manufacturing epitaxial wafer, and attachment for vapor phase growth apparatus
JP2018100439A (ja) * 2016-12-21 2018-06-28 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
CN108231626A (zh) * 2016-12-21 2018-06-29 东京毅力科创株式会社 气体处理装置和气体处理方法

Also Published As

Publication number Publication date
JP4786818B2 (ja) 2011-10-05
DE20122901U1 (de) 2009-08-27
US7306829B2 (en) 2007-12-11
US6502530B1 (en) 2003-01-07
FR2808224B1 (fr) 2005-01-07
TW506232B (en) 2002-10-11
US20030070761A1 (en) 2003-04-17
CN1330507A (zh) 2002-01-09
US20080093341A1 (en) 2008-04-24
JP2010067615A (ja) 2010-03-25
CN1181709C (zh) 2004-12-22
CN1607889A (zh) 2005-04-20
JP5271874B2 (ja) 2013-08-21
FR2808224A1 (fr) 2001-11-02
US9045828B2 (en) 2015-06-02
DE10119766A1 (de) 2001-11-08
KR100746439B1 (ko) 2007-08-03
DE10119766B4 (de) 2009-02-26
KR20010098908A (ko) 2001-11-08
CN1330219C (zh) 2007-08-01

Similar Documents

Publication Publication Date Title
JP2002075692A (ja) プラズマ反応器
KR102594442B1 (ko) 플라즈마 처리 장치
TWI720010B (zh) 利用二次電漿佈植的電漿蝕刻系統及方法
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
KR100726381B1 (ko) 반도체 처리용 가스 분배 장치
JP3114739U (ja) プラズマ反応器のガス分配板電極
KR20120099759A (ko) 기판 처리 샤워헤드용의 재구성 가능한 복수-구역 가스 전달 하드웨어
CN104046961A (zh) 衬底支撑器以及包含所述衬底支撑器的衬底处理设备
GB2347686A (en) Gas delivery system
KR20010028032A (ko) 화학기상증착용 튜브
US7708866B2 (en) Sputtering apparatus
US6238512B1 (en) Plasma generation apparatus
JPH0473289B2 (ja)
US6030508A (en) Sputter etching chamber having a gas baffle with improved uniformity
KR20110097781A (ko) Rf 스퍼터링 장치
WO1999028528A1 (en) High rate deposition of amorphous silicon films
JPH05283343A (ja) プラズマcvd装置
JPS62299031A (ja) 平行平板型エツチング装置の電極構造
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료
JPH0680642B2 (ja) 放電加工用電極
KR101552726B1 (ko) 플라즈마 화학 기상 증착 장치
TWI437120B (zh) 具有減小的工具足跡之用於均勻薄膜沉積的平行板反應器
JPH06252060A (ja) プラズマcvd装置
JP2993813B2 (ja) プラズマcvd装置
JPH05311448A (ja) 高周波プラズマcvd装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090512

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090811

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090814

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090909

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091009

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091015

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100701

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110311

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20110422

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110621

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110714

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140722

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees