DE10119766A1 - RF Plasma Reaktor - Google Patents

RF Plasma Reaktor

Info

Publication number
DE10119766A1
DE10119766A1 DE10119766A DE10119766A DE10119766A1 DE 10119766 A1 DE10119766 A1 DE 10119766A1 DE 10119766 A DE10119766 A DE 10119766A DE 10119766 A DE10119766 A DE 10119766A DE 10119766 A1 DE10119766 A1 DE 10119766A1
Authority
DE
Germany
Prior art keywords
plate
wall
reactor
gas
distribution chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10119766A
Other languages
English (en)
Other versions
DE10119766B4 (de
Inventor
Emmanuel Turlot
Jacques Schmitt
Jean Baptiste Chevier
Jean Barreiro
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Solar AG
Original Assignee
Unaxis Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Balzers AG filed Critical Unaxis Balzers AG
Priority to DE20122901U priority Critical patent/DE20122901U1/de
Publication of DE10119766A1 publication Critical patent/DE10119766A1/de
Application granted granted Critical
Publication of DE10119766B4 publication Critical patent/DE10119766B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Abstract

Beschrieben wird ein RF-Plasmareaktor, der einen Reaktorbehälter umfaßt und darin ein Paar von Elektroden, bestehend aus von einander beabstandeten und gegenüberliegend angeordneten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum definieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, die eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von einer Verteilungskammer her aufweist, welche sich längs der Platte gegenüber dem Entladungsraum erstreckt; wobei die Verteilungskammer eine Wand gegenüber und beabstandet von der Platte aufweist und eine Anordnung zum Gaseinlaß mit einer Vielzahl von Gaseinlaßöffnungen umfaßt, die längs der Wand verteilt und mit wenigstens einer Gaszuführungsleitung zum Reaktor verbunden sind.

Description

Die vorliegende Erfindung betrifft allgemein Verbesserungen hinsichtlich der sogenannten Duschkopfgaseinlaßtechnik in den Plasmaentladungsraum eines Plasmareaktors, der mit einem Plasma betrieben wird, welches mit RF, RF mit Gleichstrom oder gepulster RF elek­ trisch gespeist wird. Damit betrifft sie Parallelplattenreaktoren, in die RF-Energie in den Entladungsraum über ein Paar von Elektroden in einer kondensatorplattenähnlichen Anord­ nung eingekoppelt wird, im Gegensatz zu anderen Reaktoren, bei denen die Entladungse­ nergie über Mikrowellenkopplung oder über ein Induktionsfeld eingeführt wird.
Solche Kondensatorkopplungsplasmareaktoren werden gemeinhin verwendet, um wenig­ stens ein Substrat auf einmal dem Verarbeitungsschritt einer Plasmaglimmentladung auszu­ setzen. Es ist eine große Vielfalt solcher Prozesse bekannt und sie werden genutzt, um die Natur der Substratoberflächen zu modifizieren. Abhängig vom Prozeß und insbesondere der Natur des Gases, das in den Glimmentladungsraum des Reaktors eingeleitet wird, kann man die Oberflächeneigenschaften des Substrats modifizieren, dünne Filme darauf anbRanden oder, insbesondere selektiv, Material davon entfernen.
Die Substrate können eben oder gekrümmt sein, wie z. B. Autowindschutzscheiben. In ei­ nem solchen Fall karin die Anordnung der Elektroden zwischen denen der Plasmaentla­ dungsraum definiert ist, nicht komplanar sein, aber entsprechend parallel gekrümmt, so daß der Abstand zwischen der gekrümmten Oberfläche des Substrats und einer Elektrode im wesentlichen konstant über die Ausdehnung der Substratoberfläche ist.
Obwohl die vorliegende Anmeldung Plasmareaktionen beansprucht, beschreibt sie gänzlich verschiedene erfinderische Verfahren zur Herstellung von Substraten mittels Prozeßschrit­ ten, die durch den Plasmareaktor durchgeführt werden. Solche Herstellungsprozesse betref­ fen insbesondere Halbleiterwafer, Scheiben für Speichervorrichtungen, Flachbildschirm­ wände, Fensterscheiben und Gewebe oder Folien.
Die Prozesse zur Oberflächenbearbeitung der Substrate, in einem Vakuumbehälter durchge­ führt, worin eine Plasmaentladung mit der RF-Komponente eines elektrischen Feldes er­ zeugt wird, sind allgemein bekannt als PVD-, PECVD-, als reaktive Ionenätz-, Ionenplat­ tier-Prozesse und so weiter.
In Fig. 1 ist schematisch eine allgemein benutzte Ausgestaltung für einen RF-Plasmareaktor mit einem "Duschkopf'-Gaseinlaß gezeigt. Ein gewöhnlicher RF-Plasmareaktor umfaßt einen Reaktorbehälter 1 mit einer Pumpöffnung 3. Gegenüber angeordnet sind beabstandete metallische Oberflächen 4 und 6 als Plasmaentladungselektoden und definieren nebenbei den Plasmaentladungsraum 8. Zwischen den beiden Elektodenoberflächen 4 und 6 wird die Plasmaentladung erzeugt, die ein elektrisches Feld D mit wenigstens einer RF-Komponenten liefert.
Wenigstens eine der Plasmaentladungsoberflächen 4, 6 ist mit einer Vielzahl von Gaszufü­ hungsöffnungen 10 ausgestattet, wobei die entsprechende Elektrode die Oberfläche einer Platte 11 ist. Hinsichtlich des Plasmaentladungsraums 8 auf der Rückseite der Platte 11 ist eine Vorratskammer 12 mit einer Rückwand 14 und einer Randseitenwand 16 vorgesehen. Hinsichtlich der Erstreckung der Vorratskammer 12 ist mittig eine Gaseinlaßöffnung und Zuführungsleitung 18 vorgesehen. Neben den Gaszuführungsöffnungen 10 und der Öffnung 18 ist die Vorratskammer 12 versiegelt.
Die begrenzenden Metallwände und die Platte, die die Vorratskammer 12 einschließen, werden mit einer Plasmaentladung durch eine zentrale elektrische Zuführungsleitung ge­ speist, welche elektrische Energie liefern. Da der Reaktionsbehälter 1 gewöhnlich nicht auf demselben elektrischen Potential betrieben wird wie die Elektodenoberfläche 4, insbesonde­ re nicht mit voller RF-Leistung, sondern gewöhnlich auf einem Referenzpotential, zum Bei­ spiel auf Erdpotential, betrieben wird, wird die gesamte Vorratskammer 12 innerhalb des Reaktorbehälters 1 elektrisch isoliert montiert, wie es schematisch durch eine elektrische isolierende Halterung und Durchführung 22 gezeigt ist. Die mittig angeordnete Gaszufü­ hrungsleitung 18, wird in analoger Weise mit einer üblicherweise geerdeten Gasversor­ gungsleitung 24 mit dem Reaktorbehälter 1 über einen elektrisch isolierenden Verbinder 26 verbunden.
Die Gaszuführungsöffnungen 10 in der Elektrodenoberfläche 4 und die Platte 11 der Vor­ ratskammer 12 haben einen kleinen Gasleitwert und demgemäß einen hohen Gasströ­ mungswiderstandsfaktor, so daß das innere Volumen der Vorratskammer 12, die mittig mit zugeführtem Gas gespeist wird, als Verteilungs- und Druckausgleichskammer fungiert, um Gas durch die Gaszuführungsöffnungen 10 in einer gut geregelten und gewünschten Weise, am besten so homogen wie möglich verteilt entlang der Elektodenoberfläche 4 und in den Plasmaentladungsraum 8 zu führen.
Wie in Fig. 1 gezeigt, wird das in den gesamten Reaktor eingespeiste Gas einer großen Ver­ änderung des elektrischen Potentials ausgesetzt (Rohr 24 zur Zuführungsleitung 18). Da­ durch sind die Bedingungen im Bereich, in dem eine hohe Potentialdifferenz auftritt, d. h. am Verbinder 26, recht kritisch für die Vermeidung des Auftretens einer ungewünschten Gasentladung darin.
Ein weiterer Nachteil dieser bekannten Anordnung ist in erster Linie seine niedrige An­ sprechzeit. Da das innere Volumen der Vorratskammer 12 ziemlich groß sein muß, um eine gleichmäßige Gasverteilung und konstanten Druck längs der Platte 11 zu erlauben, wird eine ziemlich große Menge Gas in dieser Vorratskammer 12 bei relativ hohem Druck ange­ sammelt. Wenn man die Gaszusammensetzung oder Ausflußrate im laufenden Prozeß ver­ ändern will, wird eine solche Veränderung daher im Plasmaentladungsraum angenommen, während eine eher unkontrollierten Übergangsphase mit großen Zeitkonstanten bis zum Erreichen der gewünschten stabilen neu eingestellten Gaszusammensetzung und/oder Aus­ flußrate erfolgen.
Zusätzlich muß das Volumen der Vorratskammer 12 durch Vakuumpumpen vor dem Be­ ginn eines Bearbeitungsprozesses im Reaktor evakuiert werden, was um so mehr Zeit bean­ sprucht, je größer das entsprechende Volumen ausgelegt ist.
Besonders wenn man die Tatsache berücksichtigt, daß das Volumen 12 nur mit der Pump­ öffnung des Behälters über kleine Öffnungen 10 mit niedrigem Leitwert verbunden ist, so bedeutet dies, daß das vorprozessuale Einstellen des gesamten Reaktors, einschließlich des Ausgasens der Wände eine lange Zeit braucht. Nichtsdestoweniger und aufgrund Gaszufü­ hrungsöffnungen 10 mit großem Leitwert und dem großen Volumen der Vorratskammer 12, resultiert diese Technik in einer zufriedenstellenden Regelung der Verteilung des Gasaus­ flusses längs der Elektodenoberfläche 4, wie z. B. in einer homogenen Verteilung. Durch Variieren der Dichte der Gaszuführöffnungen 10 längs der Oberfläche 4 der Begrenzungs­ elektrode des Plasmaentladungsraums kann die Gasverteilung auf bestimmte Bedürfnisse auf einfache Weise zugeschnitten werden.
Es ist eine allgemeine Aufgabe der vorliegenden Erfindung, einen Duschkopf-RF-Reaktor, wie er im Prinzip in Fig. 1 gezeigt ist, zu verbessern und dabei dessen Vorteile zu behalten. Unter dem Ausdruck RF-Reaktor verstehen wir einen Reaktor, in dem eine Plasmaentla­ dung elektrisch mit wenigstens einer RF-Komponente einer elektrischen Energie geliefert wird.
Unter einem ersten Gesichtspunkt der vorliegenden Erfindung wird diese Aufgabe gelöst durch einen RF-Plasmareaktor, umfassend:
einen Reaktorbehälter und darin
ein Paar von Elektroden, bestehend aus von einander beabstandeten und gegenüberliegend angebrachten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum definieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metal­ lische Platte ist, die eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von einer Verteilungskammer her aufweist, welche sich längs der Platte gegenüber dem Entladungsraum erstreckt;
wobei die Verteilungskammer eine Wand gegenüber und beabstandet von der Platte auf­ weist und eine Anordnung zum Gaseinlaß mit einer Vielzahl von Gaseinlaßöffnungen um­ faßt, die längs der Wand verteilt und mit wenigstens einer Gaszuführungsleitung zum Re­ aktor verbunden sind.
Hierdurch und im Gegensatz zu wohlbekannten Techniken gemäß Fig. 1, erfolgt der Ga­ seinlaß in die erfindungsgemäß zur Verfügung gestellte Verteilungskammer nicht lokal, sondern über eine Vielzahl von Gaseinlaßöffnungen. Dies führt zu dem Vorteil, daß die An­ forderungen an die Verteilungskammer selbst hinsichtlich eines großvolumigen Druckaus­ gleichs verglichen mit der Lehre gemäß Fig. 1deutlich reduziert werden: Das Volumen der Verteilungskammer kann deutlich reduziert werden, was deutlich die Ansprechzeit verbes­ sert, wenn die Gasströmung und/oder Gaszusammensetzung im Plasmaentladungsraum va­ riiert wird.
Die oben erwähnte Aufgabe wird nach einem zweiten Gesichtspunkt der vorliegenden Er­ findung durch einen RE-Plasmareaktor gelöst, umfassend: einen Reaktorbehälter und darin ein Paar Elektroden, bestehend aus voneinander beabstandeten und gegenüberliegend ange­ ordneten metallischen Oberflächen, die hierzwischen einen Plasmanentladungsraum definie­ ren, wobei wenigstens eine der metallischen Oberflächen, die Oberfläche einer metallischen Platte ist, die eine Vielzahl von Gaszuführungsöffnungen hierdurch in Richtung des Entla­ dungsraums und von einer Verteilungskammer her aufweist, die sich entlang der Platte ge­ genüber vom Entladungsraum erstreckt, wobei die Verteilungskammer eine Rückwand ge­ genüber und beabstandet von der Platte mit der Gaseinlaßanordnung und ferner mit einer Anordnung zur Zuführung elektrischer Energie an die zwei metallischen Oberflächen auf­ weist, die die Plasmaentladungselektoden sind, und wobei ferner die Rückwand und die Platte - die im wesentlichen den Entladungsraum begrenzen - elektrisch voneinander iso­ liert sind. Hierdurch kann jede elektrische Potentialdifferenz, wie insbesondere die große plasmaerzeugende Potentialdifferenz, zwischen der Platte und der Rückwand der Vorteils­ kammer angelegt werden, so daß die Rückwand direkt ein Teil der Behälterwand sein kann, die auf einem elektrischen Potential betrieben wird, das von den an der entsprechenden Elektrodenoberfläche anliegenden elektrischen Potential unabhängig ist, wie sie zum Bei­ spiel auf einem Referenzpotential, gewöhnlich auf Erdpotential, betrieben wird.
Hierdurch wird einerseits die kritische hohe Potentialdifferenz entlang der Gaszuführungs­ leitung vermieden, und sie ist viel einfacher über die Verteilungskammer zu handhaben. Ferner wird der Gesamtaufbau des Reaktors deutlich vereinfacht, zum Beispiel durch Ver­ meiden einer elektrisch isolierten Aufhängung der gesamten Vorratskammer im Reaktor, wie es bei 22 der bekannten Technik gemäß Fig. 1 vorgesehen ist.
Die oben erwähnte Aufgabe wird ferner unter einem dritten Gesichtspunkt der vorliegenden Erfindung durch einen RF-Plasmareaktor gelöst, der umfaßt: einen Reaktorbehälter und darin ein Paar Elektroden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordneten metallischen Oberflächen, die hierzwischen einen Plasmaentladungsraum definieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metal­ lischen Platte ist, die eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von einer Verteilungskammer her aufweist, welche sich entlang der Platte gegenüber dem Entladungsraum erstreckt, wo­ bei die Verteilungskammer eine Rückwand gegenüber und beabstandet der Platte aufweist und eine Anordnung zum Gaseinlaß umfaßt und wobei ferner wenigstens ein "Gitterglied" innerhalb der Verteilungskammer angeordnet ist, und wobei das wenigstens eine Gitterglied elektrisch von der Rückwand und von der Platte isoliert ist.
Im allgemeinen verstehen wir unter dem Ausdruck "Gitter" eine Materialstruktur mit einer plattenartigen Form mit Perforationen dadurch. Ein Gitter kann damit durch eine eher ma­ schenartige Struktur bis hin zu einer spröden Platte mit ein paar Perforationen realisiert werden.
Durch Unterteilen des, Verteilungsraumes mittels solcher Gitterglieder - wenn aus elektrisch leitendem Material - in zwei oder mehr als zwei Unterräume wird jede elektrische Poten­ tialdifferenz zwischen der Platte und der Rückwand unterteilt in einem Bruchteil über jeden der Unterräume. Dies ermöglicht mit Hinsicht auf eine Fehlausbildung der Plasmaentladung in der Verteilungskammer das Vergrößern der Höhe der Unterräume und damit der Vertei­ lungskammer, berücksichtigt senkrecht zur Platte, ohne das Risiko einer Plasmafehlzündung einzugehen. Dies trifft besonders dann zu, wenn praktisch die gesamte Potentialdifferenz zur Plasmaentladung über der Verteilungskammer angelegt wird. Tatsächlich wird die Ne­ benkapazität zwischen der Platte und der Rückwand, die die Verteilungskammer begrenzen reduziert. Zusätzlich verbessert der Einsatz des erwähnten Gittergliedes die Gasdruckver­ teilung und die Homogenisierung entlang der Verteilungskammer, egal ob das erwähnte Gitterglied aus elektrisch leitendem oder dielektrischem Material besteht.
Die oben erwähnte allgemeine Aufgabe wird ferner unter einem vierten Gesichtspunkt der vorliegenden Erfindung durch einen RF-Plasmareaktor gelöst, welcher folgendes aufweist: einen Reaktorbehälter und darin ein Paar von Elektroden, bestehend aus voneinander beab­ standeten und gegenüherliegend angeordneten metallischen Oberflächen, die hierzwischen einen Plasmaentladungsraum definieren, wobei wenigstens eine der metallischen Oberflä­ chen die Oberfläche einer metallischen Platte ist, die eine Vielzahl von Gaszuführungsöff­ nungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von einer Verteilungskammer her aufweist, welche sich längs der Platte gegenüber dem Entladungsraum erstreckt, und wobei die Verteilungskammer eine Rückwand gegenüber und beabstandet von der Platte aufweist, und wobei ferner die Wand einen seitlichen Randabschnitt umfaßt, welcher sich in Richtung des Randes der Platte, darüber hinaus und hiervon beabstandet erstreckt, und wobei die Verteilungskammer über eine Öffnungsanord­ nung mit dem Zwischenraum zwischen dem seitlichen Randabschnitt der Wand und dem Rand der Platte verbunden ist und die Öffnungsanordnung sich im wesentlichen parallel zu den Platten und im wesentlichen senkrecht zum Randabschnitt der Wand erstreckt.
Auf der einen Seite wird eine zusätzliche Gasmenge in den Plasmaentladungsraum an sei­ nen Randgrenzbereich eingespeist. Da gewöhnlich mehr Gas, in einen Reaktionsprozeß mehr reaktives Gas, am Rand der Plasmanentladung verbraucht wird, wird dieser Zusatz­ verbrauch kompensiert. Damit kann unter Berücksichtigung technischer Anstrengungen und Herstellungskosten die Dichte der Gaseinlaßöffnungen pro Oberflächenbereich in der Platte und durch die Oberfläche der metallischen Elektrode nicht unbestimmt erhöht werden, so daß die Gaszufuhr am Rand wie oben festgestellt eine äußerst einfache Technik zum Erhö­ hen des Randgasflusses in den Plasmaentladungsraum ist.
Es ist ferner zu berücksichtigen, daß durch den erfindungsgemäß vorgesehenen Randbereich der Wand, beabstandet vom Rand der Platte, ein Einlaßkanal in den Plasmaentladungsraum gebildet wird. Wenn eine elektrische Potentialdifferenz zwischen der Platte und der Wand eingestellt wird, dann wird dieses elektrische Potential auch über den Raum vom Rand der Platte zum Rand der Wand vorhanden sein. Überraschenderweise ist die Zündung einer Plasmafehlentladung zwischen dem Rand und Rand der Platte weit weniger kritisch als zum Beispiel in den Gaszuführungsöffnungen in der Platte, oder allgemein gesprochen, in einer "Ein-Potential"- Elektroden-Umgebung.
In bevorzugten Ausführungsformen werden die Merkmale der vier Plasmareaktoren gemäß der vorliegenden Erfindung, und nachfolgend der vier Gesichtspunkte, erfinderisch kombi­ niert mit weiteren erfinderischen Plasmareaktoren, wobei dies die Merkmale von entspre­ chend zwei der Reaktoren, drei der Reaktoren oder allen vier der Reaktoren sind.
Die Erfindung wird unter all ihren Gesichtspunkten nun beispielhaft für den Fachmann zum noch besseren Verständnis der vorliegenden Erfindung veranschaulicht mittels der Figuren und, so weit nötig, unter Berücksichtigung der oben bereitgestellten Beschreibung. Die weiteren Figuren zeigen:
Fig. 2 eine schematische Darstellung eines erfindungsgemäßen RF-Plasmareaktors zur Ausführung eines erfindungsgemäßen Herstel­ lungsverfahrens, wobei in einem bevorzugten Modus alle erfindungs­ gemäßen Gesichtspunkte der vorliegenden Erfindung kombiniert sind;
Fig. 3 in einer schematischen Darstellung eine bevorzugte Anordnung zur Gasverteilung zum Einlassen von Gas in die Verteilungskammer des erfindungsgemäßen Reaktorbehälters;
Fig. 4, 5 und 6 drei bevorzugte Möglichkeiten zur Herstellung von Gaszufüh­ rungsöffnungen und zum Kontrollieren ihrer Strömungswiderstände bei dem erfindungsgemäßen Reaktor.
In Fig. 2 ist schematisch ein RF-Plasmareaktor in einem bevorzugten Modus dargestellt. Darin sind alle vier Sätze von Merkmalen, die jeweils für sich die erfindungsgemäß gestellte Aufgabe lösen, kombiniert und womit, wie bereits gesagt, jeder dieser Sätze von Merkmalen als erfinderisch angesehen wird.
Der RF-Reaktor 30 umfaßt eine obere Wand 31, untere Wand 32 und Seitenwand 34. Eine erste Elektrodenoberfläche 38 wird durch die Oberfläche einer metallischen Platte 40 gebil­ det und zeigt in Richtung auf den Plasmaentladungsraum 36. Bei dieser Ausführungsform wird die zweite Elektrode zur Plasmaentladung speziell durch die metallische obere Ober­ fläche 42 der unteren Wand 32 gebildet.
In der Platte 40 ist eine Vielzahl von Öffnungen 44 vorgesehen, die in Richtung des Plas­ maentladungsraums 35 und von einer Verteilungskammer 46 her zeigen. Eine Anordnung zum Gaseinlaß 48 speist Gas in die Verteilungskammer ein, von wo es an den Plasmaentla­ dungsraum 36 durch die Öffnung 44 befördert wird.
1. Bevorzugte Gestaltung der Anordnung zum Gaseinlaß 48 vom Äußeren des Reaktors bis zur Verteilungskammer 46
Die Anordnung zum Gaseinlaß 48 umfaßt eine Vielzahl von Einlaßöffnungen 50, die in einem vorbestimmten gewünschten Muster verteilt sind, vorzugsweise die meisten davon gleichmäßig längs der Oberfläche der oberen Wand 31, die für die Vorratskammer 46 eine Rückwand definiert. In einer baumartigen Struktur sind die Öffnungen in Strömungsverbin­ dung mit einer zentralen Gaseinlaßleitung 52, wobei in jedem "Zweig" 54, 56, 58 des Röh­ renbaums der Strömungswiderstand so gewählt ist, daß der Strömungswiderstand zwischen jeder der Öffnungen 50 und dem Gaseinlaßrohr 52 einen vorbestimmten Wert hat und sie in einem bevorzugten Modus und wenigstens für einen wesentlichen Teil der Öffnungen 50 gleiche Werte aufweisen. Hinsichtlich des Aufbauens eines solchen baumartigen Systems von Verteilungsleistungen von einem einzelnen Gaseinlaß zu einer Vielzahl von Gasausläs­ sen für sich verweisen wir auf US 5 622 606 desselben Anmelders wie dem der vorliegen­ den Anmeldung.
Mit solch einem kaskadischen oder baumartigen Einspeisen in eine Vielzahl von Gaseinlaß­ öffnungen können Veränderungen der Zusammensetzung des dadurch geführten Prozeßga­ ses sehr rasch realisiert werden. Das Prinzip des Kaskadierens des Einspeisens an die Viel­ zahl von Öffnungen 50 basiert auf der Aufspaltung der Gasströmung in eine gegebene An­ zahl von vorbestimmten, vorzugsweise gleichen Unterströmungen. Der Aufspaltungsprozeß wird mehrmals wiederholt, gemäß den Verzweigungsebenen 54 bis 58 in Fig. 2, um die An­ fangsströmung in eine große Anzahl von Unterströmungen aufzuteilen. Die Baumstruktur ist entsprechend dem gewünschten Muster von Öffnungen 50 zusammengesetzt, wobei letzteres vorzugsweise an die Form des der Plasmaentladung ausgesetzten Werkstücks an­ gepaßt ist, sei es rechteckig, rund und so weiter. In Fig. 3 ist in perspektivischer Ansicht ein Beispiel einer solchen Baumstruktur aus Verbindungsleitungen zwischen zum Beispiel einer Einlaßleitung 52 und Öffnung 50 gezeigt.
Durch die Tatsache, daß der Gaseinlaß in die Verteilungskammer 46 durch eine Vielzahl von Gaseinlaßöffnungen realisiert wird, die längs der Oberfläche der Wand oder Rückwand 31 verteilt sind, die die Kammer 46 begrenzt, wird eine deutliche Verbesserung der Gasströmungskontrolle durch die Platte 40 in den Plasmaentladungsraum 36 erreicht, wel­ che einen deutlich verbesserten Grad an Freiheit bei der Auswahl des Volumens und beson­ ders der Höhe X der Verteilungskammer erlaubt. Wie in Fig. 2 gezeigt und wie in einem bevorzugten Modus ist die Kaskade von Zuführungsleitungen in der oberen Wand 31 des erfindungsgemäßen Reaktors integriert und fungiert bei dieser Ausführungsform als Rück­ wand der Kammer 46.
2. Elektrisches Feld
Wie in Fig. 2 gezeigt ist die Platte 40 elektrisch isoliert von der Rückwand 31 der Vertei­ lungskammer 46 befestigt, wobei die Rückwand vorzugsweise gleich auch die obere Wand des Reaktionsbehälters 30 ist. Dies wird zum Beispiel realisiert mittels isolierender Ab­ standshalter oder eines isolierenden Abstandshalterrandes 60. Deshalb, und wie schematisch bei 62 gezeigt, wird elektrische Energie auf die metallische Platte 40 und die eine Elektro­ denoberfläche 38 über eine Gleichstromquelle gegeben, welche durch die Abstandshalter 60 oder, wie gezeigt, durch die seitliche Wand 34 des Reaktorbehälters 30 und so weiter reali­ siert wird, wobei ein großer Grad an Freiheit gelassen wird, wie die elektrische Energie zur Plasmaentladung zu führen ist.
In Fig. 2 ist die RF-Einspeisung dargestellt, die seitlich anzuordnen ist. Insbesondere für größere Anlagen ist eine zentrale Zuführung bevorzugt. Dadurch wird eine RF-Einspeisung aus einer oder mehreren Speiseleitungen durch die obere Wand 31, Verteilungskammer 46 an die metallische Platte 40 geführt.
Die Rückwand 31 der Verteilungskammer 46 kann dadurch auf jedem gewünschten elektri­ schen Potential betrieben werden, unabhängig vom Potential, das an der Elektrodenoberflä­ che 38 anliegt. Damit wird es möglich, bei einer bevorzugten Ausführungsform die Rück­ wand 31 der Verteilungskammer 46 auf einem Referenz- und insbesondere auf Erdpotential zu betreiben und dadurch die Rückwand 31 direkt durch eine Wand des Plasmareaktorbe­ hälters zu realisieren. Dies ist äußerst vorteilhaft, wenn man berücksichtigt, daß diese Wand die kaskadenförmige Gaszuführungsstruktur zu den Einlaßöffnungen beinhaltet, deren ge­ samtes Strömungszerteilungssystem dann auf Erdpotential liegt, und damit auf den gleichen Potential wie das Zuführungsrohr 52, welches das Gas von außen dem Reaktorbehälter 30 zuführt. Die begrenzenden Wände der Verteilungskammer 46 liegen nicht auf einem einzi­ gen elektrischen Potential, sondern auf verschiedenen Potentialen, wie auch auf der gesam­ ten Potentialdifferenz zur Versorgung der Plasmaentladung. Weil es speziell wegen der verteilten Gaseinlaßöffnungen 50 möglich ist, den in der Verteilungskammer vorherrschen­ den Gasdruck deutlich zu reduzieren, wird das Auftreten einer Plasmafehlzündung in der Verteilungskammer vermieden, sogar wenn ihre Höhe X erreicht wird.
3. Gitter
Wie in Fig. 2 gezeigt und als bevorzugte Ausführungsform des Reaktorbehälters gemäß der vorliegenden Erfindung werden ein, zwei oder mehr Gitterglieder 64 in die und entlang der Verteilungskammer 46 im wesentlichen parallel zur Platte 40 montiert. Diese Gitterglieder werden elektrisch isoliert sowohl von der Rückwand 31 als auch von der Platte 40 montiert. Sie bestehen aus elektrisch leitendem oder dielektrischem Material. Wenn sie elektrisch leitend aufgebaut sind, werden sie auf einem schwebenden elektrischen Potential betrieben.
Dies wird durch geeignete Isolationsbefestigungen (nicht gezeigt) für elektrisch leitende Gitterglieder 64 realisiert.
Diese Gitter haben zwei vorteilhafte Wirkungen:
Einerseits und ungeachtet ihrer elektrischen Schwebung oder isolierten Befestigung und ihrer elektrischen Leitfähigkeit können sie deutlich und zusätzlich die Homogenisierung des Gasdrucks entlang der Verteilungskammerseite der Platte 40 und somit die Homogenität der Gaszuführungsverteilung in dem Plasmaentladungsraum verbessern.
Genauer ermöglicht es die Anwesenheit der elektrisch schwebenden Gitter, den Gesamtab­ stand X der Kammer 46 zu erhöhen, ohne die Zündung eines Plasmas in dem Raum zu ris­ kieren. Dadurch wird die gesamte seitliche Gasleitung und damit die seitliche Gasdiffusion vergrößert.
Ferner wird die Realisierung der elektrisch schwebenden Gitter in Form von eher elektrisch schwebenden Platten mit nur einer begrenzten Menge an hier hindurch perforierten Lö­ chern, in der Tat die des gesamten Kaskadenverteilers, wie in Fig. 2 in Platte 31 gezeigt, innerhalb und durch Kammer 46 fortgeführt, was zu einer systematischen und wohl­ kontrollierten Ausbreitung des Gases beiträgt.
Auf der anderen Seite und unter elektrischen Überlegungen - bestehend aus leitendem Mate­ rial - nehmen sie elektrische Potentiale zwischen den Potentialen der Platte 40 und der Rückwand 31 an. Besonders wenn bei der Ausführungsform mit beidseitig elektrisch iso­ lierter Platte 40 und Rückwand 31 die Potentialdifferenz zur Plasmaerzeugung über der Verteilungskammer 46 angelegt wird, ergeben sich damit in den sich ergebenden Unter­ kammern 46a, 46b und 46c Bruchteile dieser Potentialdifferenz.
Da bei einem vorgegebenen Gasdruck und bei einer gegebenen elektrischen Potentialdiffe­ renz zwischen elektrisch leitenden Wänden, die solch einen Raum begrenzen, die Tendenz einer Plasmafehlzündung um so größer wird, je größer der Abstand zwischen den elektrisch leitenden Wänden, die als Nebenelektroden fungieren, gemacht wird, kann jede Unterkam­ mer 46a, b, c, die bei reduzierter, d. h. einem Bruchteil der gesamten Potentialdifferenz be­ trieben wird, mit einer vergrößerten Höhe bemessen werden, und so kann der gesamte Ent­ ladungsraum 46 in seiner Höhe X vergrößert werden, ohne die Gefahr einer Plasmafehlzün­ dung einzugehen.
Es ist zu berücksichtigen, zusammenfassend, daß hinsichtlich der Beabstandung der elek­ trisch leitenden Teile an der Verteilungskammer, welche das Gitterglied und/oder die Platte und die Rückwand sind, zwei widersprüchliche Überlegungen vorherrschen. Hinsichtlich einer Vermeidung des Bestehens von Plasmenfehlentladungen sollte die Beabstandung X bei gegebenem Druck und gegebener elektrischer Potentialdifferenz dazwischen so klein wie möglich sein, während vom Standpunkt der Druckhomogenisierung längs der Gaszu­ führungsöffnungen 50 eine solche Beabstandung X so groß wie möglich bemessen sein sollte. Die erfindungsgemäß vorgeschlagenen Merkmale
  • -  verteilter Gaseinlaß in die Verteilungskammer durch die Öffnungen 50
  • -  elektrisch isolierte Befestigung von beidseitig direkt gegenüberliegenden elek­ trisch leitenden Oberflächen aus Gittern, Rückwand und Platte
führen zu einer hoch konstruktiven Flexibilität beim Bemessen der Ausdehnung der Vertei­ lungskammer, wobei hierdurch besonders der Homogenisierungseffekt dieser Kammer ver­ stärkt wird, ohne gleichzeitig eine gesteigerte Tendenz zur Plasmafehlzündung zu verursa­ chen.
4. Einleiten des Randgases in den Plasmaentladungsraum
Wie man aus Fig. 2 erkennen kann und unter diesem Gesichtspunkt werden zwei erfinderi­ sche Maßnahmen vorgeschlagen. Hinsichtlich der Verteilung der Gaszuführungsöffnungen 44 von der Platte 40 zum Plasmaentladungsraum 36 wird die Dichte solcher Öffnungen 44, die pro Oberflächenbereich vorgesehen sind, erhöht, wenn sie sich entlang der Platte 40 in Richtung ihres Randes P ausbreiten. Eine besonders vorteilhafte Technik, wie solche Öff­ nungen realisiert werden können und wie ihre Dichte pro Oberflächenbereich zu variieren ist, wird später mit Hilfe der Fig. 4 bis 6 diskutiert. Anstelle von oder zusätzlich zum Erhöhen der Dichte der Gaszuführungsöffnungen 44 pro Oberflächenbereich, wenn sie sich zum Rand P der Platte 40 hin ausbreiten, ist eine zusätzliche Öffnungsanordnung 66 vorn Entladungsraum 46 zum Plasmaentladungsraum 36 wie folgt vorgesehen:
Die Rückwand 31, die dem Entladungsraum 46 auf einer ihrer Seiten begrenzt, ist mit einem Randabschnitt 68 versehen - welcher natürlich ein separates Teil sein kann - und welcher in einem bevorzugten Modus durch die seitliche Wand 34 des Reaktorbehälters realisiert wer­ den kann. Dieser Randabschnitt erstreckt sich in Richtung des Randes P der Platte 40 dar­ über hinaus und in einem Abstand davon. Dadurch wird um die gesamte Platte 40 ein Strö­ mungskanal 70 gebildet.
Die Öffnungsanordnung 66 erstreckt sich im wesentlichen parallel zur Platte 40 und im we­ sentlichen senkrecht zum Randabschnitt 68 und errichtet eine Strömungsverbindung zwi­ schen der Verteilungskammer 46 und über den Kanal 70 der Plasmaentladungskammer 36. Dadurch wird aufgrund der engen Beabstandung des Kanals 70 darin keine Plasmafehlent­ ladung gezündet, sogar, wenn eine hohe elektrische Potentialdifferenz zwischen Platte 40 und Randabschnitt 68 existiert. Durch die eine und/oder die andere dieser Maßnahmen (ge­ steigerte Dichte der Öffnung 44 in Richtung des Plattenrandes und/oder seitliche Gaszufüh­ rung rund um den Plattenrand) wird die Verteilung des Gasverbrauchs im Plasmaentla­ dungsraum 36, welche an seinem Rand größer ist, kompensiert, was zu einem homogeni­ sierten Plasmaentladungseffekt auf einer aufgestellten Substratoberfäche, entsprechend Fig. 2, zum Beispiel längs der Elektrodenoberfläche 42 führt.
Man gewinnt dadurch den Vorteil, daß der Plasmaentladungsraum 36 bis zu seinem äußer­ sten Randbereich zur homogenen Bearbeitung von Werkstückoberflächen ausgenutzt wer­ den kann, was zu einer gesteigerten Effizienz des Reaktors führt.
5. Vorteilhafte Realisierung der Platte 40 und der Verteilung der Öffnungen hierdurch
Wie in Fig. 4 gezeigt, wird eine Äußerst vorteilhafte Realisierung der Gaszuführungsöffnun­ gen 44 durch Platte 40 durch Herausarbeiten von Löchern mit flachem Boden 72 in der Flä­ che der Platte 40 erreicht, die dem Plasmaentladungsraum 36 gegenüberliegt. Solche Löcher mit flachem Boden 72 in der Fläche der Platte 40, die dem Plasmaentladungsraum 36 ge­ genüberliegt. Solche Löcher mit flachem Boden 72 können - von oben gesehen - rund, rechteckig und so weiter, durchgängig oder nicht beschränkt nutenförmig sein. In dem Bo­ den 74 solcher Löcher 72 sind Öffnungen zum Plasmaentladungsraum 36 mit kleinem Durchmesser eingearbeitet. Damit muß zum Bearbeiten der Öffnungen mit kleinem Durch­ messer 44 nur ein kleiner Teil der Gesamtdicke der Platte 40 herausgearbeitet werden.
Dabei muß berücksichtigt werden, daß die Platte 40 normalerweise nicht so dick sein muß. Dieses liegt an der mechanischen Stabilität derart, daß solch eine Platte exakt flach sein muß und dieses trotz der Tatsache, daß sie von lediglich wenigen Befestigungspunkten herab­ hängt und vielen verändernden Wärmezyklen ausgesetzt ist. Zudem sollte die Wärmeleitung entlang einer solchen Platte so sein, daß eine gleichmäßige Temperaturverteilung bei Ver­ änderung der Temperatur rasch erreicht wird.
Dadurch und nach dem Konzept solcher Ausnehmungen, d. h. Nuten oder Bohrungen mit großem Durchmesser wie bei 72, kann der Strömungswiderstand von der Verteilungskam­ mer 46 zur Plasmaentladungskammer 36 variiert werden und genau an den Öffnungen 44 mittels Einschüben 78 in solche Ausnehmungen, wie bei Fig. 5 gezeigt, eingestellt werden. Aufgrund des Konzepts der Ausnehmungen, wie von 72 in Fig. 4 und wie in Fig. 6 gezeigt, stellt die Erhöhung der Öffnungsdichte längs der Platte 40 bis hin zu einer sehr hohen Dichte von Öffnungen mit möglicherweise noch weiter reduziertem Durchmesser 44a, be­ sonders in Richtung des Randes P von Platte 40, kein Herstellungsproblem dar.
Zusätzlich wird mittels der Einschübe 73 das Risiko einer Plasmazündung auf der Rückseite der Öffnungen 44 reduziert, welche auf einer Seite der voranschreitenden Plasmaentladung ausgesetzt sind.
Es versteht sich von selbst, daß mittels der Einschübe, wie sie in Fig. 15 gezeigt sind, und ihrer entsprechenden Form, einer möglichen asymmetrischen Form, es sogar möglich ist, den Strömungswiderstand ausgewählter Öffnungen 44, die an der Ausnehmung 72 vorgese­ hen sind, genau anzupassen, um zum Beispiel jeden Inkomogenitätseffekt beim Umgang mit dem Plasma zu kompensieren.
Schließlich muß festgestellt werden, daß wenn wir den Reaktor gemäß der vorliegenden Erfindung mit dem vorrangigen Ziel des Erreichens einer Homogenität der Gasverteilung längs des gesamten Plasmaentladungsraums beschrieben haben, klar sein soll, daß Homoge­ nität nicht unbedingt erreicht werden soll, sondern allgemeiner eine wohl-kontrollierte und vorbestimmte Gasverteilung.
Ferner offenbart die vorliegende Beschreibung dem Fachmann klar die Herstellungsverfah­ ren für entsprechende Werkstücke, wobei die Gasströmung zu einer Plasmaentladung und/oder die elektrischen Bedingungen erfindungsgemäß gehandhabt wurden, so ausge­ wählt, wie es anhand der Hardwarebeschreibung des Reaktors beschrieben wurde.
Neben der Erfindung, wie in den anliegenden Ansprüchen angegeben, werden die folgenden Lehren für sich jeweils als erfinderisch angesehen:
  • A) Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elektroden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordneten me­ tallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum definieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metalli­ schen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch in Richtung des Entladungsraums und von einer sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungskammer her aufweist, wobei die Vertei­ lungskammer gegenüber und beabstandet von der Platte eine Wand mit einer Ga­ seinlaßanordnung und eine Anordnung zur Zuführung von elektrischer Energie auf­ weist, wobei die Wand und die Platte gegeneinander elektrisch isoliert sind.
  • B) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum de­ finieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist; wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, wobei wenigstens ein Gitterglied innerhalb der Verteilungskammer beabstandet von und entlang der Platte und der Wand angeordnet ist, wobei das wenigstens eine Gitter­ glied von der Wand und der Platte elektrisch isoliert ist.
  • C) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum de­ finieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, wobei die Wand einen seitlichen Randabschnitt aufweist, der sich in Richtung der Platte längs des Randes der Platte und darüber hinaus erstreckt und hiervon beabstandet ist, wobei die Kammer durch eine Öffnungsanordnung mit dem Zwischenraum zwi­ schen dem seitlichen Randabschnitt und dem Rand der Platte verbunden ist, wobei die Öffnungsanordnung sich im wesentlichen parallel zur Platte und im wesentlichen senkrecht zum Randabschnitt erstreckt.
  • D) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum de­ finieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffflungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, mit ei­ ner Vielzahl von Gaseinlaßöffnungen, die entlang der Wand verteilt sind und mit wenigstens einer Gaszuführungsleitung zum Reaktor verbunden sind, und mit einer Anordnung zum Zuführen elektrischer Energie an die zwei metallischen Oberflä­ chen, wobei die Wand und die Platte gegeneinander elektrisch isoliert sind.
  • E) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegenden angeord­ neten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum definieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist; wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, mit ei­ ner Vielzahl von Gaseinlaßöffnungen, die entlang der Wand verteilt sind und mit wenigstens einer Gaszuführungsleitung zum Reaktor verbunden sind, wobei wenig­ stens ein Gitterglied innerhalb der Verteilungskammer entlang und beabstandet von der Platte und der Wand angeordnet ist, wobei das Gitterglied von der Wand und der Platte elektrisch isoliert ist.
  • F) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum de­ finieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, mit ei­ ner Vielzahl von Gaseinlaßöffnungen, die längs der Wand verteilt und mit wenig­ stens einer Gaszuführungsleitung zum Reaktor verbunden sind, wobei die Wand wie der einen seitlichen Randabschnitt um faßt, der sich in Richtung des Randes der Platte in einem Abstand hiervon und darüber hinaus erstreckt, wobei die Vertei­ lungskammer über eine Öffnungsanordnung mit den Zwischenraum zwischen dem seitlichen Rand und dem Rand der Platte verbunden ist, wobei die Öffnungseinrich­ tung sich im wesentlichen parallel zur Platte und in versetzter senkrecht zum Randabschnitt erstreckt.
  • G) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum de­ finieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist mit einer Vorrichtung zum Gaseinlaß und einer An­ ordnung zur Zuführung elektrischer Energie an die metallischen Oberflächen; wobei die Wand und die Platte gegenüber elektrisch isoliert sind und wenigstens eine Git­ terwand innerhalb der Verteilungskammer entlang und beabstandet von der Platte und der Wand das Gitterglied von der Wand und der Platte elektrisch isoliert ist.
  • H) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum de­ finieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer eine Wand gegenüber der Platte aufweist, mit einer Gaseinlaßanordnung und einer Anordnung zur Zuführung elektri­ scher Energie an die zwei metallischen Oberflächen, wobei die Wand und die Platte gegeneinander elektrisch isoliert sind, und wobei die Wand einen seitlichen Randab­ schnitt umfaßt, der sich in Richtung des Randes der Platte in einem Abstand hiervon und darüber hinaus erstreckt, wobei die Verteilungskammer über eine Öffnungsan­ ordnung mit dem Zwischenraum zwischen dem seitlichen Randabschnitt und dem Rand der Platte verbunden ist, wobei die Öffnungseinrichtung sich im wesentlichen parallel zur Platte und in versetzter senkrecht zum Randabschnitt erstreckt.
  • I) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und beidseitig gegenüberliegend angeordneten metallischen Oberflächen, die zwischen ihnen einen Plasmaentla­ dungsraum definieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszufüh­ rungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von einer sich längs der Platte gegenüber dem Entladungs­ raum erstreckenden Verteilungskammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, wobei wenigstens ein Gitterglied innerhalb der Verteilungs­ kammer längs und beabstandet von der Platte und der Wand angeordnet ist, wobei das Gitterglied von der Wand und der Platte elektrisch isoliert ist und die Wand ei­ nen seitlichen Randabschnitt aufweist, der sich in Richtung des Randes der Platte in einem Abstand hiervon und darüber hinaus erstreckt, wobei die Verteilungskammer über eine Öffnungsanordnung mit den Zwischenraum zwischen dem seitlichen Randabschnitt und dem Rand der Platte verbunden ist, wobei die Öffnungseinrich­ tung sich im wesentlichen parallel zur Platte und in versetzter senkrecht zum Randabschnitt erstreckt.
  • J) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum de­ finieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, mit ei­ ner Vielzahl von Gaseinlaßöffnungen, die längs der Wand verteilt und mit wenig­ stens einer Gaszuführungsleitung zum Reaktor verbunden sind;
    eine Anordnung zur Zuführung elektrischer Energie an die zwei metallische Ober­ flächen, wobei die Wand und die Platte elektrisch voneinander isoliert sind und fer­ ner umfassend wenigstens ein Gitterglied, welches innerhalb der Verteilungskammer längs und beabstandet von der Platte und der Wand angeordnet ist, wobei das Gitter­ glied von der Wand und der Platte elektrisch isoliert ist.
  • K) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum defi­ nieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, mit ei­ ner Vielzahl von Gaseinlaßöffnungen, die längs der Wand verteilt und mit wenig­ stens einer Gaszuführungsleitung zum Reaktor verbunden sind;
    eine Anordnung zur Zuführung elektrischer Energie an die zwei metallische Ober­ flächen, wobei die Wand und die Platte elektrisch voneinander isoliert sind, wobei die Wand eine seitlichen Randabschnitt umfaßt, der sich in Richtung des Randes der Platte in einem Abstand hiervon und darüber hinaus erstreckt, wobei die Vertei­ lungskammer über eine Öffnungsanordnung mit dem Zwischenraum zwischen dem seitlichen Randabschnitt und dem Rand der Platte verbunden ist, wobei die Öff­ nungseinrichtung sich im wesentlichen parallel zur Platte und in versetzter senkrecht zum Randabschnitt erstreckt.
  • L) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum defi­ nieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, mit ei­ ner Vielzahl von Gaseinlaßöffnungen, die längs der Wand verteilt und mit wenig­ stens einer Gaszuführungsleitung zum Reaktor verbunden sind;
    wenigstens ein Gitterglied, welches innerhalb der Verteilungskammer längs und be­ abstandet von der Platte und der Wand angeordnet ist, wobei das Gitterglied von der Wand und der Platte elektrisch isoliert ist und die Wand ferner einen seitlichen Randabschnitt umfaßt, der sich in Richtung des Randes der Platte in einem Abstand hiervon und darüber hinaus erstreckt, wobei die Verteilungskammer über eine Öff­ nungsanordnung mit dem Zwischenraum zwischen dem seitlichen Randabschnitt und dem Rand der Platte verbunden ist, wobei die Öffnungseinrichtung sich im we­ sentlichen parallel zur Platte und in versetzter senkrecht zum Randabschnitt er­ streckt.
  • M) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum defi­ nieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt;
    eine Anordnung zur Zuführung elektrischer Energie an die zwei metallische Platten, wobei die Wand und die Platte elektrisch gegeneinander isoliert sind, weiter aufwei­ send:
    wenigstens ein Gitterglied, welches innerhalb der Verteilungskammer längs und be­ abstandet von der Platte und der Wand angeordnet ist, wobei das Gitterglied von der Wand und der Platte elektrisch isoliert ist und die Wand ferner einen seitlichen Randabschnitt umfaßt, der sich in Richtung des Randes der Platte in einem Abstand hiervon und darüber hinaus erstreckt, wobei die Verteilungskammer über eine Öff­ nungsanordnung mit dem Zwischenraum zwischen dem seitlichen Randabschnitt und dem Rand der Platte verbunden ist, wobei die Öffnungseinrichtung sich im we­ sentlichen parallel zur Platte und in versetzter senkrecht zum Randabschnitt er­ streckt.
  • N) Ein Plasmareaktor, umfassend einen Reaktorbehälter und darin ein Paar von Elek­ troden, bestehend aus voneinander beabstandeten und gegenüberliegend angeordne­ ten metallischen Oberflächen, die zwischen ihnen einen Plasmaentladungsraum defi­ nieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallischen Platte ist, welche eine Vielzahl von Gaszuführungsöffnungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungsraums und von ei­ ner sich längs der Platte gegenüber dem Entladungsraum erstreckenden Verteilungs­ kammer her aufweist, wobei die Verteilungskammer gegenüber und beabstandet von der Platte eine Wand aufweist und eine Vorrichtung zum Gaseinlaß umfaßt, mit ei­ ner Vielzahl von Gaseinlaßöffnungen, die längs der Wand verteilt und mit wenig­ stens einer Gaszuführungsleitung zum Reaktor verbunden sind;
    eine Anordnung zur Zuführung elektrischer Energie an die zwei metallische Ober­ flächen, wobei die Wand und die Platte elektrisch voneinander isoliert sind;
    wenigstens ein Gitterglied, welches innerhalb der Verteilungskammer längs und be­ abstandet von der Platte und der Wand angeordnet ist, wobei das Gitterglied von der Wand und der Platte elektrisch isoliert ist und die Wand ferner einen seitlichen Randabschnitt umfaßt, der sich in Richtung des Randes der Platte in einem Abstand hiervon und darüber hinaus erstreckt, wobei die Verteilungskammer über eine Öff­ nungsanordnung mit dem Zwischenraum zwischen dem seitlichen Randabschnitt liegt und dem Rand der Platte verbunden ist, wobei die Öffnungseinrichtung sich im wesentlichen parallel zur Platte und in versetzter senkrecht zum Randabschnitt er­ streckt.
  • O) Ein Plasmareaktor nach einer der Lehren I bis XIV, wobei die Gaseinlaßvorrichtung umfaßt: eine Vielzahl von Gaseinlaßöffnungen, die längs der Wand und auf die Platte hingerichtet verteilt sind, wobei wenigstens einige der Gaseinlaßöffnungen mit einer gemeinsamen Zuführungsleitung verbunden sind, wobei der Strömungswider­ stand des Gases zwischen der Gaszuführungsleitung und wenigstens einem wesentli­ chen Teil der damit verbundenen Einlaßöffnungen wenigstens im wesentlichen gleich ist.
  • P) Der Reaktor nach einer der Lehren I bis XV, wobei wenigstens einige der Gasein­ laßöffnungen in der Platte, die näher am Rand der Platte angeordnet sind, größere Durchmesser haben als die Gaseinlaßöffnungen, die sich in der Platte weiter weg vom Rand der Platte befinden.
  • Q) Der Reaktor nach einer der Lehren I bis XVI, wobei wenigstens ein Teil der Ga­ seinlaßöffnungen durch die Platte mit entfernbaren Einschüben zur Erhöhung des Strömungswiderstandes zusammenwirken.

Claims (4)

1. Ein RF-Plasmareaktor, umfassend:
einen Reaktorbehälter und darin
ein Paar von Elektroden, bestehend aus von einander beabstandeten und gegenüber­ liegend angeordneten metallischen Oberflächen, die zwischen ihnen einen Plas­ maentladungsraum definieren, wobei wenigstens eine der metallischen Oberflächen die Oberfläche einer metallische Platte ist, die eine Vielzahl von Gaszuführungsöff­ nungen hierdurch und durch die metallische Oberfläche in Richtung des Entladungs­ raums und von einer Verteilungskammer her aufweist, welche sich längs der Platte gegenüber dem Entladungsraum erstreckt;
wobei die Verteilungskammer eine Wand gegenüber und beabstandet von der Platte aufweist und eine Anordnung zum Gaseinlaß mit einer Vielzahl von Gaseinlaßöff­ nungen umfaßt, die längs der Wand verteilt und mit wenigstens einer Gaszufüh­ rungsleitung zum Reaktor verbunden sind.
2. Plasmareaktor nach Anspruch 1, wobei die Anordnung zum Gaseinlaß eine Vielzahl von Gaseinlaßöffnungen aufweist, die entlang der Wand verteilt sind und in Rich­ tung auf die Platte gerichtet sind, wobei wenigstens einige der Gaseinlaßöffnungen mit einer gemeinsamen Gaszuführungsleitung verbunden sind und wobei der Gasströmungswiderstand der Gaszuführungsleitung und wenigstens einem vorherr­ schenden Teil der hiermit verbundenen Einlaßöffnungen wenigstens im wesentlichen gleich ist.
3. Plasmareaktor nach Anspruch 1 oder 2, wobei wenigstens einige der in der Platte und dichter zum Rand der Platte angeordneten Gaszuführungsöffnungen kleinere Durchmesser aufweisen als die Gaszuführungsöffnungen, die sich in der Platte wei­ ter vom Rand der Platte beabstandet befinden.
4. Plasmareaktor nach einem der Ansprüche 1 bis 3, wobei wenigstens ein Teil der Gaszuführungsöffnungen durch die Platte mit entfernbaren, den Strömungswider­ stand erhöhenden Einschüben zusammenwirken.
DE10119766A 2000-04-26 2001-04-23 RF Plasma Reaktor Expired - Fee Related DE10119766B4 (de)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE20122901U DE20122901U1 (de) 2000-04-26 2001-04-23 RF Plasma Reaktor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/559,408 US6502530B1 (en) 2000-04-26 2000-04-26 Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US559408 2000-04-26

Publications (2)

Publication Number Publication Date
DE10119766A1 true DE10119766A1 (de) 2001-11-08
DE10119766B4 DE10119766B4 (de) 2009-02-26

Family

ID=24233499

Family Applications (2)

Application Number Title Priority Date Filing Date
DE20122901U Expired - Lifetime DE20122901U1 (de) 2000-04-26 2001-04-23 RF Plasma Reaktor
DE10119766A Expired - Fee Related DE10119766B4 (de) 2000-04-26 2001-04-23 RF Plasma Reaktor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE20122901U Expired - Lifetime DE20122901U1 (de) 2000-04-26 2001-04-23 RF Plasma Reaktor

Country Status (7)

Country Link
US (3) US6502530B1 (de)
JP (2) JP4786818B2 (de)
KR (1) KR100746439B1 (de)
CN (2) CN1181709C (de)
DE (2) DE20122901U1 (de)
FR (1) FR2808224B1 (de)
TW (1) TW506232B (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006020291A1 (de) * 2006-04-27 2007-10-31 Ipt Ionen- Und Plasmatechnik Gmbh Plasmaquelle
EP1970467A1 (de) * 2007-03-14 2008-09-17 Applied Materials, Inc. Flutungskammer für Beschichtungsanlagen
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
DE112007003616B4 (de) * 2007-08-16 2013-11-07 Ulvac, Inc. Veraschungsvorrichtung

Families Citing this family (416)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US6986814B2 (en) * 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
JP4239520B2 (ja) * 2002-08-21 2009-03-18 ソニー株式会社 成膜装置およびその製造方法、並びにインジェクタ
JP4087674B2 (ja) * 2002-09-27 2008-05-21 株式会社ユーテック 半導体製造装置
JP3723794B2 (ja) * 2002-10-07 2005-12-07 積水化学工業株式会社 プラズマ表面処理装置の電極構造
KR20050103251A (ko) 2002-10-07 2005-10-27 세키스이가가쿠 고교가부시키가이샤 플라즈마 표면 처리 장치
US20040072056A1 (en) * 2002-10-10 2004-04-15 Whiton John H. Cascade fuel inlet manifold for fuel cells
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
CN101621181B (zh) * 2003-05-15 2012-09-12 夏普株式会社 离子发生元件、离子发生装置、电气设备
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
KR100973899B1 (ko) * 2003-07-01 2010-08-03 주식회사 포스코 크레인 주행중의 비상 급제동장치
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
CH706979B1 (de) * 2004-04-30 2014-03-31 Tel Solar Ag Verfahren zur Herstellung eines scheibenförmigen Werkstückes auf der Basis eines dielektrischen Substrates sowie Vakuumbehandlungsanlage hierfür.
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
DE102004039969A1 (de) * 2004-08-18 2006-02-23 Leybold Optics Gmbh Plasmaquellenvorrichtung, Anordnung mit einer Plasmaquellenvorrichtung sowie Abstrahleinheit für eine Plasmaquellenvorrichtung
KR100702831B1 (ko) * 2004-08-20 2007-04-03 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
TW200721269A (en) * 2005-07-29 2007-06-01 Aviza Tech Inc Deposition apparatus for semiconductor processing
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
KR100734775B1 (ko) 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
CN100355325C (zh) * 2005-09-30 2007-12-12 友达光电股份有限公司 用于等离子体蚀刻机器的气体分布电极
JP2009512221A (ja) * 2005-10-17 2009-03-19 オーツェー・エリコン・バルザース・アーゲー 大面積pecvd装置のためのリモートプラズマ源を使用したクリーニング手段
JP4683334B2 (ja) * 2006-03-31 2011-05-18 株式会社島津製作所 表面波励起プラズマ処理装置
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5063969B2 (ja) * 2006-09-29 2012-10-31 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US20080223294A1 (en) * 2007-03-14 2008-09-18 Applied Materials, Inc. Flooding Chamber For Coating Installations
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
EP2067877A1 (de) * 2007-11-23 2009-06-10 Applied Materials, Inc. Beschichtungsvorrichtung und Verfahren zum Herstellen einer Elektrodenanordnung
TW200923125A (en) * 2007-11-23 2009-06-01 Applied Materials Inc Coating device and method of producing an electrode assembly
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8097082B2 (en) 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US8518284B2 (en) * 2008-05-02 2013-08-27 Tel Solar Ag Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
CN101307437B (zh) * 2008-06-19 2010-12-01 东莞宏威数码机械有限公司 射频电极及薄膜制备装置
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
JP4540731B2 (ja) * 2008-09-29 2010-09-08 積水化学工業株式会社 表面処理用ノズル装置
TWI498053B (zh) 2008-12-23 2015-08-21 Ind Tech Res Inst 電漿激發模組
KR101508314B1 (ko) * 2008-12-29 2015-04-06 주식회사 케이씨텍 플라즈마 처리장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101559470B1 (ko) * 2009-06-04 2015-10-12 주성엔지니어링(주) 화학 기상 증착 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
CN101899652B (zh) * 2009-12-01 2012-05-02 东莞宏威数码机械有限公司 气体供应系统及方法
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US20130052369A1 (en) 2010-05-06 2013-02-28 Oerlikon Solar Ag, Truebbach Plasma reactor
JP5413305B2 (ja) * 2010-05-25 2014-02-12 信越半導体株式会社 エピタキシャル成長装置
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
JP5771372B2 (ja) * 2010-08-02 2015-08-26 株式会社アルバック プラズマ処理装置及び前処理方法
JP2012082445A (ja) * 2010-10-06 2012-04-26 Ulvac Japan Ltd 真空処理装置、蒸着装置、プラズマcvd装置及び有機蒸着方法
WO2012090715A1 (ja) * 2010-12-28 2012-07-05 三洋電機株式会社 プラズマ処理装置
TWI419351B (zh) * 2011-02-14 2013-12-11 Sunshine Pv Corp 化學浴沉積機台及分配支流結構
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102534570B (zh) * 2012-01-04 2014-02-19 北京印刷学院 一种等离子体增强化学气相沉积微晶硅薄膜的方法
CN103205719B (zh) * 2012-01-17 2015-09-09 上海北玻镀膜技术工业有限公司 气体通道模块及应用其的气体分配装置
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5862529B2 (ja) * 2012-09-25 2016-02-16 東京エレクトロン株式会社 基板処理装置及びガス供給装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
GB2510615A (en) * 2013-02-08 2014-08-13 Glyndwr University Gas blade injection system
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
KR101446632B1 (ko) * 2013-06-24 2014-10-06 피에스케이 주식회사 기판 처리 장치 및 방법
CN103712229A (zh) * 2013-12-19 2014-04-09 常熟市联诚光源电器配件有限公司 新型芯柱生产设备集中送风系统
CN103697557A (zh) * 2013-12-19 2014-04-02 常熟市联诚光源电器配件有限公司 芯柱生产设备集中送风系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6584786B2 (ja) * 2015-02-13 2019-10-02 株式会社日立ハイテクノロジーズ プラズマイオン源および荷電粒子ビーム装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
TWI723024B (zh) * 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017062087A1 (en) 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105555002A (zh) * 2016-02-01 2016-05-04 江苏微导纳米装备科技有限公司 一种动态平行板等离子体发生器
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) * 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR102525361B1 (ko) * 2016-04-27 2023-04-25 삼성디스플레이 주식회사 감압 건조 장치 및 이를 이용한 막 제조 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6628065B2 (ja) * 2016-09-05 2020-01-08 信越半導体株式会社 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント
CN109661715B (zh) * 2016-09-05 2023-07-28 信越半导体株式会社 气相生长装置及外延晶片的制造方法
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6988083B2 (ja) * 2016-12-21 2022-01-05 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
JP6597732B2 (ja) * 2017-07-24 2019-10-30 東京エレクトロン株式会社 ガス処理装置
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
WO2019083645A2 (en) * 2017-09-20 2019-05-02 Ih Ip Holdings Limited MODULAR REACTOR SYSTEM FOR EXOTHERMIC REACTIONS
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
CN109585032B (zh) * 2018-10-29 2021-02-02 大连民族大学 一种耐高温全钨面向等离子体反应器
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113795610A (zh) * 2019-04-26 2021-12-14 朗姆研究公司 在处理室中衬底的高温加热
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR102500928B1 (ko) * 2019-10-14 2023-02-16 램 리써치 코포레이션 듀얼 플레넘 프랙탈 샤워헤드
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11932941B1 (en) * 2021-12-29 2024-03-19 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
CN115156195A (zh) * 2022-06-10 2022-10-11 深圳泰德半导体装备有限公司 等离子清洗装置

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5946088B2 (ja) * 1980-08-20 1984-11-10 株式会社日立国際電気 気相反応装置
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
JPS5955343A (ja) * 1982-09-21 1984-03-30 Seiko Epson Corp プラズマcvd装置
US4550681A (en) * 1982-10-07 1985-11-05 Johannes Zimmer Applicator for uniformly distributing a flowable material over a receiving surface
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPH01154296A (ja) * 1987-12-10 1989-06-16 Fujitsu Ltd 文字切出方法
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01168023A (ja) * 1987-12-23 1989-07-03 Sharp Corp 半導体製造装置
JPH0261078A (ja) * 1988-08-24 1990-03-01 Nec Kyushu Ltd 平行平板型プラズマエッチング装置
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
DE4029270C1 (de) * 1990-09-14 1992-04-09 Balzers Ag, Balzers, Li
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP3029494B2 (ja) * 1991-10-31 2000-04-04 東京エレクトロン株式会社 プラズマ装置
DE69301502T2 (de) * 1992-06-09 1996-09-12 Philips Electronics Nv Verfahren zur Herstellung eines Halbleiterbauelementes durch CVD
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH0661157A (ja) * 1992-08-12 1994-03-04 Sharp Corp 半導体製造装置
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3086362B2 (ja) * 1993-05-19 2000-09-11 東京エレクトロン株式会社 プラズマ処理装置
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3342118B2 (ja) * 1993-06-29 2002-11-05 東京エレクトロン株式会社 処理装置
US5415753A (en) * 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
JP2702430B2 (ja) * 1995-01-27 1998-01-21 日本電気株式会社 半導体装置の製造方法
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP3113796B2 (ja) 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer Johannes Strömungsteilungs- und -umformungskörper
JPH09129612A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
EP0854210B1 (de) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Aufdampfungsvorrichtung zur Herstellung von Dünnfilmen
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US5893985A (en) * 1997-03-14 1999-04-13 The Lincoln Electric Company Plasma arc torch
USRE40046E1 (en) * 1997-04-11 2008-02-12 Tokyo Electron Limited Processing system
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH118225A (ja) * 1997-06-17 1999-01-12 Nkk Corp 平行平板電極型プラズマ処理装置
JPH1154296A (ja) 1997-08-05 1999-02-26 Sony Corp プラズマ発生装置およびプラズマ装置
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JPH11152568A (ja) * 1997-11-20 1999-06-08 Citizen Watch Co Ltd 円筒状部材の内周面への硬質カーボン膜形成方法
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JPH11181572A (ja) 1997-12-22 1999-07-06 Kokusai Electric Co Ltd プラズマcvd装置
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
WO1999048138A1 (en) * 1998-03-18 1999-09-23 Applied Materials, Inc. Large area uniform laminar gas flow dispenser
JPH11274087A (ja) * 1998-03-25 1999-10-08 Toshiba Corp シャワープレート
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6132805A (en) * 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US7632379B2 (en) * 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006020291A1 (de) * 2006-04-27 2007-10-31 Ipt Ionen- Und Plasmatechnik Gmbh Plasmaquelle
EP1970467A1 (de) * 2007-03-14 2008-09-17 Applied Materials, Inc. Flutungskammer für Beschichtungsanlagen
DE112007003616B4 (de) * 2007-08-16 2013-11-07 Ulvac, Inc. Veraschungsvorrichtung
US9059105B2 (en) 2007-08-16 2015-06-16 Ulvac, Inc. Ashing apparatus
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US9478384B2 (en) 2010-07-15 2016-10-25 Forschungszentrum Juelich Gmbh Electrode for producing a plasma, plasma chamber having said electrode, and method for analyzing or processing a layer or the plasma in situ

Also Published As

Publication number Publication date
DE20122901U1 (de) 2009-08-27
JP4786818B2 (ja) 2011-10-05
KR20010098908A (ko) 2001-11-08
CN1330507A (zh) 2002-01-09
DE10119766B4 (de) 2009-02-26
US7306829B2 (en) 2007-12-11
US6502530B1 (en) 2003-01-07
CN1330219C (zh) 2007-08-01
JP2010067615A (ja) 2010-03-25
CN1607889A (zh) 2005-04-20
US9045828B2 (en) 2015-06-02
KR100746439B1 (ko) 2007-08-03
US20080093341A1 (en) 2008-04-24
JP5271874B2 (ja) 2013-08-21
US20030070761A1 (en) 2003-04-17
FR2808224A1 (fr) 2001-11-02
FR2808224B1 (fr) 2005-01-07
JP2002075692A (ja) 2002-03-15
CN1181709C (zh) 2004-12-22
TW506232B (en) 2002-10-11

Similar Documents

Publication Publication Date Title
DE10119766A1 (de) RF Plasma Reaktor
EP0839928B1 (de) Remote-Plasma-CVD-Verfahren
EP0235770B1 (de) Vorrichtung zur Plasmabehandlung von Substraten in einer durch Hochfrequenz angeregten Plasmaentladung
DE2026622C2 (de) Koronareaktorkern zur Ozonerzeugung
DE112006002151T5 (de) Plasmabearbeitungsgerät
DE10060002A1 (de) Vorrichtung zur Oberflächenbehandlung
EP2053649A2 (de) Vakuum-Durchlaufanlage zur Prozessierung von Substraten
DE1589829A1 (de) Niederspannungs-Elektronenstrahl-Geraet
DE102008028542A1 (de) Verfahren und Vorrichtung zum Abscheiden einer Schicht auf einem Substrat mittels einer plasmagestützten chemischen Reaktion
EP2915901B1 (de) Vorrichtung zur Plasmaprozessierung mit Prozessgaszirkulation in multiplen Plasmen
DE19652454C2 (de) Verfahren und Vorrichtung zur Außenbeschichtung von Lampen
DE102004029466A1 (de) Medieninjektor
DE19727857C1 (de) Plasmarektor mit Prallströmung zur Oberflächenbehandlung
WO2019233750A1 (de) Lineare mikrowellen-plasmaquelle mit getrennten plasmaräumen
DE69907687T2 (de) Plasmabearbeitungsvorrichtung mit elektrisch leitender Wand
DE102010035593B4 (de) Verfahren und Vorrichtung zum Behandeln eines Substrats mittels eines Plasmas
EP1352417B1 (de) Vorrichtung zur plasmagestützten bearbeitung von oberflächen planarer substrate
EP1252647A1 (de) Einkoppelanordnung für mikrowellenenergie mit impedanzanpassung
DE102015104615A1 (de) Magnetronanordnung
DE10032955A1 (de) Anordnung zur grossflächigen Erzeugung von Hochfrequenz-Niedertemperatur-Plasmen bei Atmosphärendruck
DE602004012595T2 (de) Gas-port-baugruppe
DE4102198A1 (de) Rf-plasma-cvd-vorrichtung und duennfilm-herstellungsverfahren unter anwendung der vorrichtung
WO2023020647A1 (de) Bipolarplatte und verfahren zur herstellung einer bipolarplatte
WO2010049158A2 (de) Vhf-anordnung
DE112004002810T5 (de) Dünnschichterzeugungsverfahren und Dünnschichterzeugungsvorrichtung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8128 New person/name/address of the agent

Representative=s name: GROSSE, BOCKHORNI, SCHUMACHER, 81476 MUENCHEN

8127 New person/name/address of the applicant

Owner name: OC OERLIKON BALZERS AG, BALZERS, LI

8128 New person/name/address of the agent

Representative=s name: BOCKHORNI & KOLLEGEN, 80687 MUENCHEN

8363 Opposition against the patent
8369 Partition in:

Ref document number: 10165056

Country of ref document: DE

Kind code of ref document: P

Q171 Divided out to:

Ref document number: 10165056

Country of ref document: DE

Kind code of ref document: P

8368 Opposition refused due to inadmissibility
R082 Change of representative

Representative=s name: BOCKHORNI & KOLLEGEN, 80687 MUENCHEN, DE

Representative=s name: BOCKHORNI & KOLLEGEN, DE

R081 Change of applicant/patentee

Owner name: TEL SOLAR AG, CH

Free format text: FORMER OWNER: OC OERLIKON BALZERS AG, BALZERS, LI

Effective date: 20111207

R082 Change of representative

Representative=s name: BOCKHORNI & KOLLEGEN PATENT- UND RECHTSANWAELT, DE

Effective date: 20111207

Representative=s name: BOCKHORNI & BRUENTJEN PARTNERSCHAFT PATENTANWA, DE

Effective date: 20111207

R082 Change of representative

Representative=s name: BOCKHORNI & KOLLEGEN PATENT- UND RECHTSANWAELT, DE

R081 Change of applicant/patentee

Owner name: TEL SOLAR AG, CH

Free format text: FORMER OWNER: OERLIKON SOLAR AG, TRUEBBACH, TRUEBBACH, CH

Effective date: 20130923

R082 Change of representative

Representative=s name: BOCKHORNI & KOLLEGEN PATENT- UND RECHTSANWAELT, DE

Effective date: 20130923

Representative=s name: BOCKHORNI & BRUENTJEN PARTNERSCHAFT PATENTANWA, DE

Effective date: 20130923

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee