JP5271874B2 - プラズマ反応器 - Google Patents
プラズマ反応器 Download PDFInfo
- Publication number
- JP5271874B2 JP5271874B2 JP2009258107A JP2009258107A JP5271874B2 JP 5271874 B2 JP5271874 B2 JP 5271874B2 JP 2009258107 A JP2009258107 A JP 2009258107A JP 2009258107 A JP2009258107 A JP 2009258107A JP 5271874 B2 JP5271874 B2 JP 5271874B2
- Authority
- JP
- Japan
- Prior art keywords
- plate
- gas
- wall
- discharge space
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
- H01L21/205—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45561—Gas plumbing upstream of the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/507—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
Description
され、貯蔵室12の全体は、概略的に示されるように電気的に絶縁された支持部と貫通部22とによって電気的に絶縁された態様で反応器槽1内に搭載される。中央に配置されるガス給送線18は、同様に通常は電気的に絶縁するコネクタ26を介して、通常は接地される反応器槽1へのガス供給線24に接続される。
るという利点をもたらす。すなわち分散室の容量を顕著に減じることができ、これはプラズマ放電空間へのガス流および/またはガス組成を変化させるときの反応時間を顕著に向上させる。
であり、該多数のガス給送開口部は、放電空間に面するプレートに沿って延在する分散室から、金属表面を通って、放電空間に向かい、分散室は、プレートに向き合って離れた後壁を有し、さらに壁は、プレートの外縁部に向かって、かつこれを超えてこれから離れて延在する側縁部分を含み、分散室は、開口部構成によって側縁部分とプレートの外縁部との間の空間に通じており、該開口部構成は、プレートに実質的に平行であって、かつ壁の側縁部分に鉛直に延在する。
ガス注入構成48は、予め定められた所望のパターンに分散される多数の注入開口部50を含み、好ましくはこれらの多くは、分散室46に対しては後壁として規定される後壁31の表面に沿って均一に分散する。ツリー状構造において、開口部50は中央ガス注入線52と連通し、それにより、配管ツリーの54、56、58の「ブランチ」の各々においては、開口部50の各々と中央ガス注入線52との間の流れ抵抗が予め定められた値を有し、かつ好ましい態様であって少なくとも開口部50の大部分においては、等しい値を有するように、流れ抵抗は選択される。単一のガス注入口から多数ガス排出口への、そのようなツリー状の分散線のシステムの構築自体については、たとえば本願と同出願人の米国特許第5,622,606号を参照する。
図2に示すように、プレート40は、分散室46の後壁31から電気的に絶縁されて搭載されるが、この後壁は好ましくは反応器槽30の直接的な上壁である。これは、たとえば絶縁スペーサまたは絶縁スペーサリング60によって実現化される。こうして、および62において概略的に示すように、電気エネルギは別個の電力給送を介して金属プレート40と一方の電極表面38とに給送され、これはスペーサ60を通すか、または示すように、反応器槽30の側壁34などを通して実現化されてもよく、プラズマ放電にどのように電気エネルギを給送するかにおいて、大きな自由度を残す。
図2に示し、この発明の反応器槽の好ましい実施例による、1つ、2つまたはそれ以上の格子部材64が、分散室46に沿ってその中に、プレート40に実質的に平行に搭載される。これらの格子部材は、後壁31とプレート40との両方から電気的に絶縁されて搭載される。これらは電気伝導性材料であっても、誘電性材料であってもよい。もし電気的に伝導的に構築されると、それらは浮動電位で動作される。これは、電気伝導性格子部材64に対する適切な絶縁マウント(図示せず)によって実現化される。
一方では、それらの電気的浮動、または絶縁マウントおよびそれらの電気伝導性にかかわらず、それらはプレート40の分散室側に沿ったガス圧力の均一性を、こうしてプラズマ放電空間36へのガス給送分散の均一性を、顕著におよび付加的に向上させる。
的に縦続接続するマニホールドが分散室46内で連続しかつ通過することにより、ガスの組織的な、良好に制御された分布に貢献する。
調整されなければならない。この発明は、以下の特徴を提案する。
・格子、後壁およびプレートなどの、互いに直接面する電気伝導性表面の電気的に絶縁されたマウント。
図2に示すように、およびこの局面において、この発明の2つの尺度を提供する。プレート40からプラズマ放電空間36へのガス給送開口部44の分散に関しては、表面領域ごとに与えられるそのような開口部44の密度は、プレート40からその外縁部Pに向かって伝播する場合には、増大させる。どのようにそのような開口部を実現化し、どのように表面領域ごとのそれらの密度を均等に変化させるかについての特に有利な技術を、図4から図6を参照して以下に説明する。
図4に示すように、プレート40を通るガス給送開口部44の最も有利な実現化は、プラズマ放電空間36に面して存在する、プレート40のその面に窪み72を機械加工することにより行なわれる。そのような窪み72は、その上面図において、円形や方形などであってもよく、連続するかまたは限定されない溝型であってもよい。そのような窪み72の底部74においては、プラズマ放電空間36への小さな直径の開口部44が機械加工される。それにより、小さな直径の開口部44を加工するためには、プレート40の全体の厚みのごく一部だけが加工される。
に、該プレートから離れ、かつこれに沿って配置される少なくとも1つの格子部材を含み、該少なくとも1つの格子部材は、該壁と該プレートとから電気的に絶縁される、プラズマ反応器。
り、該多数のガス給送開口部は、これを通って該放電空間に面する該プレートに沿って延在する分散室から該放電空間に向かい、該分散室は、該プレートに対面し、かつ離れた、ガス注入構成を備えた壁を有し、さらに該プラズマ反応器は、該2つの金属表面への電気エネルギ給送構成を含み、該壁と該プレートとは互いから電気的に絶縁され、該プラズマ反応器はさらに、該分散室内に該プレートと該壁とに沿って離れた少なくとも1つの格子部材構成を含み、該格子部材は該壁と該プレートとから電気的に絶縁される、プラズマ反応器。
た壁を有し、かつ、該壁に沿って分散されて該反応器への少なくとも1つのガス給送線に接続される多数のガス注入開口部を備えたガス注入構成を含み、該プラズマ反応器はさらに、該2つの金属表面に対して電気エネルギ給送構成を含み、該壁と該プレートとは互いから電気的に絶縁され、該壁は、該プレートに向かって、かつこれを超えて延在する側縁部分を含み、かつこれから離れて、該室は、開口部構成によって該側縁部分と該プレートの外縁部との間の空間に通じており、該開口部構成は、該プレートに実質的に平行であって、かつ該側縁部分に実質的に鉛直に延在する、プラズマ反応器。
Claims (6)
- プラズマ反応器であって、反応器槽を含み、その中の1対の電極は、間隔をあけて向き合って配置され、かつ間にプラズマ放電空間が規定される金属表面からなり、前記金属表面のうち少なくとも1つは、貫通する多数のガス給送開口部を有する金属表面のプレートであり、前記多数のガス給送開口部は、前記放電空間に面する前記プレートに沿って延在する分散室から、前記金属表面を通って前記放電空間に向かい、前記分散室は、前記プレートに向き合って離れた壁を有し、かつ前記壁に沿って分散されて前記反応器への少なくとも1つのガス給送線に接続される多数のガス注入開口部を備えたガス注入構成を含み、前記プラズマ反応器は、前記プラズマ放電空間の外縁部境界領域に沿って前記プラズマ放電空間へのガス給送の分散を増加させるための手段を含み、前記手段について、
前記プレートの外縁部に向かってかつこれを越えてこれから離れて延在する側縁部分を含み、前記分散室は開口部構成によって前記側縁部分と前記プレートの外縁部との間の空間に通じており、前記開口部構成は、前記プレートに実質的に平行であって、かつ前記側縁部分に対して実質的に鉛直に延在する、
前記プレート内に存在し、かつ前記プレートの外縁部の近傍に配置される前記ガス給送開口部の少なくともいくつかは、前記プレートにおいて前記プレートの外縁部からより離れて位置決めされる前記ガス給送開口部よりも大きな直径を有する、
表面領域ごとの前記ガス給送開口部の密度は、前記プレートに沿ってその外縁部に向かって伝播する場合に増加する、のうちの少なくとも一つを含む、プラズマ反応器。 - 前記2つの金属表面への電気エネルギ給送構成をさらに含み、前記壁と前記プレートとは互いに電気的に絶縁される、請求項1に記載のプラズマ反応器。
- 前記分散室内に、前記プレートおよび前記壁に沿ってかつ離れて配置される少なくとも1つの格子部材を含み、前記格子部材は前記壁からおよび前記プレートから電気的に絶縁される、請求項1または2に記載のプラズマ反応器。
- 前記壁に沿って分散される前記ガス注入開口部の少なくともいくつかは、共通のガス供給線に接続され、前記ガス供給線と前記ガス供給線に接続される前記注入開口部の少なくとも大部分との間のガス流れ抵抗は等しい、請求項1から3のいずれか1項に記載のプラズマ反応器。
- 前記プレートを貫通する前記ガス給送開口部の少なくとも一部は、取外し可能な流れ抵抗増加インサートと協働する、請求項1から4のいずれか1項に記載のプラズマ反応器。
- 前記分散室内に、前記プレートおよび前記壁に沿ってかつ離れて配置される少なくとも1つの格子部材を含み、前記壁は電気的導電表面を有し、前記格子部材は前記壁からおよび前記プレートから電気的に絶縁される、請求項1から5のいずれか1項に記載のプラズマ反応器。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/559408 | 2000-04-26 | ||
US09/559,408 US6502530B1 (en) | 2000-04-26 | 2000-04-26 | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001127862A Division JP4786818B2 (ja) | 2000-04-26 | 2001-04-25 | プラズマ反応器 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2010067615A JP2010067615A (ja) | 2010-03-25 |
JP5271874B2 true JP5271874B2 (ja) | 2013-08-21 |
Family
ID=24233499
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001127862A Expired - Fee Related JP4786818B2 (ja) | 2000-04-26 | 2001-04-25 | プラズマ反応器 |
JP2009258107A Expired - Fee Related JP5271874B2 (ja) | 2000-04-26 | 2009-11-11 | プラズマ反応器 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001127862A Expired - Fee Related JP4786818B2 (ja) | 2000-04-26 | 2001-04-25 | プラズマ反応器 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6502530B1 (ja) |
JP (2) | JP4786818B2 (ja) |
KR (1) | KR100746439B1 (ja) |
CN (2) | CN1330219C (ja) |
DE (2) | DE10119766B4 (ja) |
FR (1) | FR2808224B1 (ja) |
TW (1) | TW506232B (ja) |
Families Citing this family (420)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
WO2002058126A1 (fr) * | 2001-01-22 | 2002-07-25 | Tokyo Electron Limited | Dispositif et procede de traitement |
JP4250375B2 (ja) * | 2001-05-15 | 2009-04-08 | キヤノン株式会社 | 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法 |
KR100413482B1 (ko) * | 2001-06-12 | 2003-12-31 | 주식회사 하이닉스반도체 | 화학적 강화제(ce) 처리 챔버 |
KR100400044B1 (ko) * | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
TW561515B (en) * | 2001-11-30 | 2003-11-11 | Tokyo Electron Ltd | Processing device, and gas discharge suppressing member |
US6986814B2 (en) * | 2001-12-20 | 2006-01-17 | General Electric Company | Gas distributor for vapor coating method and container |
US20040250763A1 (en) * | 2002-01-11 | 2004-12-16 | Ovshinsky Stanford R. | Fountain cathode for large area plasma deposition |
US20040031565A1 (en) * | 2002-08-13 | 2004-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution plate for processing chamber |
JP4239520B2 (ja) * | 2002-08-21 | 2009-03-18 | ソニー株式会社 | 成膜装置およびその製造方法、並びにインジェクタ |
JP4087674B2 (ja) * | 2002-09-27 | 2008-05-21 | 株式会社ユーテック | 半導体製造装置 |
TWI247353B (en) | 2002-10-07 | 2006-01-11 | Sekisui Chemical Co Ltd | Plasma film forming system |
JP3723794B2 (ja) * | 2002-10-07 | 2005-12-07 | 積水化学工業株式会社 | プラズマ表面処理装置の電極構造 |
US20040072056A1 (en) * | 2002-10-10 | 2004-04-15 | Whiton John H. | Cascade fuel inlet manifold for fuel cells |
KR100862658B1 (ko) * | 2002-11-15 | 2008-10-10 | 삼성전자주식회사 | 반도체 처리 시스템의 가스 주입 장치 |
US20040142558A1 (en) * | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
US20040118519A1 (en) * | 2002-12-20 | 2004-06-24 | Applied Materials, Inc. | Blocker plate bypass design to improve clean rate at the edge of the chamber |
US20040261712A1 (en) * | 2003-04-25 | 2004-12-30 | Daisuke Hayashi | Plasma processing apparatus |
US7601223B2 (en) * | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7537662B2 (en) | 2003-04-29 | 2009-05-26 | Asm International N.V. | Method and apparatus for depositing thin films on a surface |
CN101621182B (zh) * | 2003-05-15 | 2012-07-18 | 夏普株式会社 | 离子发生元件、离子发生装置、电气设备 |
JP2007525822A (ja) * | 2003-05-30 | 2007-09-06 | アヴィザ テクノロジー インコーポレイテッド | ガス分配システム |
KR101070353B1 (ko) * | 2003-06-25 | 2011-10-05 | 주성엔지니어링(주) | 반도체 소자 제조장치의 가스 인젝터 |
KR100973899B1 (ko) * | 2003-07-01 | 2010-08-03 | 주식회사 포스코 | 크레인 주행중의 비상 급제동장치 |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US20050233092A1 (en) * | 2004-04-20 | 2005-10-20 | Applied Materials, Inc. | Method of controlling the uniformity of PECVD-deposited thin films |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
CH706979B1 (en) * | 2004-04-30 | 2014-03-31 | Tel Solar Ag | Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor. |
US7449220B2 (en) * | 2004-04-30 | 2008-11-11 | Oc Oerlikon Blazers Ag | Method for manufacturing a plate-shaped workpiece |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US20060005771A1 (en) * | 2004-07-12 | 2006-01-12 | Applied Materials, Inc. | Apparatus and method of shaping profiles of large-area PECVD electrodes |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US7622005B2 (en) * | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
US7572337B2 (en) * | 2004-05-26 | 2009-08-11 | Applied Materials, Inc. | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
DE102004039969A1 (de) * | 2004-08-18 | 2006-02-23 | Leybold Optics Gmbh | Plasmaquellenvorrichtung, Anordnung mit einer Plasmaquellenvorrichtung sowie Abstrahleinheit für eine Plasmaquellenvorrichtung |
KR100702831B1 (ko) * | 2004-08-20 | 2007-04-03 | 주식회사 에이디피엔지니어링 | 플라즈마 처리장치 |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
KR100667676B1 (ko) | 2004-10-15 | 2007-01-12 | 세메스 주식회사 | 플라즈마 처리 장치의 가스분사장치 |
KR101153161B1 (ko) * | 2005-04-01 | 2012-06-18 | 주성엔지니어링(주) | 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치 |
KR20080033406A (ko) * | 2005-07-29 | 2008-04-16 | 에비자 테크놀로지, 인크. | 반도체 처리용 증착 장치 |
US7588668B2 (en) | 2005-09-13 | 2009-09-15 | Applied Materials, Inc. | Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers |
US20070056843A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones |
US20070056845A1 (en) * | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Multiple zone sputtering target created through conductive and insulation bonding |
KR100734775B1 (ko) | 2005-09-15 | 2007-07-04 | 주식회사 아이피에스 | 샤워헤드 |
CN100355325C (zh) * | 2005-09-30 | 2007-12-12 | 友达光电股份有限公司 | 用于等离子体蚀刻机器的气体分布电极 |
CN101292059A (zh) * | 2005-10-17 | 2008-10-22 | Oc欧瑞康巴尔斯公司 | 用于利用远程等离子体源的大面积等离子体增强化学气相沉积装置的清洗器具 |
JP4683334B2 (ja) * | 2006-03-31 | 2011-05-18 | 株式会社島津製作所 | 表面波励起プラズマ処理装置 |
DE102006020291A1 (de) * | 2006-04-27 | 2007-10-31 | Ipt Ionen- Und Plasmatechnik Gmbh | Plasmaquelle |
JP2008047869A (ja) * | 2006-06-13 | 2008-02-28 | Hokuriku Seikei Kogyo Kk | シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
JP5063969B2 (ja) * | 2006-09-29 | 2012-10-31 | 東京エレクトロン株式会社 | 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法 |
US8702866B2 (en) * | 2006-12-18 | 2014-04-22 | Lam Research Corporation | Showerhead electrode assembly with gas flow modification for extended electrode life |
EP1970468B1 (de) * | 2007-03-05 | 2009-07-15 | Applied Materials, Inc. | Beschichtungsanlage und Gasleitungssystem |
US20080223294A1 (en) * | 2007-03-14 | 2008-09-18 | Applied Materials, Inc. | Flooding Chamber For Coating Installations |
EP1970467B1 (de) * | 2007-03-14 | 2012-05-16 | Applied Materials, Inc. | Flutungskammer für Beschichtungsanlagen |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
JP4160104B1 (ja) * | 2007-08-16 | 2008-10-01 | 株式会社アルバック | アッシング装置 |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
EP2067877A1 (en) * | 2007-11-23 | 2009-06-10 | Applied Materials, Inc. | Coating device and method of producing an electrode assembly |
TW200923125A (en) * | 2007-11-23 | 2009-06-01 | Applied Materials Inc | Coating device and method of producing an electrode assembly |
US8512509B2 (en) * | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
US20090197014A1 (en) * | 2008-02-04 | 2009-08-06 | Atomic Energy Council - Institute Of Nuclear Energy Research | Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition |
US8097082B2 (en) | 2008-04-28 | 2012-01-17 | Applied Materials, Inc. | Nonplanar faceplate for a plasma processing chamber |
US20110272099A1 (en) * | 2008-05-02 | 2011-11-10 | Oerlikon Trading Ag, Truebbach | Plasma processing apparatus and method for the plasma processing of substrates |
US20110070370A1 (en) * | 2008-05-28 | 2011-03-24 | Aixtron Ag | Thermal gradient enhanced chemical vapour deposition (tge-cvd) |
CN101307437B (zh) * | 2008-06-19 | 2010-12-01 | 东莞宏威数码机械有限公司 | 射频电极及薄膜制备装置 |
US20100024729A1 (en) * | 2008-08-04 | 2010-02-04 | Xinmin Cao | Methods and apparatuses for uniform plasma generation and uniform thin film deposition |
JP4540731B2 (ja) * | 2008-09-29 | 2010-09-08 | 積水化学工業株式会社 | 表面処理用ノズル装置 |
TWI498053B (zh) | 2008-12-23 | 2015-08-21 | Ind Tech Res Inst | 電漿激發模組 |
KR101508314B1 (ko) * | 2008-12-29 | 2015-04-06 | 주식회사 케이씨텍 | 플라즈마 처리장치 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101559470B1 (ko) * | 2009-06-04 | 2015-10-12 | 주성엔지니어링(주) | 화학 기상 증착 장치 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
TWI430714B (zh) * | 2009-10-15 | 2014-03-11 | Orbotech Lt Solar Llc | 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法 |
CN101899652B (zh) * | 2009-12-01 | 2012-05-02 | 东莞宏威数码机械有限公司 | 气体供应系统及方法 |
US9540731B2 (en) * | 2009-12-04 | 2017-01-10 | Applied Materials, Inc. | Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads |
JP5721132B2 (ja) | 2009-12-10 | 2015-05-20 | オルボテック エルティ ソラー,エルエルシー | 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法 |
US20110198034A1 (en) * | 2010-02-11 | 2011-08-18 | Jennifer Sun | Gas distribution showerhead with coating material for semiconductor processing |
WO2011138239A1 (en) | 2010-05-06 | 2011-11-10 | Oerlikon Solar Ag, Truebbach | Plasma reactor |
JP5413305B2 (ja) * | 2010-05-25 | 2014-02-12 | 信越半導体株式会社 | エピタキシャル成長装置 |
US20110308458A1 (en) * | 2010-06-21 | 2011-12-22 | Semes Co., Ltd. | Thin Film Deposition Apparatus |
DE102010027224A1 (de) | 2010-07-15 | 2012-01-19 | Forschungszentrum Jülich GmbH | Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas |
JP5771372B2 (ja) * | 2010-08-02 | 2015-08-26 | 株式会社アルバック | プラズマ処理装置及び前処理方法 |
JP2012082445A (ja) * | 2010-10-06 | 2012-04-26 | Ulvac Japan Ltd | 真空処理装置、蒸着装置、プラズマcvd装置及び有機蒸着方法 |
WO2012090715A1 (ja) * | 2010-12-28 | 2012-07-05 | 三洋電機株式会社 | プラズマ処理装置 |
TWI419351B (zh) * | 2011-02-14 | 2013-12-11 | Sunshine Pv Corp | 化學浴沉積機台及分配支流結構 |
KR101843609B1 (ko) | 2011-03-04 | 2018-05-14 | 노벨러스 시스템즈, 인코포레이티드 | 하이브리드 세라믹 샤워헤드 |
US9070760B2 (en) * | 2011-03-14 | 2015-06-30 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US8459276B2 (en) | 2011-05-24 | 2013-06-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
CN102534570B (zh) * | 2012-01-04 | 2014-02-19 | 北京印刷学院 | 一种等离子体增强化学气相沉积微晶硅薄膜的方法 |
CN103205719B (zh) * | 2012-01-17 | 2015-09-09 | 上海北玻镀膜技术工业有限公司 | 气体通道模块及应用其的气体分配装置 |
JP2014057047A (ja) * | 2012-08-10 | 2014-03-27 | Tokyo Electron Ltd | 基板処理装置及びガス供給装置 |
TWI467625B (zh) * | 2012-08-30 | 2015-01-01 | Univ Chang Gung | 電漿處理裝置 |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
JP5862529B2 (ja) * | 2012-09-25 | 2016-02-16 | 東京エレクトロン株式会社 | 基板処理装置及びガス供給装置 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR101541154B1 (ko) * | 2012-12-13 | 2015-08-03 | 엘아이지인베니아 주식회사 | 원자층 증착장치 |
US9175389B2 (en) * | 2012-12-21 | 2015-11-03 | Intermolecular, Inc. | ALD process window combinatorial screening tool |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US11326255B2 (en) * | 2013-02-07 | 2022-05-10 | Uchicago Argonne, Llc | ALD reactor for coating porous substrates |
GB2510615A (en) * | 2013-02-08 | 2014-08-13 | Glyndwr University | Gas blade injection system |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9328420B2 (en) * | 2013-03-14 | 2016-05-03 | Sunedison Semiconductor Limited (Uen201334164H) | Gas distribution plate for chemical vapor deposition systems and methods of using same |
US9117670B2 (en) | 2013-03-14 | 2015-08-25 | Sunedison Semiconductor Limited (Uen201334164H) | Inject insert liner assemblies for chemical vapor deposition systems and methods of using same |
JP6007143B2 (ja) * | 2013-03-26 | 2016-10-12 | 東京エレクトロン株式会社 | シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法 |
US9353439B2 (en) * | 2013-04-05 | 2016-05-31 | Lam Research Corporation | Cascade design showerhead for transient uniformity |
KR101467195B1 (ko) * | 2013-05-14 | 2014-12-01 | 주식회사 아바코 | 가스 분사기 및 이를 포함하는 박막 증착 장치 |
JP6170340B2 (ja) * | 2013-05-21 | 2017-07-26 | 東京エレクトロン株式会社 | ガス供給ヘッド、ガス供給機構及び基板処理装置 |
KR101446632B1 (ko) * | 2013-06-24 | 2014-10-06 | 피에스케이 주식회사 | 기판 처리 장치 및 방법 |
CN103697557A (zh) * | 2013-12-19 | 2014-04-02 | 常熟市联诚光源电器配件有限公司 | 芯柱生产设备集中送风系统 |
CN103712229A (zh) * | 2013-12-19 | 2014-04-09 | 常熟市联诚光源电器配件有限公司 | 新型芯柱生产设备集中送风系统 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10741365B2 (en) * | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
CN110724938B (zh) * | 2014-05-16 | 2022-02-22 | 应用材料公司 | 喷头设计 |
US20150348755A1 (en) * | 2014-05-29 | 2015-12-03 | Charm Engineering Co., Ltd. | Gas distribution apparatus and substrate processing apparatus including same |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
JP6584786B2 (ja) * | 2015-02-13 | 2019-10-02 | 株式会社日立ハイテクノロジーズ | プラズマイオン源および荷電粒子ビーム装置 |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6193284B2 (ja) * | 2015-03-18 | 2017-09-06 | 株式会社東芝 | 流路構造、吸排気部材、及び処理装置 |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
TWI723024B (zh) * | 2015-06-26 | 2021-04-01 | 美商應用材料股份有限公司 | 用於改良的氣體分配的遞迴注入設備 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017062087A1 (en) * | 2015-10-08 | 2017-04-13 | Applied Materials, Inc. | Showerhead with reduced backside plasma ignition |
US10233543B2 (en) * | 2015-10-09 | 2019-03-19 | Applied Materials, Inc. | Showerhead assembly with multiple fluid delivery zones |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
CN105555002A (zh) * | 2016-02-01 | 2016-05-04 | 江苏微导纳米装备科技有限公司 | 一种动态平行板等离子体发生器 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) * | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
JP6462613B2 (ja) * | 2016-03-15 | 2019-01-30 | 株式会社東芝 | 分流構造 |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
KR102525361B1 (ko) * | 2016-04-27 | 2023-04-25 | 삼성디스플레이 주식회사 | 감압 건조 장치 및 이를 이용한 막 제조 방법 |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
JP6628065B2 (ja) * | 2016-09-05 | 2020-01-08 | 信越半導体株式会社 | 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント |
KR102357017B1 (ko) * | 2016-09-05 | 2022-01-28 | 신에쯔 한도타이 가부시키가이샤 | 기상 성장 장치 및 에피택셜 웨이퍼의 제조 방법 |
US10546729B2 (en) * | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US20180127875A1 (en) * | 2016-11-04 | 2018-05-10 | National Chung Shan Institute Of Science And Technology | Apparatus for performing selenization and sulfurization process on glass substrate |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP6988083B2 (ja) * | 2016-12-21 | 2022-01-05 | 東京エレクトロン株式会社 | ガス処理装置及びガス処理方法 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10755900B2 (en) * | 2017-05-10 | 2020-08-25 | Applied Materials, Inc. | Multi-layer plasma erosion protection for chamber components |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10790119B2 (en) | 2017-06-09 | 2020-09-29 | Mattson Technology, Inc | Plasma processing apparatus with post plasma gas injection |
US11201036B2 (en) | 2017-06-09 | 2021-12-14 | Beijing E-Town Semiconductor Technology Co., Ltd | Plasma strip tool with uniformity control |
US20180358204A1 (en) * | 2017-06-09 | 2018-12-13 | Mattson Technology, Inc. | Plasma Strip Tool With Multiple Gas Injection Zones |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
JP6597732B2 (ja) * | 2017-07-24 | 2019-10-30 | 東京エレクトロン株式会社 | ガス処理装置 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
WO2019083645A2 (en) * | 2017-09-20 | 2019-05-02 | Ih Ip Holdings Limited | MODULAR REACTOR SYSTEM FOR EXOTHERMIC REACTIONS |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP2021521648A (ja) * | 2018-04-17 | 2021-08-26 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 加熱されるセラミック面板 |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102576220B1 (ko) * | 2018-06-22 | 2023-09-07 | 삼성디스플레이 주식회사 | 박막 처리 장치 및 박막 처리 방법 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN109585032B (zh) * | 2018-10-29 | 2021-02-02 | 大连民族大学 | 一种耐高温全钨面向等离子体反应器 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
KR102641752B1 (ko) | 2018-11-21 | 2024-03-04 | 삼성전자주식회사 | 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법 |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN113795610A (zh) * | 2019-04-26 | 2021-12-14 | 朗姆研究公司 | 在处理室中衬底的高温加热 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
TW202115273A (zh) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
JP2022551152A (ja) * | 2019-10-14 | 2022-12-07 | ラム リサーチ コーポレーション | 二重プレナムフラクタルシャワーヘッド |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11932941B1 (en) * | 2021-12-29 | 2024-03-19 | Rolls-Royce High Temperature Composites, Inc. | Load assemblies for loading parts in a furnace |
CN115156195A (zh) * | 2022-06-10 | 2022-10-11 | 深圳泰德半导体装备有限公司 | 等离子清洗装置 |
Family Cites Families (99)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5946088B2 (ja) * | 1980-08-20 | 1984-11-10 | 株式会社日立国際電気 | 気相反応装置 |
US4381965A (en) * | 1982-01-06 | 1983-05-03 | Drytek, Inc. | Multi-planar electrode plasma etching |
JPS5955343A (ja) * | 1982-09-21 | 1984-03-30 | Seiko Epson Corp | プラズマcvd装置 |
US4550681A (en) * | 1982-10-07 | 1985-11-05 | Johannes Zimmer | Applicator for uniformly distributing a flowable material over a receiving surface |
US4780169A (en) * | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
JPH01154296A (ja) * | 1987-12-10 | 1989-06-16 | Fujitsu Ltd | 文字切出方法 |
US4792378A (en) * | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
JPH01168023A (ja) * | 1987-12-23 | 1989-07-03 | Sharp Corp | 半導体製造装置 |
JPH0261078A (ja) * | 1988-08-24 | 1990-03-01 | Nec Kyushu Ltd | 平行平板型プラズマエッチング装置 |
JPH02234419A (ja) * | 1989-03-07 | 1990-09-17 | Koujiyundo Kagaku Kenkyusho:Kk | プラズマ電極 |
DE4029270C1 (ja) * | 1990-09-14 | 1992-04-09 | Balzers Ag, Balzers, Li | |
DE4029268C2 (de) * | 1990-09-14 | 1995-07-06 | Balzers Hochvakuum | Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US6024826A (en) * | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
JP3029494B2 (ja) * | 1991-10-31 | 2000-04-04 | 東京エレクトロン株式会社 | プラズマ装置 |
DE69301502T2 (de) * | 1992-06-09 | 1996-09-12 | Philips Electronics Nv | Verfahren zur Herstellung eines Halbleiterbauelementes durch CVD |
US5286297A (en) * | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
US5252178A (en) * | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JPH0661157A (ja) * | 1992-08-12 | 1994-03-04 | Sharp Corp | 半導体製造装置 |
KR100276093B1 (ko) * | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | 플라스마 에칭방법 |
US5567267A (en) * | 1992-11-20 | 1996-10-22 | Tokyo Electron Limited | Method of controlling temperature of susceptor |
US5453124A (en) * | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
US5542559A (en) * | 1993-02-16 | 1996-08-06 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
JP3086362B2 (ja) * | 1993-05-19 | 2000-09-11 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100324792B1 (ko) * | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
CH687258A5 (de) | 1993-04-22 | 1996-10-31 | Balzers Hochvakuum | Gaseinlassanordnung. |
US5414324A (en) * | 1993-05-28 | 1995-05-09 | The University Of Tennessee Research Corporation | One atmosphere, uniform glow discharge plasma |
JP3342118B2 (ja) * | 1993-06-29 | 2002-11-05 | 東京エレクトロン株式会社 | 処理装置 |
US5415753A (en) * | 1993-07-22 | 1995-05-16 | Materials Research Corporation | Stationary aperture plate for reactive sputter deposition |
US5865896A (en) * | 1993-08-27 | 1999-02-02 | Applied Materials, Inc. | High density plasma CVD reactor with combined inductive and capacitive coupling |
US5614055A (en) * | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
JPH0766138A (ja) * | 1993-08-30 | 1995-03-10 | Mitsubishi Heavy Ind Ltd | プラズマcvd装置 |
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US6391147B2 (en) * | 1994-04-28 | 2002-05-21 | Tokyo Electron Limited | Plasma treatment method and apparatus |
GB9411911D0 (en) * | 1994-06-14 | 1994-08-03 | Swan Thomas & Co Ltd | Improvements in or relating to chemical vapour deposition |
US5746875A (en) * | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5928427A (en) | 1994-12-16 | 1999-07-27 | Hwang; Chul-Ju | Apparatus for low pressure chemical vapor deposition |
JP2702430B2 (ja) * | 1995-01-27 | 1998-01-21 | 日本電気株式会社 | 半導体装置の製造方法 |
US6270617B1 (en) * | 1995-02-15 | 2001-08-07 | Applied Materials, Inc. | RF plasma reactor with hybrid conductor and multi-radius dome ceiling |
JP3360098B2 (ja) * | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
US5656123A (en) * | 1995-06-07 | 1997-08-12 | Varian Associates, Inc. | Dual-frequency capacitively-coupled plasma reactor for materials processing |
JP3599204B2 (ja) * | 1995-06-08 | 2004-12-08 | アネルバ株式会社 | Cvd装置 |
JP3113796B2 (ja) | 1995-07-10 | 2000-12-04 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE29517100U1 (de) * | 1995-10-17 | 1997-02-13 | Zimmer Johannes | Strömungsteilungs- und -umformungskörper |
JPH09129612A (ja) * | 1995-10-26 | 1997-05-16 | Tokyo Electron Ltd | エッチングガス及びエッチング方法 |
US5819434A (en) | 1996-04-25 | 1998-10-13 | Applied Materials, Inc. | Etch enhancement using an improved gas distribution plate |
JP3319285B2 (ja) * | 1996-06-05 | 2002-08-26 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
US5996528A (en) * | 1996-07-02 | 1999-12-07 | Novellus Systems, Inc. | Method and apparatus for flowing gases into a manifold at high potential |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5781693A (en) * | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
EP0854210B1 (en) * | 1996-12-19 | 2002-03-27 | Toshiba Ceramics Co., Ltd. | Vapor deposition apparatus for forming thin film |
JP3476638B2 (ja) * | 1996-12-20 | 2003-12-10 | 東京エレクトロン株式会社 | Cvd成膜方法 |
JP4356117B2 (ja) * | 1997-01-29 | 2009-11-04 | 財団法人国際科学振興財団 | プラズマ装置 |
US5893985A (en) * | 1997-03-14 | 1999-04-13 | The Lincoln Electric Company | Plasma arc torch |
KR100469047B1 (ko) * | 1997-04-11 | 2005-01-31 | 동경 엘렉트론 주식회사 | 처리장치, 상부전극유니트와 그 사용방법 및 전극유니트와 그 제조방법 |
GB9712400D0 (en) * | 1997-06-16 | 1997-08-13 | Trikon Equip Ltd | Shower head |
JPH118225A (ja) * | 1997-06-17 | 1999-01-12 | Nkk Corp | 平行平板電極型プラズマ処理装置 |
JPH1154296A (ja) | 1997-08-05 | 1999-02-26 | Sony Corp | プラズマ発生装置およびプラズマ装置 |
US6537418B1 (en) * | 1997-09-19 | 2003-03-25 | Siemens Aktiengesellschaft | Spatially uniform gas supply and pump configuration for large wafer diameters |
US6161500A (en) * | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
JPH11152568A (ja) * | 1997-11-20 | 1999-06-08 | Citizen Watch Co Ltd | 円筒状部材の内周面への硬質カーボン膜形成方法 |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6106625A (en) * | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
JPH11181572A (ja) | 1997-12-22 | 1999-07-06 | Kokusai Electric Co Ltd | プラズマcvd装置 |
JP4151862B2 (ja) * | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | Cvd装置 |
WO1999048138A1 (en) * | 1998-03-18 | 1999-09-23 | Applied Materials, Inc. | Large area uniform laminar gas flow dispenser |
JPH11274087A (ja) * | 1998-03-25 | 1999-10-08 | Toshiba Corp | シャワープレート |
US6086677A (en) * | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
JP3375302B2 (ja) * | 1998-07-29 | 2003-02-10 | 東京エレクトロン株式会社 | マグネトロンプラズマ処理装置および処理方法 |
US6132805A (en) * | 1998-10-20 | 2000-10-17 | Cvc Products, Inc. | Shutter for thin-film processing equipment |
US6399484B1 (en) * | 1998-10-26 | 2002-06-04 | Tokyo Electron Limited | Semiconductor device fabricating method and system for carrying out the same |
US6221221B1 (en) * | 1998-11-16 | 2001-04-24 | Applied Materials, Inc. | Apparatus for providing RF return current path control in a semiconductor wafer processing system |
US6499425B1 (en) * | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
TW582050B (en) * | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
US6250250B1 (en) * | 1999-03-18 | 2001-06-26 | Yuri Maishev | Multiple-cell source of uniform plasma |
US6237526B1 (en) * | 1999-03-26 | 2001-05-29 | Tokyo Electron Limited | Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma |
JP2000290777A (ja) * | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | ガス処理装置、バッフル部材、及びガス処理方法 |
EP1198610A4 (en) * | 1999-05-14 | 2004-04-07 | Univ California | PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
JP2001023955A (ja) * | 1999-07-07 | 2001-01-26 | Mitsubishi Electric Corp | プラズマ処理装置 |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US6228438B1 (en) | 1999-08-10 | 2001-05-08 | Unakis Balzers Aktiengesellschaft | Plasma reactor for the treatment of large size substrates |
DE10060002B4 (de) * | 1999-12-07 | 2016-01-28 | Komatsu Ltd. | Vorrichtung zur Oberflächenbehandlung |
JP2003529926A (ja) * | 2000-03-30 | 2003-10-07 | 東京エレクトロン株式会社 | プラズマ処理システム内への調整可能なガス注入のための方法及び装置 |
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
WO2002058126A1 (fr) * | 2001-01-22 | 2002-07-25 | Tokyo Electron Limited | Dispositif et procede de traitement |
KR100427996B1 (ko) * | 2001-07-19 | 2004-04-28 | 주식회사 아이피에스 | 박막증착용 반응용기 및 그를 이용한 박막증착방법 |
US6586886B1 (en) * | 2001-12-19 | 2003-07-01 | Applied Materials, Inc. | Gas distribution plate electrode for a plasma reactor |
US7632379B2 (en) * | 2003-05-30 | 2009-12-15 | Toshio Goto | Plasma source and plasma processing apparatus |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
KR100634451B1 (ko) * | 2005-01-10 | 2006-10-16 | 삼성전자주식회사 | 반도체 소자 제조 장치 |
US8298336B2 (en) * | 2005-04-01 | 2012-10-30 | Lam Research Corporation | High strip rate downstream chamber |
KR100731164B1 (ko) * | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | 샤워헤드를 구비한 화학기상 증착 방법 및 장치 |
JP2007191792A (ja) * | 2006-01-19 | 2007-08-02 | Atto Co Ltd | ガス分離型シャワーヘッド |
US7476291B2 (en) * | 2006-09-28 | 2009-01-13 | Lam Research Corporation | High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation |
US20080081114A1 (en) * | 2006-10-03 | 2008-04-03 | Novellus Systems, Inc. | Apparatus and method for delivering uniform fluid flow in a chemical deposition system |
CN100451163C (zh) * | 2006-10-18 | 2009-01-14 | 中微半导体设备(上海)有限公司 | 用于半导体工艺件处理反应器的气体分布装置及其反应器 |
US20080178805A1 (en) * | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
-
2000
- 2000-04-26 US US09/559,408 patent/US6502530B1/en not_active Expired - Lifetime
-
2001
- 2001-04-23 DE DE10119766A patent/DE10119766B4/de not_active Expired - Fee Related
- 2001-04-23 DE DE20122901U patent/DE20122901U1/de not_active Expired - Lifetime
- 2001-04-25 JP JP2001127862A patent/JP4786818B2/ja not_active Expired - Fee Related
- 2001-04-26 CN CNB2004100880667A patent/CN1330219C/zh not_active Expired - Fee Related
- 2001-04-26 CN CNB011172517A patent/CN1181709C/zh not_active Expired - Fee Related
- 2001-04-26 FR FR0105619A patent/FR2808224B1/fr not_active Expired - Fee Related
- 2001-04-26 KR KR1020010022656A patent/KR100746439B1/ko not_active IP Right Cessation
- 2001-05-17 TW TW090109755A patent/TW506232B/zh not_active IP Right Cessation
-
2002
- 2002-11-21 US US10/300,873 patent/US7306829B2/en not_active Expired - Fee Related
-
2007
- 2007-10-23 US US11/877,419 patent/US9045828B2/en not_active Expired - Fee Related
-
2009
- 2009-11-11 JP JP2009258107A patent/JP5271874B2/ja not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
DE20122901U1 (de) | 2009-08-27 |
DE10119766A1 (de) | 2001-11-08 |
CN1181709C (zh) | 2004-12-22 |
DE10119766B4 (de) | 2009-02-26 |
US6502530B1 (en) | 2003-01-07 |
JP2010067615A (ja) | 2010-03-25 |
CN1330219C (zh) | 2007-08-01 |
JP2002075692A (ja) | 2002-03-15 |
CN1330507A (zh) | 2002-01-09 |
FR2808224A1 (fr) | 2001-11-02 |
US9045828B2 (en) | 2015-06-02 |
US20080093341A1 (en) | 2008-04-24 |
KR100746439B1 (ko) | 2007-08-03 |
KR20010098908A (ko) | 2001-11-08 |
CN1607889A (zh) | 2005-04-20 |
US20030070761A1 (en) | 2003-04-17 |
FR2808224B1 (fr) | 2005-01-07 |
JP4786818B2 (ja) | 2011-10-05 |
US7306829B2 (en) | 2007-12-11 |
TW506232B (en) | 2002-10-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5271874B2 (ja) | プラズマ反応器 | |
KR100862658B1 (ko) | 반도체 처리 시스템의 가스 주입 장치 | |
KR100726381B1 (ko) | 반도체 처리용 가스 분배 장치 | |
EP1548151B1 (en) | Method for forming thin film and apparatus therefor | |
KR101696333B1 (ko) | 감소된 공구 풋 프린트를 갖는, 균일한 박막 증착을 위한 평행판 반응기 | |
KR101693673B1 (ko) | 가스분배수단 및 이를 포함한 기판처리장치 | |
US20100024729A1 (en) | Methods and apparatuses for uniform plasma generation and uniform thin film deposition | |
KR20110058534A (ko) | 가스분사장치 및 이를 갖는 공정 챔버 | |
CN104046961B (zh) | 衬底支撑器以及包含所述衬底支撑器的衬底处理设备 | |
KR20090008932A (ko) | 멀티 코어 플라즈마 발생 플레이트를 구비한 플라즈마반응기 | |
GB2347686A (en) | Gas delivery system | |
US20070144890A1 (en) | Sputtering apparatus | |
US6238512B1 (en) | Plasma generation apparatus | |
KR101670101B1 (ko) | Rf 스퍼터링 장치 | |
CN209974889U (zh) | 一种用于提高正反平面沉积均匀性的盒式电极 | |
WO1999028528A1 (en) | High rate deposition of amorphous silicon films | |
KR101213391B1 (ko) | 기판처리장치 | |
TWI437120B (zh) | 具有減小的工具足跡之用於均勻薄膜沉積的平行板反應器 | |
KR20100089540A (ko) | 플라즈마 화학 기상 증착 장치 | |
JPS61110763A (ja) | スパツタリング電極 | |
JP2993813B2 (ja) | プラズマcvd装置 | |
KR20150077522A (ko) | 스퍼터링 장치 | |
JP2011114168A (ja) | Cvd装置 | |
KR20010084618A (ko) | 반도체제조용 자체가열식 석영원판 | |
KR20150104352A (ko) | 가스 분배 장치 및 이를 구비하는 기판 처리 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20110422 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111206 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120305 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120724 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121019 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130416 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130513 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |