CN1330219C - 射频等离子发生器和制造基片的方法 - Google Patents

射频等离子发生器和制造基片的方法 Download PDF

Info

Publication number
CN1330219C
CN1330219C CNB2004100880667A CN200410088066A CN1330219C CN 1330219 C CN1330219 C CN 1330219C CN B2004100880667 A CNB2004100880667 A CN B2004100880667A CN 200410088066 A CN200410088066 A CN 200410088066A CN 1330219 C CN1330219 C CN 1330219C
Authority
CN
China
Prior art keywords
gas
flat board
chamber
wall
gas distributing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100880667A
Other languages
English (en)
Other versions
CN1607889A (zh
Inventor
E·图尔洛特
J·-B·彻夫里尔
J·施米特
J·巴雷罗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Solar AG
Original Assignee
OC Oerlikon Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OC Oerlikon Balzers AG filed Critical OC Oerlikon Balzers AG
Publication of CN1607889A publication Critical patent/CN1607889A/zh
Application granted granted Critical
Publication of CN1330219C publication Critical patent/CN1330219C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一包括一对电极的等离子反应发生器,电极由分开并互相面对的两个金属面(42,38)组成并在两个金属面之间形成等离子放电腔。一个金属面(38)成为带有许多输气孔(44)的金属板的表面。这些输气孔与沿着面对等离子放电腔的金属板延伸的配气室(46)连通。配气室(46)有面对金属板并保持一定距离的容器壁,容器壁也有多个在所述壁分布并连接到至少一个输气管路(52)的输气孔(50)。因此,等离子放电腔(36)的气体分布是得到非常精确的控制的。

Description

射频等离子发生器和制造基片的方法
本申请是发明名称为“射频等离子发生器”,申请号为011172517的分案申请。
技术领域
总的来说,本发明是将一种称为‘淋浴头’式的进气口布置技术改进后应用到等离子发生器的等离子放电腔中。这种等离子发生器工作中的等离子是通过射频,或者射频加直流电,或者脉冲射频技术电子激发的。因此,本发明适用于平行板型的发生器。这种发生器的射频能量是经过一对板状呈电容方式布置的电极耦合到等离子放电腔中的。而其他种类的发生器的放射能量是通过微波耦合或者感应输入的。
背景技术
这种电容耦合等离子发生器通常用于加工作为支撑材料的工件表面,在等离子放电加工过程中,一次将至少一个工件置于其中。各式各样的这种加工工艺已为人所知并用来修整工件表面。根据这种工艺,尤其是根据注入等离子放电腔内的气体性质,人们可以用它来修改工件的表面属性,在工件表面镀膜或者去掉物质,尤其能选择性地从工件表面去掉物质。
工件表面可以是平面,也可以是曲面,如汽车的挡风玻璃。在工件是曲面的情况下,放电腔的电极可以不在一个平面上,而是相应地平行弯曲,这样在工件表面范围内,工件曲面和电极之间的距离值大体上是常数。
虽然说本申请宣称为一种等离子发生器,其实它全部描述的是用这种等离子发生器来加工工件的各种创造性方法。这种制造工艺尤其适用于半导体晶片,存储装置的碟片,平面显示屏,窗户玻璃以及薄平板或金属薄片。
工件表面的加工是在一个真空容器里,真空容器里产生带有射频成分等离子放电。这类加工方法通常称为PVD,PECVD,反应离子蚀刻,离子镀膜等等。
图1示意性地显示了一种常用的射频等离子发生器的设计方案,它带有一个‘淋浴头’式的进气口。常见的射频等离子发生器包括一个开有抽吸口3的反应容器1。相互面对的并保持一定间隔的金属表面4和6形成等离子发生器的电极,随之也形成了等离子放电腔8。在两个电极表面4和6之间形成带射频成分的等离子放电供应电场E。
在等离子放射电极表面4和6中,至少有一个上面设有许多输气筛孔10,此电极表面也是平板11的表面。对应于平板11后侧的等离子放电腔,设有储存腔12,储存腔12带有后壁14,侧面的凸缘壁16。在储存腔12的中央,设有进气口和进气通道18。储存腔12在输气筛孔10和开孔18的侧边处密封。
作为边界的金属壁和封闭储存腔12的平板通过中央的电能输送线20被加上等离子放射供应电势能。反应容器1通常并不是和电极表面4处于同一电势能,特别是并不是满值射频能,通常它处于一个参考势能下,并将其作为接地电势。如图1所示,整个储存腔12都处于反应容器内,并通过电绝缘体和空腔22与外界绝缘。处于中央的进气通道18类似地通过电绝缘连接物26与通常接地的对反应容器供应气体的通道24相连。
电极表面4以及储存腔12里的平板11上的输气筛孔10有较小的气体导通性,也就是较大的气阻因子,因此,从中央输入气体的储存腔12起着散布和均衡压力的作用,让气体以一种得到良好控制和期望的,通常是沿电极表面4尽可能地均匀分布的方式,通过输气筛孔10进入等离子放电腔8。如图1所示,输入到整个反应容器中的气体经历了一个大的电势变化(从管24到进气通道18)。因此,存在着高电势差(比如在连接物26处)区域的状况对避免不必要的等离子放电非常关键。
这种已知结构的另一个不足主要是反应时间慢。因为储存腔12的内部容量必须相当大,用来保证气体分布的均匀和沿平板11的压力为常值,这样,大量的气体会以颇高的压力聚集在储存腔12内。
因此,如果在加工处理过程中,想要改变气体的组成或者流量,考虑到这是在等离子放电腔进行,这种改变会在一种无控制的迅速变化的状态下持续较长一段时间,直到达到想要的稳定的新建立起来的气体组成和/或者流量。
另外,在发生器工作之前,储存腔12应该进行真空抽吸排气,储存腔12的体积越大,那么消耗的时间就越长。尤其是考虑到储存腔12是通过孔径又小导通率又低的输气筛孔10与抽吸口连接,因此,整个发生器加工前的预处理,包括给壁腔排气,将会耗掉相当长的时间。不过,由于输气筛孔10具有的低导通性和储存腔12的大容量,这种技术对沿电极表面4的气体分布(举个例子,均匀分布)的控制结果还算令人满意。通过改变输气筛孔10沿与电极表面4交界的等离子放电腔方向的分布密度,可以根据具体的需要很容易地调整合适的气体分布。
发明内容
对大体上由图1示出的淋浴头式射频发生器作出改进并且保住已有的优点是本发明的一个总的目标。我们对术语射频发生器的理解是一种发生器,里边有由至少带有射频成分的电场激发的等离子放电。
根据本发明的第一个方面,这个目标可以通过如下组成的一种射频等离子发生器解决。它包括:一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个金属面是金属板的面,在金属板上有许多输气筛孔,配气室有后壁与平板相对并有间隔。配气室包括一组带有许多进气孔的进气布置,进气孔分布在后壁上并且至少与一个进气通道相连。
因此,与图1中的为人熟知的方案大不相同的是,本发明提供的配气室的进气口并不一定在一个位置,而是有很多个进气孔。与图1所述相比,这就大大降低了对配气室的大容积和压力均衡的要求。这种配气室的容积可以在很大程度上减小,因而在需要改变进入等离子放电腔的气体的流量和/或者组成时,也可大大减少反应时间。
根据本发明的第二个方面,前面所述目标可以通过如下组成的一种射频等离子发生器解决。它包括:一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个金属面是金属板的一个面,在金属板上开有许多朝向放电腔的输气筛孔,输气筛孔从配气室开始,穿透平板并通向发射室,配气室有后壁与平板相对并有间隔。配气室的后壁带有一套进气装置以及一套给组成等离子发射电极的两个金属表面进行电能输送的装置,并且,后壁和平板(与放电腔充分相接)彼此电绝缘。因此,任何电势差,尤其是较大的等离子供应势差,可以施加在配气室的后壁和平板之间。因而,后壁也可直接是反应容器壁的一部分,在其上施予一独立于两个电极表面的电势,比如说,一个参考电势,通常为接地电势。
因此,一方面,避免了沿着进气通道的临界电势差并且在配气室之间很容易处理。而且通过免掉整个储存腔12里面的电绝缘悬浮物,如现有技术具有的是显示于图1中的22,发生器的整个结构被大大简化。
根据本发明的第三个方面,前面所述目标可以通过如下组成的一种射频等离子发生器解决。它包括:一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个金属面是金属板的一个面,金属板上开有许多朝向放电腔的输气筛孔,输气筛孔从配气室开始,穿透平板并通向发射室,配气室有后壁与平板相对并有间隔。配气室的后壁带有一套进气装置,在配气室中,还沿着平板方向但与平板保持间隔设有至少一道栅隔板,并且栅隔板与后壁以及平板呈电绝缘。
我们一般可以这样理解术语栅隔板,栅隔板是一种外形似板并有穿孔的材料结构。因而栅隔板可以是从很多网孔的结构一直到带很少通孔的刚性板的一种。
用这种栅隔板(如果由导电材料作成)将配气室细分为2个或更多的小室,在平板和后壁之间的电势差也被分割成位于小室间的部分电势差。考虑到配气室的伪等离子放射,这就相当于增加了小室的高度,也就是增加了整个配气室的高度,而不用冒发生伪等离子放射的危险。当几乎全部的等离子放射势能差加到配气室时,这就尤其正确了。事实上,在平板和与配气室相接的后壁之间的伪电容减小了。另外,不管栅隔板是否由导电材料组成,加入栅隔板也改善了配气室里的气体压力分布和匀质化。
根据本发明的第四个方面,前面所述目标可以通过如下组成的一种射频等离子发生器解决。它包括:一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个金属面是金属板的一个面,金属板上开有许多朝向放电腔的输气筛孔,输气筛孔从配气室开始,穿透平板并通向发射室,配气室有道后壁与平板相对并有间隔。并且,后壁还包括一个侧面的凸缘部分,凸缘部分向平板的外围延伸,处于外围之外,并与平板的外围保持一定的距离。配气室与等离子放电腔通过一个开口相连,开口处于侧面凸缘部分与平板的外端之间,开口与平板保持充分平行与侧壁的凸缘部分保持充分垂直。
一方面,一部分额外的气体通过等离子放电腔的外围周边区域进入放电腔。在反应加工过程中,在放电腔的外围通常有更多的气体被消耗,因此,这种额外的消耗就被补偿了。因为平板上穿过电极表面的输气筛孔的表面密度不可能无限制地增加,而且考虑到技术困难和制造成本,我们认为,上面所述的外围气体输入方式是一种简单可行的解决方法,来增加放电腔外围的气体流入。
还有一点要说的是,通过创造性地在侧壁上增加一个凸缘部分,并与平板的外端保持一定间隙,这样就形成了一个通向放电腔的进气通道。如果在平板和侧壁之间加上电势差,则在凸缘部分和平板外端之间也会出现电势差。但让人惊奇的是,凸缘部分和平板外端之间的伪等离子放射比平板上的输气筛孔之间的要少得多,或者普遍地说,比‘单电势’的电极环境中的伪等离子放射要少得多。
根据本发明的这四个特征,在较佳的实施例中,将创造性地组合某两个特征,或者三个特征,甚至四个特征。
附图说明
在前面所述的基础上,为让那些已经熟练的技术人员更好地理解,现在用图表来举例说明带有全部改进方面的本发明。进一步的图表有:
图1示意表示一种常用的射频等离子发生器的设计方案;
图2是一种创新的射频等离子发生器的示意图,显示了一种创新的制造方法,并以优选的模式组合了本发明的所有创新方面;
图3示意性地显示一种优选的气体分布布置方式,也就是输入气体到创新的发生器配气室中的一种方式;
图4、图5、图6显示创新发生器中三种优选的制作输气筛孔的方法以及控制输气筛孔气阻的方法;
具体实施方式
在图2中,示意性地显示了一种优选模式的射频等离子发生器。其组合了所有的四种特征,这四种特征能解决前面设立的创造性的目标。因此,如同我们前面所述,每一种特征从实质上被认为是有创造性的。
射频发生器30包括上壁31,下壁32,侧壁34。第一个电极表面38由金属板40的表面组成并且面对着等离子放电腔36。在此实施例中,第二个等离子放射电极由下壁32的金属上表面42组成。
在金属板40上开有许多输气筛孔44,输气筛孔44由配气室开始并朝向等离子放电腔36。一套进气方位布置系统48将气体导入配气室,
然后,气体经过输气筛孔44进入等离子放电腔36。
1.从发生器外部到配气室里面的进气方位布置系统48的优选布局
进气方位布置系统48包括许多按预想的结构图案分布的进气孔50,最好是大多数的进气孔50沿上壁31的表面均匀分布,其中,上壁31组成了配气室46的后壁。在这种树形结构里,进气孔50与进气通道52气路连通,对于每一个这种树形管道的‘分支’如54,56,58,它们的气阻都经选择制定,因此每个进气孔50和进气管52之间的气阻都有个预定值并且处于较佳模式,而且,至少有相当大部分的进气孔50有着相等的气阻值。至于如何制作这样一种由一个进气口到多个进气孔的分布管道的树型系统,我们可以参考本发明申请人的美国5622606发明申请。
通过这样一种多个进气孔的瀑布型或树型的进气方式,可以很快地实现输入的工作气体的成分改变。将这种多个进气孔50的输入方式做成瀑布型的原则是将气流分成一定数目的,预先制定的并最好相同的支流。为了将初始的气流分成较多数目的支气流,根据图2中的54到58的层次级别,将气体分流的过程重复几次。树型结构是根据进气孔50的期望图案模式而建,这种树型结构模式能很好地与置于等离子放射中的工件的形状相适应,比如矩形,圆形。如图3所示,这是一种在进气通道52和进气孔50之间连接管道的树型结构的例子。
通向配气室46的进气口是通过一组数量较多的进气孔50而实现,这些进气孔50分布在壁或后壁31的表面,后壁31与配气室46相接,这样,就可以大幅改进对经金属板40进入等离子放电腔36的气流的控制,这种方式允许在选择配气室46的容积以及尤其是其高度X时有很大的自由度。如图2所示,作为一种优先选择的模式,瀑布型的输气管道整合于创新的发生器上壁31并与上壁31成为一个整体,上壁31在这个实施例中是配气室46的后壁。
2.输电
如图2所示,金属板40与配气室46的后壁31绝缘安装,配气室46的后壁31也就是反应容器30的上壁。举例说,通过绝缘隔开物或绝缘间隔环60就可以实现这种绝缘。因此,如62所示意地显示,电能通过一种独特的输电方式输送到金属板40和电极表面38上,这种方式可以是通过穿过间隔物60,或者如图所示,通过反应容器30的侧壁34等来实现,这就给如何输电到等离子放电腔留下了很大的自由度。
图2显示,射频输入布置在侧面。对于大型工厂,最好采用中央输入布置。因而,一个或者多个输入线的中央射频输入是经上壁31、配气室46到金属平板40的。
配气室46的后壁31可以施加任何所需的电势,它和施加在电极表面38上的电势并无关系。因此,这就可能在一种优先选择的实施例中,将配气室46的后壁31上的电势作为参考电势,尤其是接地电势。这样,就可将所说的后壁31直接作为发生器的容器壁。当考虑到以下这些方面时,这一点就愈发为优点了:这道壁内建有通向输气筛孔50的瀑布型输气结构,这样整个气体分流系统都是接地电势,因此也和从外通向反应容器30的进气管52有着同样的电势。配气室46的限制壁并不只有一种电势,而是不同的电势,如就有满值等离子放射供应电势差。
由于散布的进气孔50这一特殊结构,在很大程度上减小配气室里的气体压力就成为可能。配气室里的伪等离子放射也可以得到避免,即使达到它的整个高度X。
3.栅隔板
图2为根据本发明的发生器的一种优先选择的实施例。在配气室46内装有一个或多个栅隔板64,栅隔板64与金属板40保持充分平行。栅隔板64相互之间,与后壁31以及金属板40之间绝缘。栅隔板64由导电材料或者不导电材料做成。如果由导电材料做成,栅隔板64的电势处于浮动状态,这由适宜的绝缘安装方法实现。(未示出)。
这种栅隔板有两样好处:
一方面,不管它们是浮动电势的,绝缘安装的还是导电或不导电的,它们可以额外地相当大程度上地改善金属板40上边配气室内的气体压力均匀情况,进而改善通往等离子放电腔36的输入气流的匀质分布。
更加具体地说,电势浮动的栅隔板64增大了配气室46的总高度X而不冒触发腔内等离子放电的危险。因此,整个侧面气体的导通性增大,从而侧面气体的扩散性也增强了。
更进一步的是,呈现出带有有限数量穿孔的电势浮动板形式的电势浮动栅隔板,事实上图2中后壁31里的整个瀑布型气体支管在配气室46里得以延续并穿过配气室,对气体的散播和系统的控制有良好的益处。
另一方面,考虑到电的方面,如果栅隔板是带电材料做成,它们就携带着介于金属板40电势和后壁31电势之间的中间大小的电势。从而,尤其是在这种金属板40和后壁31都相互绝缘的实施例中,等离子发生电势差施加在整个配气室46中,而分割开的小室46a,46b,46c中的电势差就是整个离子发生电势差相应的一小部分。
在一定的气体压力和一定的电势差下,分割空间的能导电的隔板之间的距离越大,就越容易发生伪等离子放射,此时,隔板充当伪电极。携带整个电势差一部分的小室46a,46b,46c可以经过调整得到一个增加的高度,从而,整个配气室46的高度X就可以在没有伪等离子放射的危险的情况下得到增大。
作为总结,有一点要考虑到,那就是对于间隔配气室中的导电部分,也就是栅隔板和/或金属板或后壁,存在着两种相反看法。为了防止伪等离子放射的发生,在一定的气体压力和一定的电势差下,间隔距离X应该尽可能地小;但是,站在使沿进气孔50处的气体压力平均的立场,这个间隔距离X应该被调整到尽可能地大;创造性提出的如下两个特征就带来了高度的调整配气室的结构上的灵活性,尤其是增加了配气室的匀质效果而同时不会增加伪等离子放射的可能性。
·通向配气室的分散布置的进气口,即进气孔50;
·将相互直接面对的导电的面绝缘安装,比如栅隔板,后壁,金属板的面。
4.进入等离子放电腔的外围气体
从图2申可以看到,关于此点提出了两种创造性的措施。对于从金属板40往等离子放电腔36输气的输气筛孔44,当在金属板40上朝着它的外围P方向增加输气筛孔44单位面积上的数目时,则这种输气筛孔44的表面密度会增加。一种关于怎样制作这种开孔并让它的表面密度一致的特别适用的技术将在后面结合图4到图6予以介绍。
不用在金属板40上朝着它的外围P方向增加单位面积上输气筛孔44的数目,而是如下所述开一个从配气室46通向等离子放电腔的另外的开口66。
在邻接配气室46后壁31的一个侧面上设置一个凸缘部分68,显然,凸缘部分68可以是独立的一部分,最好将反应容器的侧壁作为凸缘部分68。凸缘部分向着金属板40的外围延伸并与金属板40保持一定的间隙,这样,围绕着金属板40就形成了一个流通槽70。
开口66与金属板40保持充分的平行,与凸缘部分68保持充分的垂直,这样,就通过流通槽70在配气室46和等离子放电腔36建立流通连接。由于流通槽70的间隙非常小,即使在金属板40和凸缘部分68存在着很大的电势差,在其间不会发生伪等离子放射现象。
通过一种和/或另外一种措施(沿金属板的外围方向增加输气筛孔44的表面密度和/或者金属板外围处的侧面注入气体),等离子放电腔36内的气体消耗分布不均匀得到补偿,在它的外围,气体消耗要大些,这样就会带来在电极表面42上的工件表面上有很均匀的等离子放电效果。因此,等离子放电腔36的非常靠外的外围也可以被加以利用,用来对工件表面进行匀质处理,实际上这样也提高了发生器的效率。
5.金属板40和筛孔分布
如图4所示,一个制作穿过金属板40的输气筛孔44的非常好的方法是,在金属板40相反于等离子放电腔36的那一边加工出一个平底孔72,这样一个平底孔72可能是圆的,矩形的或者其他(从俯视来看),可能是连续的或者无限槽形的。在平底孔72的底部74加工出直径很小的孔44通向等离子放电腔36。因此,为了加工出这些直径很小的孔44,只能是金属板40整个厚度的一小部分被加工。
所以,必须要考虑这一点,就是金属板40通常应该很厚。这是因为这种金属板应该具备良好的机械稳定性,它必须保持非常平整,而它只是通过一些附着点连接,基本上处于悬挂状态,而且它还要经历许多热变循环。另外,这样的金属板应该有良好的热传导性,当温度发生变化时,能迅速达到一致的温度分布。
探究一下图例中的凹槽或说是大直径孔72可知,从配气室46到等离子放电腔36的空气流通阻力可以通过在图5的凹槽中插入镶嵌件78改变以及精确地调节。参看图4和图6中的凹槽72,增大金属板40上的开孔密度一直到可能的很高密度,可以进一步减小孔40a的直径,尤其是金属板40的外围P处。这样做,在制造加工上并不存在问题。
另外,通过插入镶嵌件78,孔44的背面,即朝向等离子放电36的那一面发生等离子触发的危险会减小。
可以肯定的是,如图5那样通过插入的方式加上各自不同的形状或者可能不对称的布置,就可以精确地调节选定的,在凹槽72上的孔44的气阻,以便在等离子加工中对不均匀效果进行补偿,调整。
最后,必须要说清楚的是,如果我们根据本发明以及它的主要目的,即是为了在等离子放电腔中达到气体分布的均匀效果,描述了这种发生器,那么有一点要理解的是,本发明的目的并不是一定要达到均匀效果,而是,也更具普遍性,就是能提供控制良好的,按预先设定的气体分布的等离子发生器。
还有,本说明确实是向那些熟练的技术人员揭示适应各种不同工件的相应的制作方法,即气体流向等离子放电腔和/或关于电子方面的条件都经创造性地予以解决或选择,正如这种发生器的部件说明所述一样。
除了附带的权利要求书上所定义的发明,下列条款实质上也各自具有创新性。
1.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有壁与所述平板相对并有间隔,在所述容器壁里有一套进气系统以及给所述两个金属表面输送电能的输电系统。所述容器壁和所述平板保持电绝缘。
2.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,在所述配气室里装有至少一道栅隔板,栅隔板平行并有一定间隔。所述栅隔板与所述平板,所述容器壁保持电绝缘。
3.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的表面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平极相对并有间隔,还包括一套进气系统,所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
4.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有壁与所述平板相对并有间隔,还包括一套进气系统,进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,一个给所述电极表面输电的电能输送系统,所述容器壁,所述平板保持相互之间的电绝缘。
5.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述金属面也为金属板的表面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板,所述容器壁。所述栅隔板与所述平板,所述容器壁保持电绝缘。
6.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述发射室,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
7.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,所述容器壁还包括一套进气系统,一个给所述电极表面输电的电能输送系统,所述平板,所述容器壁相互之间保持电绝缘,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板,所述容器壁。所述栅隔板与所述平板,所述容器壁保持电绝缘。
8.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,一个给所述电极表面输电的电能输送系统,所述平板,所述容器壁相互之间保持电绝缘,所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
9.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板,所述容器壁。所述栅隔板与所述平板,所述容器壁保持电绝缘。所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
10.一种等离子发生器,它包括:一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,一个给所述电极表面输电的电能输送系统,所述平板,所述容器壁相互之间保持电绝缘,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板,所述容器壁。所述栅隔板与所述平板,所述容器壁保持电绝缘。
11.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,一个给所述电极表面输电的电能输送系统,所述平板,所述容器壁相互之间保持电绝缘,所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
12.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板,所述容器壁。所述栅隔板与所述平板,所述容器壁保持电绝缘。所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
13.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,一个给所述电极表面输电的电能输送系统,所述平板,所述容器壁相互之间保持电绝缘,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板,所述容器壁。所述栅隔板与所述平板,所述容器壁保持电绝缘。所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
14.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有道壁与所述平板相对并有间隔,还包括一套进气系统,进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通一个给所述电极表面输电的电能输送系统,所述平板,所述容器壁相互之间保持电绝缘,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板,所述容器壁。所述栅隔板与所述平板,所述容器壁保持电绝缘。所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
15.根据条款1到条款14中任一款的等离子发生器,其中,所述进气系统包括许多散布在所述容器壁中并朝着所述平板的进气孔,至少有一定数量的进气孔通向一个通用输气通道,在所述输气通道和绝大多数输气筛孔之间的气阻系数应该至少大体相等。
16.根据条款1到条款15中任一款的发生器,其中,所述平板上至少有一些输气筛靠近所述平板外围,其直径要比离所述平板外围远一些的输气筛孔的直径大。
17.根据条款1到条款16中任一款的发生器,其中,所述平板上至少有一些输气筛孔是与可移去的增加流通阻力系数的镶嵌件配合工作。

Claims (20)

1.一种等离子发生器,包括一个反应容器,反应容器里面的一对电极,这对电极由分隔开并且相互面对的两个金属面组成,在两个金属面之间形成等离子放电腔,至少一个所述的金属面也为金属板的面,在金属板上有许多从配气室开始,通向所述等离子放电腔的输气筛孔,所述输气筛孔从所述配气室开始,穿透所述平板并通向所述放电腔,所述配气室有壁与所述平板相对并有间隔,在所述容器壁里有一套进气系统以及给所述两个金属表面输送电能的输电系统,所述容器壁有与所述平板电绝缘的另一金属面。
2.根据权利要求1所述的等离子发生器,其特征在于,所述壁还包括一套进气系统,该进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通。
3.根据权利要求1所述的等离子发生器,其特征在于,还包括至少一个在所述配气室里的栅隔板,该栅隔板平行并间隔于所述平板和所述容器壁,所述栅隔板与所述另一金属面和平板保持电绝缘。
4.根据权利要求1所述的等离子发生器,其特征在于,所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
5.根据权利要求1所述的等离子发生器,其特征在于,所述进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板和所述容器壁,所述栅隔板与所述平板和所述容器壁保持电绝缘。
6.根据权利要求1所述的等离子发生器,其特征在于,所述进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
7.根据权利要求1所述的等离子发生器,其特征在于,还包括在所述配气室里的至少一道栅隔板,栅隔板平行并间隔于所述平板和所述容器壁,所述栅隔板与所述平板和所述容器壁保持电绝缘;所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
8.根据权利要求1所述的等离子发生器,其特征在于,所述进气系统带有很多进气口,进气口散布在所述的壁里,并且至少与一个通向发生器的输气通道相通,在所述配气室里装有至少一道栅隔板,栅隔板平行并间隔于所述平板和所述容器壁;所述栅隔板与所述平板和所述容器壁保持电绝缘;所述容器壁包括一个侧面的凸缘部分,凸缘部分向着所述平板的外围延伸并与所述平板的外边缘保持间隔,所述配气室与所述等离子放电腔通过一个开口相连,开口处于所述侧面凸缘部分与所述平板的外端之间,所述开口与所述平板保持充分平行与所述凸缘部分保持充分垂直。
9.根据权利要求1~8中之一的等离了发生器,其特征在于,所述进气系统包括许多散布在所述容器壁中并朝着所述平板的进气孔,至少有一定数量的进气孔通向一个通用输气通道,在所述输气通道和绝大多数输气筛孔之间的气阻系数至少大体相等。
10.根据权利要求1~8中之一的发生器,其特征在于,所述平板上至少有一些输气筛靠近所述平板外围,其直径要比离所述平板外围远一些的输气筛孔的直径大。
11.根据权利要求9的发生器,其特征在于,所述平板上至少有一些输气筛靠近所述平板外围,其直径要比离所述平板外围远一些的输气筛孔的直径大。
12.根据权利要求1~8中之一的发生器,其特征在于,所述平板上至少有一些输气筛孔是与可移去的增加流通阻力系数的镶嵌件配合工作。
13.根据权利要求9的发生器,其特征在于,所述平板上至少有一些输气筛孔是与可移去的增加流通阻力系数的镶嵌件配合工作。
14.根据权利要求10的发生器,其特征在于,所述平板上至少有一些输气筛孔是与可移去的增加流通阻力系数的镶嵌件配合工作。
15.用于制造基片的方法,该基片的一个表面要承受等离子体放电,包括下述步骤:
将至少一个基片引入一反应容器中,该反应容器具有一对电极,该对电极由相互间隔并相对布置的金属面构成,在所述金属面之间限定一等离子体放电腔,至少一个金属面是所述金属板的表面,所述金属板上具有许多通过所述金属板朝向所述放电腔并从一配气室沿着所述板相对于所述放电腔延伸的进气孔,所述配气室具有与所述板相对并间隔的后壁;
通过许多沿所述后壁分布的进气孔从至少一排进气孔将气体引入所述配气室;
在所述金属板之间建立RF等离子体放电,
通过所述许多进气孔进入所述气体,在所述RF等离子体放电中处理所述基片。
16.根据权利要求15所述的方法,其特征在于,所制造的基片是半导体转换器、用于存贮器件的盘、平面显示盘、显示窗或薄膜。
17.根据权利要求15所述的方法,其特征在于,在所述RF等离体放电中对所述基片的处理是PVD、PECVD、离子嵌入或离子电镀法。
18.用于制造基片的方法,该基片的一个表面要承受等离子体放电,包括下述步骤:
将至少一个基片引入一反应器中,该反应器具有一对电极,该对电极由相互间隔并相对布置的金面面构成,在所述金属面之间限定一等离子体放电腔,至少一个金属面是所述金属板的表面,所述金属板上有许多通过所述金属板朝向所述放电腔并从一配气室沿着所述板相对于所述放电腔延伸的进气孔,所述配气室具有与带有进气系统的所述板相对并间隔的后壁,
形成具有与所述配气室相邻的金属面的后壁,
使所述壁的所述金属面与所述极电绝缘,
将气体引入所述配气室,
在形成电极的所述金属面之间形成RF等离子体放电,
在所述RF等离子体放电中处理所述基片。
19.根据权利要求18的方法,其特征在于,所制成的基片是半导体转换器、用于存储器件的盘、平面显示器、显示窗或薄膜。
20.根据权利要求18的方法,其特征在于,在所述RF等离子体放电中对所述基片的处理是PVD、PECVD、离子嵌入或离子电镀方法。
CNB2004100880667A 2000-04-26 2001-04-26 射频等离子发生器和制造基片的方法 Expired - Fee Related CN1330219C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/559408 2000-04-26
US09/559,408 US6502530B1 (en) 2000-04-26 2000-04-26 Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US09/559,408 2000-04-26

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB011172517A Division CN1181709C (zh) 2000-04-26 2001-04-26 射频等离子发生器

Publications (2)

Publication Number Publication Date
CN1607889A CN1607889A (zh) 2005-04-20
CN1330219C true CN1330219C (zh) 2007-08-01

Family

ID=24233499

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB011172517A Expired - Fee Related CN1181709C (zh) 2000-04-26 2001-04-26 射频等离子发生器
CNB2004100880667A Expired - Fee Related CN1330219C (zh) 2000-04-26 2001-04-26 射频等离子发生器和制造基片的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB011172517A Expired - Fee Related CN1181709C (zh) 2000-04-26 2001-04-26 射频等离子发生器

Country Status (7)

Country Link
US (3) US6502530B1 (zh)
JP (2) JP4786818B2 (zh)
KR (1) KR100746439B1 (zh)
CN (2) CN1181709C (zh)
DE (2) DE20122901U1 (zh)
FR (1) FR2808224B1 (zh)
TW (1) TW506232B (zh)

Families Citing this family (433)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
JP4250375B2 (ja) * 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US6986814B2 (en) * 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
JP4239520B2 (ja) * 2002-08-21 2009-03-18 ソニー株式会社 成膜装置およびその製造方法、並びにインジェクタ
JP4087674B2 (ja) * 2002-09-27 2008-05-21 株式会社ユーテック 半導体製造装置
CA2471987C (en) 2002-10-07 2008-09-02 Sekisui Chemical Co., Ltd. Plasma surface processing apparatus
JP3723794B2 (ja) * 2002-10-07 2005-12-07 積水化学工業株式会社 プラズマ表面処理装置の電極構造
US20040072056A1 (en) * 2002-10-10 2004-04-15 Whiton John H. Cascade fuel inlet manifold for fuel cells
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
ES2367992T3 (es) * 2003-05-15 2011-11-11 Sharp Kabushiki Kaisha Elemento generador de iones, generador de iones y dispositivo eléctrico.
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
KR101070353B1 (ko) * 2003-06-25 2011-10-05 주성엔지니어링(주) 반도체 소자 제조장치의 가스 인젝터
KR100973899B1 (ko) * 2003-07-01 2010-08-03 주식회사 포스코 크레인 주행중의 비상 급제동장치
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
CH706979B1 (en) * 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
DE102004039969A1 (de) * 2004-08-18 2006-02-23 Leybold Optics Gmbh Plasmaquellenvorrichtung, Anordnung mit einer Plasmaquellenvorrichtung sowie Abstrahleinheit für eine Plasmaquellenvorrichtung
KR100702831B1 (ko) * 2004-08-20 2007-04-03 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
EP1913172A2 (en) * 2005-07-29 2008-04-23 Aviza Technology, Inc. Gas manifold valve cluster
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
KR100734775B1 (ko) 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
CN100355325C (zh) * 2005-09-30 2007-12-12 友达光电股份有限公司 用于等离子体蚀刻机器的气体分布电极
WO2007045110A2 (en) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Cleaning means for large area pecvd devices using a remote plasma source
JP4683334B2 (ja) * 2006-03-31 2011-05-18 株式会社島津製作所 表面波励起プラズマ処理装置
DE102006020291A1 (de) * 2006-04-27 2007-10-31 Ipt Ionen- Und Plasmatechnik Gmbh Plasmaquelle
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5063969B2 (ja) * 2006-09-29 2012-10-31 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
EP1970468B1 (de) * 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
EP1970467B1 (de) * 2007-03-14 2012-05-16 Applied Materials, Inc. Flutungskammer für Beschichtungsanlagen
US20080223294A1 (en) * 2007-03-14 2008-09-18 Applied Materials, Inc. Flooding Chamber For Coating Installations
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
EP2067877A1 (en) * 2007-11-23 2009-06-10 Applied Materials, Inc. Coating device and method of producing an electrode assembly
TW200923125A (en) * 2007-11-23 2009-06-01 Applied Materials Inc Coating device and method of producing an electrode assembly
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8097082B2 (en) 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
CN101307437B (zh) * 2008-06-19 2010-12-01 东莞宏威数码机械有限公司 射频电极及薄膜制备装置
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
JP4540731B2 (ja) * 2008-09-29 2010-09-08 積水化学工業株式会社 表面処理用ノズル装置
TWI498053B (zh) 2008-12-23 2015-08-21 Ind Tech Res Inst 電漿激發模組
KR101508314B1 (ko) * 2008-12-29 2015-04-06 주식회사 케이씨텍 플라즈마 처리장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101559470B1 (ko) 2009-06-04 2015-10-12 주성엔지니어링(주) 화학 기상 증착 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
CN101899652B (zh) * 2009-12-01 2012-05-02 东莞宏威数码机械有限公司 气体供应系统及方法
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US20130052369A1 (en) 2010-05-06 2013-02-28 Oerlikon Solar Ag, Truebbach Plasma reactor
JP5413305B2 (ja) * 2010-05-25 2014-02-12 信越半導体株式会社 エピタキシャル成長装置
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
JP5771372B2 (ja) * 2010-08-02 2015-08-26 株式会社アルバック プラズマ処理装置及び前処理方法
JP2012082445A (ja) * 2010-10-06 2012-04-26 Ulvac Japan Ltd 真空処理装置、蒸着装置、プラズマcvd装置及び有機蒸着方法
WO2012090715A1 (ja) * 2010-12-28 2012-07-05 三洋電機株式会社 プラズマ処理装置
TWI419351B (zh) * 2011-02-14 2013-12-11 Sunshine Pv Corp 化學浴沉積機台及分配支流結構
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102534570B (zh) * 2012-01-04 2014-02-19 北京印刷学院 一种等离子体增强化学气相沉积微晶硅薄膜的方法
CN103205719B (zh) * 2012-01-17 2015-09-09 上海北玻镀膜技术工业有限公司 气体通道模块及应用其的气体分配装置
JP2014057047A (ja) * 2012-08-10 2014-03-27 Tokyo Electron Ltd 基板処理装置及びガス供給装置
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5862529B2 (ja) * 2012-09-25 2016-02-16 東京エレクトロン株式会社 基板処理装置及びガス供給装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101541154B1 (ko) * 2012-12-13 2015-08-03 엘아이지인베니아 주식회사 원자층 증착장치
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
GB2510615A (en) * 2013-02-08 2014-08-13 Glyndwr University Gas blade injection system
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
JP6170340B2 (ja) * 2013-05-21 2017-07-26 東京エレクトロン株式会社 ガス供給ヘッド、ガス供給機構及び基板処理装置
KR101446632B1 (ko) * 2013-06-24 2014-10-06 피에스케이 주식회사 기판 처리 장치 및 방법
CN103697557A (zh) * 2013-12-19 2014-04-02 常熟市联诚光源电器配件有限公司 芯柱生产设备集中送风系统
CN103712229A (zh) * 2013-12-19 2014-04-09 常熟市联诚光源电器配件有限公司 新型芯柱生产设备集中送风系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6584786B2 (ja) * 2015-02-13 2019-10-02 株式会社日立ハイテクノロジーズ プラズマイオン源および荷電粒子ビーム装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) * 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6868616B2 (ja) * 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105555002A (zh) * 2016-02-01 2016-05-04 江苏微导纳米装备科技有限公司 一种动态平行板等离子体发生器
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) * 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR102525361B1 (ko) * 2016-04-27 2023-04-25 삼성디스플레이 주식회사 감압 건조 장치 및 이를 이용한 막 제조 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6403106B2 (ja) * 2016-09-05 2018-10-10 信越半導体株式会社 気相成長装置
WO2018042877A1 (ja) * 2016-09-05 2018-03-08 信越半導体株式会社 気相成長装置、エピタキシャルウェーハの製造方法及び気相成長装置用のアタッチメント
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6988083B2 (ja) * 2016-12-21 2022-01-05 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
JP6597732B2 (ja) * 2017-07-24 2019-10-30 東京エレクトロン株式会社 ガス処理装置
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019083645A2 (en) * 2017-09-20 2019-05-02 Ih Ip Holdings Limited MODULAR REACTOR SYSTEM FOR EXOTHERMIC REACTIONS
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
CN109585032B (zh) * 2018-10-29 2021-02-02 大连民族大学 一种耐高温全钨面向等离子体反应器
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210148409A (ko) * 2019-04-26 2021-12-07 램 리써치 코포레이션 프로세싱 챔버 내의 기판의 고온 가열
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
EP4046182A4 (en) * 2019-10-14 2023-12-13 Lam Research Corporation FRACTAL SHOWER HEAD WITH TWO CHAMBERS
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12000046B1 (en) 2021-12-29 2024-06-04 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
US11932941B1 (en) * 2021-12-29 2024-03-19 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
CN115156195A (zh) * 2022-06-10 2022-10-11 深圳泰德半导体装备有限公司 等离子清洗装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0838842A2 (en) * 1996-10-23 1998-04-29 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH10258227A (ja) * 1997-01-02 1998-09-29 Applied Materials Inc ハイブリッド導体と多半径ドームシーリングを持つrfプラズマリアクタ
CN1194889A (zh) * 1997-03-14 1998-10-07 林肯电气公司 等离子电弧喷枪

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5946088B2 (ja) * 1980-08-20 1984-11-10 株式会社日立国際電気 気相反応装置
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
JPS5955343A (ja) * 1982-09-21 1984-03-30 Seiko Epson Corp プラズマcvd装置
US4550681A (en) * 1982-10-07 1985-11-05 Johannes Zimmer Applicator for uniformly distributing a flowable material over a receiving surface
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPH01154296A (ja) * 1987-12-10 1989-06-16 Fujitsu Ltd 文字切出方法
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01168023A (ja) * 1987-12-23 1989-07-03 Sharp Corp 半導体製造装置
JPH0261078A (ja) * 1988-08-24 1990-03-01 Nec Kyushu Ltd 平行平板型プラズマエッチング装置
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
DE4029270C1 (zh) * 1990-09-14 1992-04-09 Balzers Ag, Balzers, Li
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3029494B2 (ja) * 1991-10-31 2000-04-04 東京エレクトロン株式会社 プラズマ装置
DE69301502T2 (de) * 1992-06-09 1996-09-12 Philips Electronics Nv Verfahren zur Herstellung eines Halbleiterbauelementes durch CVD
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0661157A (ja) * 1992-08-12 1994-03-04 Sharp Corp 半導体製造装置
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3086362B2 (ja) * 1993-05-19 2000-09-11 東京エレクトロン株式会社 プラズマ処理装置
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3342118B2 (ja) * 1993-06-29 2002-11-05 東京エレクトロン株式会社 処理装置
US5415753A (en) * 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
JP2702430B2 (ja) * 1995-01-27 1998-01-21 日本電気株式会社 半導体装置の製造方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP3113796B2 (ja) 1995-07-10 2000-12-04 東京エレクトロン株式会社 プラズマ処理装置
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
JPH09129612A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
KR100469047B1 (ko) * 1997-04-11 2005-01-31 동경 엘렉트론 주식회사 처리장치, 상부전극유니트와 그 사용방법 및 전극유니트와 그 제조방법
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH118225A (ja) * 1997-06-17 1999-01-12 Nkk Corp 平行平板電極型プラズマ処理装置
JPH1154296A (ja) 1997-08-05 1999-02-26 Sony Corp プラズマ発生装置およびプラズマ装置
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JPH11152568A (ja) * 1997-11-20 1999-06-08 Citizen Watch Co Ltd 円筒状部材の内周面への硬質カーボン膜形成方法
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JPH11181572A (ja) 1997-12-22 1999-07-06 Kokusai Electric Co Ltd プラズマcvd装置
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
WO1999048138A1 (en) * 1998-03-18 1999-09-23 Applied Materials, Inc. Large area uniform laminar gas flow dispenser
JPH11274087A (ja) * 1998-03-25 1999-10-08 Toshiba Corp シャワープレート
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6132805A (en) * 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6399484B1 (en) * 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
EP1198610A4 (en) * 1999-05-14 2004-04-07 Univ California PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
WO2004107825A1 (ja) * 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0838842A2 (en) * 1996-10-23 1998-04-29 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH10258227A (ja) * 1997-01-02 1998-09-29 Applied Materials Inc ハイブリッド導体と多半径ドームシーリングを持つrfプラズマリアクタ
CN1194889A (zh) * 1997-03-14 1998-10-07 林肯电气公司 等离子电弧喷枪

Also Published As

Publication number Publication date
JP5271874B2 (ja) 2013-08-21
KR20010098908A (ko) 2001-11-08
US20030070761A1 (en) 2003-04-17
US20080093341A1 (en) 2008-04-24
CN1330507A (zh) 2002-01-09
TW506232B (en) 2002-10-11
JP4786818B2 (ja) 2011-10-05
US6502530B1 (en) 2003-01-07
CN1181709C (zh) 2004-12-22
JP2010067615A (ja) 2010-03-25
FR2808224A1 (fr) 2001-11-02
DE20122901U1 (de) 2009-08-27
US7306829B2 (en) 2007-12-11
DE10119766A1 (de) 2001-11-08
US9045828B2 (en) 2015-06-02
KR100746439B1 (ko) 2007-08-03
DE10119766B4 (de) 2009-02-26
CN1607889A (zh) 2005-04-20
FR2808224B1 (fr) 2005-01-07
JP2002075692A (ja) 2002-03-15

Similar Documents

Publication Publication Date Title
CN1330219C (zh) 射频等离子发生器和制造基片的方法
CN206225317U (zh) 用于等离子体处理的装置和等离子体处理腔室
TWI550123B (zh) 在直線型大面積的電漿反應器均勻處理的氣體輸送和分配系統及其處理腔室
US8034418B2 (en) Method for forming thin film and apparatus therefor
US7927455B2 (en) Plasma processing apparatus
CN103444269B (zh) 等离子体发生装置及基板处理装置
TW200948213A (en) Asymmetrical RF drive for electrode of plasma chamber
CN105051252A (zh) 等离子体cvd装置及等离子体cvd方法
KR20090121225A (ko) 한정된 처리 챔버들을 구비한 다중 전극 플라즈마 처리 시스템 및 상기 전극을 구비한 내부 버스 전기 접속
CN111304632A (zh) 喷淋装置及工艺腔
US7708866B2 (en) Sputtering apparatus
CN206505900U (zh) 一种卡点位置优化的石墨舟
WO2021227762A1 (zh) 分流板和电化学沉积设备
CN213142170U (zh) 磁控溅射和离子束集成式镀膜设备
CN207418862U (zh) 平板电极结构和等离子体沉积设备
CN102796987A (zh) 一种气体离子源装置
CN202786417U (zh) 一种立式化学气相沉积装置
CN101192500A (zh) 中空式阴极放电装置
CN112899638B (zh) 镀膜装置的进气系统
CN109119322A (zh) 一种磁增强型等离子体源
CN217869058U (zh) 一种模块化的真空蒸镀机
CN103187235A (zh) 基板处理设备的放电组件、腔室装置和pecvd设备
KR20100089540A (ko) 플라즈마 화학 기상 증착 장치
CN102867723B (zh) 一种等离子体处理装置的载置台及对应等离子体处理装置
CN118250880A (zh) 等离子体发生器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: OERLIKON SUN IP STOCK CO., LTD. (TELVBAHE)

Free format text: FORMER OWNER: OC OERLIKON BAYBARS CO.,LTD.

Effective date: 20091211

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20091211

Address after: Swiss Te Lui Bach

Patentee after: Oerlikon Solar IP AG. Truebbach

Address before: Liechtenstein Barr Che J

Patentee before: O C Oerlikon vendeuvre company

ASS Succession or assignment of patent right

Owner name: OERLIKON SOLAR AG (TRUBBACH)

Free format text: FORMER OWNER: OERLIKON SOLAR IP AG (TRUBBACH)

Effective date: 20110322

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20110322

Address after: Swiss Te Lui Bach

Patentee after: Oerlikon Solar IP AG. Truebbach

Address before: Swiss Te Lui Bach

Patentee before: Oerlikon Solar IP AG. Truebbach

C56 Change in the name or address of the patentee

Owner name: OERLIKON SOLAR AG

Free format text: FORMER NAME: OERLIKON SOLAR AG (TRUBBACH)

CP01 Change in the name or title of a patent holder

Address after: Swiss Te Lui Bach

Patentee after: Oerlikon Solar AG, Truebbach

Address before: Swiss Te Lui Bach

Patentee before: Oerlikon Solar IP AG. Truebbach

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070801

Termination date: 20180426